JP4896880B2 - マイクロ波プラズマノズルアレイを構成するための方法、マイクロ波プラズマノズルアレイユニット及びマイクロ波プラズマシステム - Google Patents

マイクロ波プラズマノズルアレイを構成するための方法、マイクロ波プラズマノズルアレイユニット及びマイクロ波プラズマシステム Download PDF

Info

Publication number
JP4896880B2
JP4896880B2 JP2007523689A JP2007523689A JP4896880B2 JP 4896880 B2 JP4896880 B2 JP 4896880B2 JP 2007523689 A JP2007523689 A JP 2007523689A JP 2007523689 A JP2007523689 A JP 2007523689A JP 4896880 B2 JP4896880 B2 JP 4896880B2
Authority
JP
Japan
Prior art keywords
microwave
microwaves
gas flow
cavity
microwave cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007523689A
Other languages
English (en)
Other versions
JP2008508683A (ja
Inventor
サン ハン リー
ジェイ ジョンソ キム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SAIAN CORP
Original Assignee
SAIAN CORP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SAIAN CORP filed Critical SAIAN CORP
Publication of JP2008508683A publication Critical patent/JP2008508683A/ja
Application granted granted Critical
Publication of JP4896880B2 publication Critical patent/JP4896880B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges
    • H05H1/4622Microwave discharges using waveguides
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61LMETHODS OR APPARATUS FOR STERILISING MATERIALS OR OBJECTS IN GENERAL; DISINFECTION, STERILISATION OR DEODORISATION OF AIR; CHEMICAL ASPECTS OF BANDAGES, DRESSINGS, ABSORBENT PADS OR SURGICAL ARTICLES; MATERIALS FOR BANDAGES, DRESSINGS, ABSORBENT PADS OR SURGICAL ARTICLES
    • A61L2/00Methods or apparatus for disinfecting or sterilising materials or objects other than foodstuffs or contact lenses; Accessories therefor
    • A61L2/02Methods or apparatus for disinfecting or sterilising materials or objects other than foodstuffs or contact lenses; Accessories therefor using physical phenomena
    • A61L2/14Plasma, i.e. ionised gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Apparatus For Disinfection Or Sterilisation (AREA)

Description

本発明は、プラズマ発生システムに関し、より詳細には、プラズマノズルアレイを持つマイクロ波プラズマシステムに関する。
近年、プラズマ生成の進歩が盛んになりつつある。典型的には、プラズマは正帯電イオン、中性物質および電子からなる。一般に、プラズマは熱平衡および熱非平衡プラズマの2つの分類に細分化される。熱平衡とは、正電荷イオン、中性物質、および電子を含むあらゆる物質の温度が同じであることを意味する。
プラズマはまた局所的熱平衡(LTE)および非LTEプラズマにも分類でき、この場合、このような細分化は典型的にはプラズマの圧力に関連している。「局所的熱平衡(LTE)」という用語はすべてのプラズマ物質の温度がプラズマの局所化領域において同じである熱動的状態を意味する。
高プラズマ圧力はプラズマにおいて単位時間間隔当たりで多数の衝突をもたらし、これによりプラズマを含む物質間に十分なエネルギー交換を生み、そしてこれによりプラズマ物質の温度が等しくなる。一方、プラズマ圧力が低いとプラズマの物質同士の衝突が不十分となることによりプラズマ物質の温度が安定しなくなる場合がある。
非LTEまたは単なる非熱プラズマでは、イオンおよび中性物質の温度は通常100℃未満であるが、電子の温度は摂氏数万℃までにもなり得る。従って、非LTEプラズマは大量のエネルギーを消費することなくパワフルな用途および繊細な用途にも使える高反応性ツールとして機能できる。この「高温冷却性」によりさまざまな用途についてさまざまな処理可能性および商業上の好機が得られる。パワフルな用途としては金属蒸着システムおよびプラズマカッタが挙げられ、繊細な用途としてはプラズマ表面洗浄システムおよびプラズマディスプレイ装置が挙げられる。
このような用途の1つとしてプラズマ殺菌が挙げられる。プラズマ殺菌は、プラズマを使って抵抗力の高い内生胞子をはじめとする細菌生物を死滅させる。殺菌は末端用途の医療および歯科装置、材料、および繊維の安全性を確実にするための重要な工程である。病院および産業で使用されている既存の殺菌方法はオートクレーブ、酸化エチレンガス(EtO)、乾燥加熱、およびガンマ光線または電子光線の照射が挙げられる。これらの技術は対処し克服しなければならない多数の問題点を抱えている。かかる問題としては、熱感応性および熱による破壊、有害副産物の形成、作業が高費用である、および全体のサイクル継続時間が不十分であるといった点が挙げられる。その結果、健康管理事務所および産業は長い間、さまざまな熱感応性電子構成部材および設備をはじめとする広範囲の医療材料の構造を破壊することなく、大幅に短時間で、室温付近で機能できる殺菌技術を必要としていた。
材料処理の場合のような殺菌用の大気圧プラズマは多くの独特の利点をユーザに提供する。パッケージが小型であることから構成が簡単であり、高価な真空チャンバおよびポンプシステムを必要とせず、さらに別の設備を必要とせずにさまざまな環境に設置でき、作業費用およびメンテナンスの必要性が最小限である。実際、大気圧プラズマ殺菌の基本的な重要性は、熱感応性物質を殺菌できる能力、使用が簡単であること、作業処理の所要時間がより早いことにある。大気圧プラズマ殺菌は、原子酸素および水素ラジカル、およびプラズマ発生紫外線をはじめとする反応性中性物質の直接的な効果によって実現でき、これらはすべて微生物細胞膜を攻撃し破壊する。従って、効果的で低費用の殺菌供給源として大気圧プラズマを発生できる装置が必要とされている。
大気圧プラズマ殺菌システムの効率性に影響する鍵となる要素の1つとしては、他のプラズマ発生システムと同様、システムによって発生されるプラズマのスケーラビリティが挙げられる。産業および教育機関において世界中で広く使用されている、マイクロ波ノズルに基づいた大気圧プラズマシステムがいくつかある。これらの設計のほとんどは単一ノズルに基づいており医療用装置の用途の殺菌に必要とされる大容量のスケーラビリティを欠いている。また、かかるプラズマシステムは高温のプラズマを発生するが、これは殺菌用途には適切ではない。
均一なプラズマを提供するための1つの解決策は、マイクロ波キャビティに結合されたノズルアレイを使うことである。このようなシステムの難題の1つは、キャビティ内で定常的である所定の領域(以後、「高エネルギー領域」と称する)にマイクロ波エネルギー(または等価的はマイクロ波)を集中できるよう、マイクロ波キャビティ内でのマイクロ波分布を制御することである。このようなシステムでは、プラズマの均一性およびスケーラビリティは、制御された高エネルギー箇所にノズルを結合することによって得られ、このことでシステムの作業効率も改善する。
マイクロ波キャビティを持つ従来のシステムのほとんどはマイクロ波キャビティ内で均一なマイクロ波エネルギー分布を提供するように設計されている。例えば、非特許文献1には、2つの回転位相シフタを持つシステムが開示されている。このシステムでは、2つの回転位相シフタがマイクロ波キャビティ内で連続的に移動する高エネルギー領域を発生してマイクロ波キャビティ内で分布が確実に均一となるようにする。
"WAVEGUIDE COMPONENTS AND CONFIGURATIONS FOR OPTIMAL PERFORMANCE IN MICROWAVE HEATING SYSTEMS"2000年、Gerling Applied Engineering社、www.2450mhz.comで発行
このような従来のシステムとは対照的に、プラズマノズルアレイを持つプラズマ発生システムはそのキャビティ内でマイクロ波を確定的に制御できなければならず、またノズルアレイに結合された高エネルギー領域を発生できなければならない。従って、マイクロ波キャビティ内で確定的に発生でき、また高エネルギー領域を制御でき、その高エネルギー領域からマイクロ波エネルギーを受け取ることができるように構成されたプラズマノズルアレイを持つプラズマ発生システムが強く必要とされている。
本発明は、マイクロ波プラズマノズルアレイを持つさまざまなシステム、およびプラズマノズルアレイを構成するための方法を提供するものである。
本発明の1つの側面によると、マイクロ波キャビティ内でマイクロ波同士を干渉させマイクロ波の定在波パターンが静止的に形成されるように、互いに反対方向からマイクロ波をマイクロ波キャビティへ向かわせるステップと、少なくとも1つのマイクロ波の位相を調整して、マイクロ波の定在波パターンによって発生される高エネルギー領域を制御するステップと、ノズル素子の1つ以上が高エネルギー領域の1つからマイクロ波エネルギーを受け取るように、ノズルアレイの少なくとも一部を前記マイクロ波キャビティ内に配置するステップと、を具備している。
本発明の他の側面によると、マイクロ波プラズマノズルアレイを構成するための方法は、マイクロ波の第1ペアを第1軸に沿って互いに反対方向からマイクロ波キャビティへと向かわせるステップと、マイクロ波の第2ペアを、前記第1軸と直交する第2軸に沿って互いに反対方向からマイクロ波キャビティへと向かわせ、マイクロ波の前記第1及び第2ペアを干渉させてマイクロ波キャビティ内で定常的な高エネルギー領域を形成するステップと、少なくとも1つのマイクロ波の位相を調整することで、高エネルギー領域を制御するステップと、ノズル素子の1つ以上が高エネルギー領域の1つからマイクロ波エネルギーを受け取るように、ノズルアレイの少なくとも一部を前記マイクロ波キャビティ内に配置するステップと、を具備している。
本発明のさらに他の側面によると、マイクロ波プラズマノズルアレイユニットは、マイクロ波キャビティと、ノズルのアレイとを備えるマイクロ波プラズマノズルアレイユニットであって、前記ノズルはそれぞれ、その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、前記ガスフロー管内に軸方向に配置され、その一部がマイクロ波を受信するために前記マイクロ波キャビティ内に配置されていると共に、その先端部が前記ガスフロー管の前記出口部に隣接して配置されているロッド状コンダクタと、を備えている。
本発明のさらに他の側面によると、マイクロ波プラズマシステムは、マイクロ波供給源と、前記マイクロ波供給源に動作可能に接続された一対のアイソレータと、一対の入口を持つマイクロ波キャビティと、それぞれが前記アイソレータの対応する1つに動作可能に接続され、且つ、前記マイクロ波キャビティの前記入口の対応する1つに動作可能に接続されている一対の導波管と、それぞれが前記導波管の対応する1つに動作可能に接続され、且つ、前記アイソレータの対応する1つに動作可能に接続されている一対の非回転位相シフタと、ノズルのアレイと、を備えるマイクロ波プラズマシステムであって、前記ノズルのそれぞれが、その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、前記ガスフロー管内に軸方向に配置され、その一部がマイクロ波を受信するために前記マイクロ波キャビティ内に配置されていると共に、その先端部が前記ガスフロー管の前記出口部に隣接して配置されているロッド状コンダクタと、を備えている。
本発明の他の側面によると、マイクロ波プラズマシステムは、マイクロ波供給源と、前記マイクロ波供給源に動作可能に接続されたアイソレータと、入口を持つマイクロ波キャビティと、前記アイソレータおよび前記マイクロ波キャビティの前記入口に動作可能に接続された導波管と、前記導波管及び前記アイソレータに動作可能に接続された非回転位相シフタと、前記導波管に動作可能に接続されマイクロ波を前記非回転位相シフタに向かわせるよう構成されているサーキュレータと、前記マイクロ波キャビティに動作可能に接続されているスライディングショートと、ノズルのアレイと、を備えるマイクロ波プラズマシステムであって、前記ノズルのそれぞれが、その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、前記ガスフロー管内に軸方向に配置され、その一部がマイクロ波を受信するために前記マイクロ波キャビティ内に配置されていると共に、その先端部が前記ガスフロー管の前記出口部に隣接して配置されているロッド状コンダクタと、を備えている。
本発明の他の側面によると、マイクロ波プラズマシステムは、マイクロ波供給源と、前記マイクロ波供給源に動作可能に接続された一対のアイソレータと、一対の入口を持つマイクロ波キャビティと、それぞれが前記アイソレータの対応する1つに動作可能に接続され、且つ、前記マイクロ波キャビティの前記入口の対応する1つに動作可能に接続されている一対の導波管と、それぞれが前記導波管の対応する1つに動作可能に接続され、且つ、前記アイソレータの対応する1つに動作可能に接続されている一対の非回転位相シフタと、それぞれが前記マイクロ波キャビティに動作可能に接続されている一対のスライディングショートと、ノズルのアレイと、を備えるマイクロ波プラズマシステムであって、前記ノズルのそれぞれが、その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、前記ガスフロー管内に軸方向に配置され、その一部がマイクロ波を受信するために前記マイクロ波キャビティ内に配置されていると共に、その先端部が前記ガスフロー管の前記出口部に隣接して配置されているロッド状コンダクタと、を備えている。
本発明の他の側面によると、マイクロ波プラズマシステムは、マイクロ波供給源と、4つの入口を持つマイクロ波キャビティと、それぞれが前記マイクロ波キャビティの前記入口のうちの対応する1つと前記マイクロ波供給源とに動作可能に接続されている4つの導波管と、それぞれが前記導波管のうちの対応する1つと前記マイクロ波供給源とに動作可能に接続されている4つの非回転位相シフタと、それぞれが前記導波管のうちの対応する1つに動作可能に接続されており、前記マイクロ波供給源によって発生されたマイクロ波を前記非回転位相シフタのうちの少なくとも1つに向かわせるように構成されているサーキュレータと、ノズルのアレイと、を備えるマイクロ波プラズマシステムであって、前記ノズルのそれぞれが、その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、前記ガスフロー管内に軸方向に配置され、その一部がマイクロ波を受信するために前記マイクロ波キャビティ内に配置されていると共に、その先端部が前記ガスフロー管の前記出口部に隣接して配置されているロッド状コンダクタと、を備えている。
本発明のこれらおよび他の利点および特徴は、以下により完全に説明する通りの本発明の詳細を読めば当業者にとってより明らかとなるであろう。
以下の詳細な説明は、本発明を実施するための現在最も熟考された態様のものである。本説明は限定的な意味でとられるべきではなく、本発明の一般的な原則を例示する目的で行われるに過ぎない、なぜなら本発明の目的は添付の特許請求の範囲で最良に定義されているからである。
本明細書および添付の特許請求の範囲で使用されるように、単一の形態「1つの(a)」、「および(and)」および「その(the)」は、文脈が明確にそうではないと記載しない限り複数の対象物を含む。従って、例えば、「1つのノズル」という言及は1つ以上のノズルおよび当業者に公知のその等価物を含むなどである。
上で述べたように、従来のマイクロ波プラズマシステムはマイクロ波キャビティ内に伝達される2つのマイクロ波の位相差を制御することによってマイクロ波キャビティ内で均一のパワー分布を発生する。既存のシステムとは異なり、本発明はマイクロ波の位相を制御するための方法およびシステムを提供してマイクロ波がマイクロ波キャビティ内で定常的な高エネルギー領域を発生できるようにするものである。また、高エネルギー領域のパワーを使うようにしたプラズマノズルアレイを構成する方法も開示されている。
図1は、本発明の1つの実施態様に係るプラズマノズルアレイを持つシステム10の概略図である。図示されるように、システム10は、マイクロ波を発生するマイクロ波パワーヘッド12と、マイクロ波パワーヘッド12によって発生されたマイクロ波を分割する2つの出口を持つパワースプリッタ14とを具備するマイクロ波供給源13;マイクロ波パワーヘッド12に向かって移動する後進マイクロ波を放散させるように構成された一対のアイソレータ17a、17bであって、それぞれが、後進マイクロ波を放散させるためのダミーロード18a、18bと、後進マイクロ波を対応するダミーロード18a、18bへと分流させるためのサーキュレータ16とを備えているアイソレータ;マイクロ波の位相をシフトさせるための一対の非回転位相シフタ24a、24b;マイクロ波をパワースプリッタ14から非回転位相シフタ24a、24bへとそれぞれ向かわせる一対のサーキュレータ22a、22b;マイクロ波を伝搬させるための導波管20a、20b;およびマイクロ波キャビティ32を備えている。他の実施例では、システム10はさらにパワーメータ28a、28bに接続されてマイクロ波線束を測定するための結合器26a、26b;およびマイクロ波のインピーダンスを一致させるためのチューナ30a、30bを備えてよい。典型的には、マイクロ波パワーヘッド12はマイクロ波発生器および電源を備えているが、これらは簡素化を図るため図1には図示されていない。さらに他の実施態様では、アイソレータは、マイクロ波パワーヘッド12と2個出口パワースプリッタ14との間に配置されることにより、一対のアイソレータ17a、17bとされる。
1つ以上のノズル36を備えるノズルアレイ37がマイクロ波キャビティ32に接続され、ガスタンク34から質量流動制御(MFC)バルブ35を通って提供されるガスからプラズマプルーム38a〜38nを発生させる。システム10で使用し得るノズル36およびマイクロキャビティ32のいくつかの実施態様は、2005年7月5日に出願された「プルーム安定性および熱効率が改善されたマイクロ波プラズマノズル(Microwave Plasma Nozzle with Enhanced Plume Stability and Heating Efficiency)」という名称の係属中のPCT出願で開示されており、本願ではその全体を参考文献として援用する。
パワースプリッタ14から伝搬されるマイクロ波40a、40bは、マイクロ波キャビティ32内をx軸に沿って互いに反対方向に進み、図2(A)に示すような干渉パターンを生み出す。図2(A)は、互いに干渉し合ってマイクロ波キャビティ32内でマイクロ波の定在波54を生成するマイクロ波52a、52bのグラフ50を示している。グラフ50の横座標および縦座標は、マイクロ波の伝搬方向およびマイクロ波の振幅をそれぞれ表している。マイクロ波の定在波54の強度は振幅の二乗に比例するため、定在波54はそれぞれのサイクルについて振幅が最大振幅58に達するピーク位置64を持っている(簡素化のため、以後、振幅は振幅の絶対値を意味する)。
高エネルギー領域69は、マイクロ波の定在波の振幅がユーザによって設定されるしきい値を越える領域とすることができる。図5(A)および図10に関連して後で説明するように、1つより多くのノズルを、それぞれの高エネルギー領域69にx方向に沿って配置できる。かかる場合、高エネルギー領域69の幅62は、ノズルの寸法、2つの隣接し合うノズル間の間隔、および最大振幅58の値を考慮して決定できる。例えば、ユーザはしきい値60を最大振幅58の75%に設定することで、高エネルギー領域69において全てのノズルのためにマイクロ波エネルギーを提供するようにできる。
ピーク位置64および最大振幅58、ならびに高エネルギー領域69の幅62は非回転位相シフタ24a、24bによって制御でき、一方ピッチ56はマイクロ波52a、52bの波長によって決定される。もしマイクロ波52a、52bの間の位相差が小さくなる場合、高エネルギー領域69の最大振幅58および幅62は大きくなる。もし2つのマイクロ波52a、52bの位相がx軸に沿って一方向にシフトする場合、ピーク位置64はその方向にシフトし得る。
図2(B)は、x−z平面に垂直な方向から見た場合の、マイクロ波キャビティ32内における高エネルギー領域69の分布66を示している。図2(B)に示すように、高エネルギー領域69は方向68a、68bに沿って伝搬するマイクロ波52a、52bの干渉によってそれぞれ発生される。マイクロ波52a、52bが一次元波動である場合、高エネルギー領域69のそれぞれはストライプ状の形状で、ピッチ56の半分にスペースが存在している。図2(A)、(B)では、説明のためマイクロ波キャビティは矩形の平行管状であると仮定される。しかしながら、当業者にとっては、マイクロ波キャビティは本発明から逸脱することなく他のどのような形状でもあり得ることは明らかなはずである。
他の実施態様では、マイクロ波供給源13を、2つの別々のマイクロ波パワーヘッドと、それらに取り付けられた2つのアイソレータとに代替させても良い。この場合、それぞれのマイクロ波パワーヘッドは、マイクロ波キャビティ32へとマイクロ波を搬送する。本実施態様では、2つのマイクロ波52a、52bは異なる波長および振幅を持ち得る。しかしながら、上で述べたのと同じ原則を適用することにより、非回転位相シフタ24a、24bを使って、ピーク位置64、最大振幅58、ならびに高エネルギー領域69の幅62を制御できる。
図3は、本発明に係る、マイクロ波キャビティ内の高エネルギー領域を確定的に発生させるためのシステム70の概略図である。図示するように、システム70は、マイクロ波を発生するためのマイクロ波パワーヘッド72;マイクロ波パワーヘッド72に向かって伝搬する、後進マイクロ波を放散するように構成されたダミーロード76と、後進マイクロ波をダミーロード76へと分流させるためのサーキュレータ78とを備えるアイソレータ74;マイクロ波の位相を制御するための非回転位相シフタ82;サーキュレータ80;マイクロ波キャビティ92;マイクロ波パワーヘッド72からマイクロ波キャビティ92へとマイクロ波を伝搬させるための導波管90;および、反射されたマイクロ波の位相を制御するためのスライディングショート94を備える。他の実施例では、システム70はさらにパワーメータ84に接続されてマイクロ波フラックスを測定するための結合器86;および、マイクロ波のインピーダンスを一致させるためのチューナ88を備えることができる。さらに他の実施態様では、スライディングショート94を壁に取り替えてよく、この場合、マイクロ波伝搬に沿ったマイクロ波キャビティ92の寸法は、マイクロ波の波長の半分の倍数とされる。ノズル98を備えるノズルアレイ99をマイクロ波キャビティ92に接続してよく、ガスタンク96から提供されるガスからプラズマプルーム100を発生する。ノズル98の具体的な詳細は以下に説明する。
図3において、符号102で示す挿入図は、マイクロ波パワーヘッド72からマイクロ波キャビティ92へと向かうマイクロ波の伝搬を描いている。伝搬されるマイクロ波は、矢印104で表示されるようにスライディングショート94から反射され、入ってくるマイクロ波と干渉し合ってマイクロ波キャビティ92内でマイクロ波の定在波を発生する。スライディングショート94は反射されたマイクロ波の位相を制御でき、非回転位相シフタ82とともに使用された場合は、静止波の位置および最大振幅、ならびに図2(B)に示す高エネルギー領域69に類似の高エネルギー領域の幅を制御できる。
図4(A)は、図1に示すプラズマノズルアレイ37の上面図であり、マイクロ波キャビティ32内に、反対方向68aおよび68bに移動するマイクロ波によって確立される高エネルギー領域69内に配置されるノズル36を描いている。図示されるように、参照符号37で示されるノズルアレイは二次元アレイとして記載されている。しかしながら、ノズルの他のアレインジメントも使えることは当業者に明らかなはずである。例えば、ノズルアレイ37はz方向またはx方向のいずれかに配置されるノズル36の一次元アレイだけを持ち得る。図3のノズルアレイ99も図4(A)と同じアレインジメントを持ち得ることがわかる。
図4(B)は、図4(A)に示すマイクロ波キャビティおよびノズルアレイの、IV−IV方向の沿った断面図110である。図示されるように、マイクロ波キャビティ32は、ガスタンク34からガスを流入させるためのガスフローチャネル112を形成している壁111;マイクロ波供給源13から伝搬されたマイクロ波を受け取り、高エネルギー領域69を発生するキャビティ113を備えている。それぞれのノズル36は、キャビティ壁111に接続されてガスフローチャネル112を通じてガスを受け取るガスフロー管120;高エネルギー領域69においてマイクロ波を受信するための部分116をキャビティ113内に持つロッド状コンダクタ114;およびロッド状コンダクタ114とガスフロー管120との間に配置されたうず巻状ガイド118を備えることができる。うず巻状ガイド118は、ロッド状コンダクタ114の周りにらせん状の渦巻き流路を生成するための少なくとも1つの開口部119を持っている。ロッド状コンダクタの前記部分116によって受け取られるマイクロ波は、その先細り先端部117に集中され、ガスを使ってプラズマプルーム38を発生する。ガスフロー管120はマイクロ波を実質的に透過させる材料から製造してよい。例えば、ガスフロー管120は石英のような誘電体材料から製造してよい。
高エネルギー領域69の幅62は、非回転位相シフタ24a、24bを制御することによって最適化できる。一般に、高エネルギー領域69の幅が小さいほどノズル36の動作効率がより高くなる。しかしながら、システム10の作動中の高エネルギー領域69が変動する可能性を考慮して、高エネルギー領域69の幅62はロッド状コンダクタ114の直径よりもわずかに大きくしてよい。
図4(C)は、図4(B)に示されているマイクロ波キャビティおよびノズルアレイの他の実施態様122の断面図である。図示されるように、ノズル128は図4(B)に図示するものに類似の構成部材をもっている。図4(C)のものは、封止状態で壁126に接続されてガスフローチャネル127を通じてガスを受け取るガスフロー管134;キャビティ133内において高エネルギー領域69からマイクロ波を受信するためのロッド状コンダクタ130;および、うず巻状ガイド132を備えている。ガスフロー管134はマイクロ波を実質的に透過する材料であればどのような材料からでも製造でき(すなわち、マイクロ波が非常に低いエネルギー損失でガスフロー管134を通過できる)、このような材料を用いると、ガスフロー管134を流れるガスを、ロッド状コンダクタ130の先細り先端部の領域に到達する前にキャビティ133内であらかじめ加熱できる。
図4(D)は、図4(A)に示すマイクロ波キャビティおよびノズルアレイのさらに他の実施態様140の断面図である。図示されるように、ノズル144は図4(B)に描かれている相手部材に類似の構成部材、すなわち、マイクロ波キャビティ142の壁143に封止状態で接続されてガスを受け取るガスフロー管148;高エネルギー領域69からマイクロ波を受信するためのロッド状コンダクタ152;およびうず巻状ガイド146を備えている。マイクロ波キャビティ142は、ガスタンク34に接続され、ガスフローチャネルを構成している。ロッド状コンダクタ152は、図4(B)に描かれているコンダクタ114に類似であってよく、この場合、ロッド状コンダクタ114の部分116はキャビティ113に挿入されてマイクロ波を受信する。そして、受信されたマイクロ波は、その表面に沿って移動し先細り先端部に集中される。
上述のように、高エネルギー領域69の幅62(図2)は非回転位相シフタ24a、24bを制御することによって最適化できる。一般に、高エネルギー領域の幅が小さいほどノズル36の動作効率が高くなる。このような理由で、図4(A)〜(D)では、高エネルギー領域69の幅62はロッド状コンダクタ114の直径よりもわずかに大きくてよい。これらの用途では、2つの隣接し合うノズル間のx方向の間隔は反対方向68a、68bに移動するマイクロ波の波長の半分でよい。しかしながら用途によっては、波長の半分であることからx方向に沿ってプラズマ特性に変動が生じる場合があり、その結果、ノズル間の間隔はより小さいことが必要な場合がある。図5(A)〜(D)は、2つの隣接し合うノズルの間の間隔がx方向にさまざまであるノズルアレイを描いている。
図5(A)は、図4(A)に示すプラズマノズルアレイの他の実施態様37’の上面図であり、反対方向68a’および68b’に移動するマイクロ波によって確立される高エネルギー領域69’内に配置されたノズル36’を描いている。図示するように、高エネルギー領域69’の幅62’は、ピッチ54’がマイクロ波の波長に等しいにもかかわらず1つ以上のノズル36’をx方向に収容するのに十分な大きさである。幅62’は図2(A)に関連して説明したように、マイクロ波68a’、68b’間の位相差を変動させることで制御できる。図3のノズルアレイ99は、図5(A)に図示するものと同じアレインジメントであってよいことがわかる。
図5(B)〜(D)は、図5(A)のマイクロ波キャビティおよびノズルアレイのさまざまな実施態様の線IV’−IV’に沿った断面図である。図示するように、参照符号110’(図5(B))、122’(図5(C))、および140’(図5(D))で示される3つの実施態様は、参照符号110、122、および140でそれぞれ示される相手部材に類似であるが、幅62’が1より多くのノズルをx方向に収容するのに十分大きくてよい点が異なっている。
図4(B)〜(D)および図5(B)〜(D)に描かれるそれぞれのノズルは、キャビティ内に挿入されてマイクロ波を受信する部分を持つロッド状のコンダクタを備えている。そして、受信されたマイクロ波は、その表面を移動し先細り先端部に集中される。移動するマイクロ波の一部はガスフロー管を通じて失われる場合があるため、図6(A)、(B)に示すように、遮蔽メカニズムを使ってノズルの効率を改善してよい。
図6(A)は、ノズル160の断面図を示しており、図4(C)に示されるノズル36の他の実施態様である。図示されるように、ノズル160は、ロッド状コンダクタ162;ガスフロー管164;うず巻状ガイド166;およびガスフロー管164を通る際のマイクロ波損失を緩和するための内側遮蔽168を備えている。内側遮蔽168は管状の形状であり、うず巻状ガイド166の外側表面に沿って形成されたくぼみに係合する。内側遮蔽168はロッド状コンダクタ162周辺のらせん状の渦巻きをさらに制御でき、またガスフロー管164とロッド状コンダクタ162との間の隙間を変化させることによってプラズマの安定性を高める役目を果たす。
図6(B)は、図4(C)に示すノズル36のさらに他の実施態様である他のノズル170の断面図である。図示されるように、ノズル170は、ロッド状コンダクタ172;ガスフロー管174;うず巻状ガイド176;およびガスフロー管174を通る際のマイクロ波パワー損失を緩和するための接地遮蔽178を備えている。接地遮蔽178はマイクロ波キャビティの外部であるガスフロー管174の一部を覆うことができる。内側遮蔽168と同様に、接地遮蔽178はロッド状コンダクタ172周辺のらせん状の渦巻きをさらに制御でき、ガスフロー管174とロッド状コンダクタ172との間の隙間を変化させることによってプラズマの安定性を高めることができる。
上述のように、図4(B)〜(D)および図5(B)〜(D)に示されたノズルに適用される主な加熱メカニズムは、ロッド状コンダクタの先細り先端部に隣接して集中され放出されるマイクロ波であり、この場合、ノズルは殺菌のために非LTEプラズマを生成できる。非LTEプラズマでは、イオンおよび中性物質の温度は100℃未満であり得るが、電子の温度は摂氏数万度℃までにもなり得る。従って、かかるプラズマは電子的に非常に高く励起する。電子温度を高めノズル効率を高めるために、ノズルは、図6(C)〜(F)に図示するように、ガスがガスフロー管内にある状態でガスを電子的に励起させるさらに別のメカニズムを備えてよい。
図6(C)は、図4(C)に図示するノズル36のさらに他の実施態様であるノズル180の断面図である。図示されるように、ノズル180は、ロッド状コンダクタ182;ガスフロー管184;うず巻状ガイド186;およびガスフロー管184内で渦巻くガスを電子的に励起させるための一対の外側磁石188を備えている。外側磁石188のそれぞれは、ガスフロー管184の外側表面の周りに配置された半円状の断面を持つ円筒状シェルを持ってよい。
図6(D)は、図4(C)に図示するノズル36のさらに他の実施態様であるノズル190の断面図である。図示されるように、ノズル190は、ロッド状コンダクタ192;ガスフロー管194;うず巻状ガイド196;およびガスフロー管194内にうず巻状ガイド196によって固定され、ガスフロー管194でらせん状渦巻きを電子的に励起させるための一対の内側磁石198を備えている。内側磁石198のそれぞれは、断面が半円状の円筒状シェルを持ってよい。
図6(E)は、図4(C)に図示するノズル36のさらに他の実施態様であるノズル200の断面図を示す。図示されるように、ノズル200は、ロッド状コンダクタ202;ガスフロー管204;うず巻状ガイド206;一対の外側磁石208;および内側遮蔽210を備えている。外側磁石208のそれぞれは断面が半円状の円筒状シェルを持ち得る。他の実施態様では、内側遮蔽210は管状の形状であってよい。
図6(F)は、図4(C)に図示するノズル36のさらに他の実施態様であるノズル212の断面図である。図示されるように、ノズル212は、ロッド状コンダクタ214;ガスフロー管216;うず巻状ガイド218;アノード220;およびカソード222を備えている。アノード220およびカソード222は電源(簡素化のために図5(F)には図示していない)に接続されており、ガスフロー管216内で渦巻くガスを電子的に励起させることができる。
上述のように、図6(A)〜(F)は、図4(C)に図示するノズル36のさまざまな実施態様の断面図を示している。しかしながら、図6(A)〜(F)に図示する実施態様を、図4(C)、(D)および図5(B)〜(D)に図示するノズルに適用できることは当業者にとって明らかなはずである。また、当業者であれば図4(A)〜図6(F)の説明は、図3のシステム3に等しく適用できることがわかるであろう。
図2(B)に戻って、ノズル36は、高エネルギー領域69内に配置することで、マイクロ波キャビティ32内におけるマイクロ波エネルギーの利用を最大にすることができる。一般に、マイクロ波キャビティ32の動作効率は、高エネルギー領域69がノズル36の周りにだけ限定されれば高くできる。典型的なノズルの断面は縦横比が均一に近い状態で円形または矩形であるため、マイクロ波キャビティの動作効率は図7〜図9において後で説明するように、高エネルギー領域が二次元マトリックス形態で矩形領域内に限定されれば最大にできる。
図7は、本発明の1つの実施態様に係る、プラズマノズルアレイを持つ参照符号230で示されるシステムの概略図である。参照符号230で示されるシステムの構成部材は、マイクロ波が互いに対して垂直にマイクロ波キャビティ250内を移動している点を除き、図1のそれらの相手部材に類似である。図示されるように、システム230は、マイクロ波パワーヘッド232と2つの出口を持つパワースプリッタ234とを具備するマイクロ波供給源233;一対の非回転位相シフタ244a、244b;一対のサーキュレータ236a、236bと、一対のダミーロード238a、238bとを備える一対のアイソレータ237a、237b;一対のサーキュレータ242a、242b;導波管240a、240b;マイクロ波キャビティ250;好ましくは二次元アレイを形成している1つ以上のノズル256;および一対のスライディングショート254a、254bを備えている。挿入図260a、260bは、マイクロ波キャビティ250に搬送されるマイクロ波を表している。システム230はさらに、一対の結合器246a、246b;一対のチューナ248a、248b;および一対の結合器246a、246bにそれぞれ接続されている一対のパワーメータ247a、246bを備えている。ガスタンク34をマイクロ波キャビティ250に接続して、マイクロ波キャビティ250に結合されているノズル256にガスを提供するようにしてよい。他の実施態様では、アイソレータをマイクロ波パワーヘッド232とパワースプリッタ234との間に配置することにより、アイソレータ237a、237bに代えてよい。
図8は、2つの干渉し合うマイクロ波の伝搬方向によって定義されている平面に垂直な方向に見た、マイクロ波キャビティ250内の高エネルギー領域の分布を表わしており、2つのマイクロ波が波形260a、260bによって図示されている。図8に図示されるように、波形260a、260bによって図示される2つのマイクロ波、および波形261a、261bによって図示される2つの反射マイクロ波は、二次元アレイ形態に高エネルギー領域268を発生し、この場合、間隔264a、264bはそれぞれマイクロ波260a、260bの波長の半分に相当する。図2(B)に図示される干渉パターンに適用されるのと同じ原則によって、マイクロ波260a、261a、およびマイクロ波260b、261bは2つのマイクロ波の定在波を発生し、これらはそれぞれがストリップ状の高エネルギー領域262a、262bを生み出す。そして、定在波は、さらに干渉し合って図8に描くようなマトリックス形態の高エネルギー領域268を発生する。高エネルギー領域258の位置及び幅266a、266bは、非回転位相シフタ244a、244bおよび/またはスライディングショート254a、254bによって制御できる。図8に示すように、それぞれのノズル256のロッド状コンダクタの一部は、高エネルギー領域内に配置してマイクロ波エネルギーを収集するようにしてよい。
他の実施態様では、2つの別々のマイクロ波パワーヘッドをマイクロ波供給源233に取り替えてよく、この場合、それぞれのマイクロ波パワーヘッドはマイクロ波をマイクロ波キャビティ250へと搬送できる。このような実施態様では、2つのマイクロ波は異なる波長および振幅を持ってよく、その結果、間隔264a、264bは互いに異なる場合がある。同様に、高エネルギー領域の幅266a、266bは互いに異なる場合がある。
図9は、本発明のさらに他の実施態様に係る、二次元アレイ形態の高エネルギー領域を発生するためのマイクロ波キャビティおよび導波管をひとまとめにして参照符号270で示している概略図である。図示されるように、マイクロ波キャビティ276は、それぞれ4つの導波管272a〜272dを通って移動する4つのマイクロ波274a〜274dを受け取ることができる。マイクロ波の位相は、導波管272a〜272dにそれぞれ結合されている4つの非回転位相シフタ(図9には図示せず)のうちの対応する1つによって制御できる。4つのマイクロ波274a〜274dは、1つ以上のマイクロ波パワーヘッドによって発生できる。4つのマイクロ波274a〜274dのそれぞれは、4つのマイクロ波パワーヘッドのうちの対応する1つによってそれぞれ発生できる。他の実施態様では、2つのマイクロ波パワーヘッドがマイクロ波を発生し、この場合、それぞれのマイクロ波が2つのマイクロ波に分割される。さらに他の実施態様では、4つの出口を持つパワースプリッタを使って1つのマイクロ波パワーヘッドを4つのマイクロ波に分割できる。これら3つの実施態様は例示的な目的で提供されているにすぎないことがわかる。従って、当業者にとっては4つのマイクロ波を提供する能力のあるものであれば、本発明から逸脱することなくマイクロ波導波管272a〜272dとともにどのような適切なシステムでも使えることは明らかなはずである。
図6(A)〜(F)のノズルのさまざまな実施態様、およびガスフローチャネルを形成している図4(B)〜(D)のマイクロ波キャビティの壁もまた図9に説明するシステムに適用できる。簡素化のため、このような実施態様は図示しなかった。
図8に戻ると、2つの隣接し合うノズル間のxおよびz方向の間隔264aおよび264bは、それぞれ波形260aおよび260bによって示されるマイクロ波の波長の半分でよい。用途によっては、これらの波長の半分の間隔によってxおよびz方向に沿ってプラズマ特徴に変動が生じる場合があり、その結果、ノズル間の間隔はより小さいことが必要な場合がある。例えば、図10は、図7および図9に描かれるシステムのマイクロ波キャビティ内で見られる高エネルギー領域の他の干渉パターンを示している。図示されるように、それぞれの高エネルギー領域268’は隣接するノズルとの間隔がより小さい1つ以上のノズル256’を備えることができる。間隔を小さくすることによって、マイクロ波キャビティ250’に結合されているノズルアレイは、xおよびz方向の両方に均一性が改善されたプラズマを発生できる。図8の場合と同様に、それぞれの高エネルギー領域268’の幅266aは2つのマイクロ波160a’、261a’の間の位相差を調整することによって制御でき、幅266b’は2つのマイクロ波260b’、261b’の間の位相差を調整することによって制御できる。
図11は、参照符号310によって示されプラズマノズルアレイ337を持つ、本発明のさらに他の実施態様に係るシステムの概略図である。図示されるように、参照符号310で示されるシステムは参照符号10(図1)で示されるシステムに非常に似ているが、ノズルアレイ337のノズル336が、ガスタンク334から直接ガスを受け取る点が異なっている。ガスタンク334からのガスライン370は複数の分岐371を持ってよく、この場合、それぞれの分岐はノズル336のうちの1つに結合できまた従来のガス管から形成できる。
図12は、図11のz軸に垂直な方向に沿った、マイクロ波キャビティ332およびノズルアレイ337の断面図を示している。図示されるように、ノズル336は、ガスフロー管358;接地遮蔽360にぴったりと嵌合されているガスフロー管358を通る際のマイクロ波損失を緩和し、キャビティ壁332で封止された接地遮蔽360;マイクロ波キャビティ332内に配置された部分354を持ちマイクロ波キャビティ332内でマイクロ波キャビティ332からのマイクロ波を受信するロッド状コンダクタ352;ロッド状コンダクタ352と接地遮蔽360との間に配置されて接地遮蔽360に対してロッド状コンダクタ352を確実に保持するよう構成されている位置ホルダ356;および分岐371を接地遮蔽360に結合するためのガス供給メカニズム362を備えている。位置ホルダ356、接地遮蔽360、およびロッド状コンダクタ352は、それぞれうず巻状ガイド146(図4(D))、接地遮蔽178(図6(B))、およびロッド状コンダクタ152(図4(D))と同じ材料から製造してよい。例えば、接地遮蔽360は金属から、好ましくは銅から製造してよい。
図12に図示するように、ノズル336はガス供給メカニズム362を通ってガスを受け取ることができる。ガス供給メカニズム362は、米国、インディアナ州のインディアナポリスに所在するSMC社によって製造されている油圧式ワンタッチ取り付け具(モデル番号KQ2H05−32)を用いることができる。ガス供給メカニズム362の一端は、図13に図示するように接地遮蔽360の穴364のエッジに形成されている雌ねじと噛み合うねじ付きボルトを持っている。本発明は他の適切なタイプのガス供給メカニズムを使って実施できることは当業者にとって明らかなはずである。システム310に使用できるノズル336およびマイクロ波キャビティ332のいくつかの実施態様を前で言及した2005年7月21日に出願された「マイクロ波キャビティ内での電力分布を制御するシステムおよび方法」という名称のPCT出願で議論している。
図13は、図12に図示するノズル336の分解斜視図である。図示するように、ロッド状コンダクタ352および接地遮蔽360は、それぞれ位置ホルダ352の内側および外側周辺部と係合できる。ロッド状コンダクタ352は、マイクロ波キャビティ332からマイクロ波を受信するアンテナとして作用する部分354を持っている。受信されたマイクロ波は、ロッド状コンダクタ352に沿って移動し、ガスフロー管358を通って流れるガスを使ってプラズマ338を発生する。ロッド状コンダクタという用語は、円形断面、卵形断面、楕円形断面、または長楕円形断面のようなさまざまな断面、またはそれらのどのような組み合わせも持つコンダクタを網羅することを意図している。
マイクロ波は、マイクロ波キャビティ332内に延びるロッド状コンダクタ352の部分354によって受信できる。これらのマイクロ波は、ロッド状コンダクタを降りて先細り先端部へ向かって移動する。より具体的には、マイクロ波はロッド状コンダクタ352の表面によって受け取られこれに沿って移動する。マイクロ波の浸透および移動の原因となる表皮の深さは、マイクロ波周波数およびコンダクタ材料の関数である。マイクロ波浸透の距離は1ミリメートル未満にできる。従って、中空の部分401を持つ図14(A)のロッド状コンダクタ400は、ロッド状コンダクタ352の他の実施態様である。
いくつかの貴金属が良好なマイクロ波コンダクタであることは公知である。従って、ロッド状コンダクタの性能を妥協させることなく装置の単価を安くするには、ロッド状コンダクタの表皮層を良好なマイクロ波コンダクタである貴金属から製造すると同時に、コアの内部により安価な導電材料を使うとよい。図14(B)は、ロッド状コンダクタのさらに他の実施態様の断面図であり、ロッド状コンダクタ402は、貴金属から製造される表皮層406と、より安価な導電材料から製造されているコア層404とを備えている。
図14(C)は、ロッド状コンダクタのさらに他の実施態様の断面図であり、ロッド状コンダクタ408は、円錐状に先細りとなっている先端部410を備えている。他の断面の変形例もまた使用できる。例えば、円錐状に先細りの先端部410はロッドコンダクタ408の他の部分よりもプラズマによってより早くに腐食する可能性があるため、定期的に取り替える必要がある場合がある。
図14(D)は、ロッド状コンダクタのさらに他の実施態様の断面図であり、ロッド状コンダクタ412は鋭利な先端部の代わりにとがっていない先端部を持っており、その寿命が長くなっている。
図14(E)は、ロッド状コンダクタのさらに他の実施態様の断面図であり、ロッド状コンダクタ416は適切な固定メカニズム422(この場合は、先細り部418はスクリュー端部422を使って円筒状部420にねじ込める)によって円筒状部分420に固定されている先細り部418を備え、交換が簡単かつ迅速に行えるようになっている。
図14(F)〜(I)は、ロッド状コンダクタのさらに他の実施態様の断面図を示している。図示されるように、ロッド状コンダクタ421、424、428、および434はそれぞれの相手部材352(図13)、400(図14(A))、402(図14(B))、および416(図14(E))にそれぞれ類似であるが、プラズマによる腐食率を小さくするためにとがっていない先端部を持つ点が異なっている。図14(A)〜(I)に描かれるロッド状コンダクタのさまざまな実施態様は、図1および図3〜図13に説明するノズルのいずれの実施態様にも使えることが分かる。
図15は、本発明の少なくとも1つの実施態様によって、マイクロ波プラズマノズルアレイを構成するための例示的なステップを示すフローチャート500である。ステップ502で、マイクロ波の第1ペアが第1軸に沿って互いに反対方向にマイクロ波キャビティへと向けられる。次に、ステップ504で、マイクロ波の第2ペアが第2軸に沿って反対方向にマイクロ波キャビティへと向けられる。この場合、第1軸は第2軸に垂直で、マイクロ波の第1、第2ペアが干渉し合うことで、マイクロ波キャビティ内に定常的な高エネルギー領域を生み出すようになっている。そして、マイクロ波の第1、第2ペアから選択された少なくとも1つのマイクロ波の位相が、ステップ506で高エネルギー領域を制御するよう調整される。最後に、ステップ508で、ノズルアレイがマイクロ波キャビティに結合され、この場合、高エネルギー領域の対応する1つからマイクロ波エネルギーを収集するようにノズルアレイの1つ以上のノズル素子が構成される。
本発明をその具体的な実施態様を参照して説明してきたが、当然のことながら、上述のものは本発明の好ましい実施態様に関連しており、特許請求の範囲に規定されるような本発明の精神および範囲から逸脱することなく改変を行い得ることが理解されるはずである。
加えて、多くの改変を行って特定の状況、システム、プロセス、単一または複数のプロセス工程を本発明の目的、精神、および範囲に適合させるようにし得る。かかる改変はすべて、本願に添付の特許請求の範囲の範囲内とされることが意図されている。
本発明の1つの実施態様に係る、プラズマノズルアレイを持つシステムの概略図である。 (A)は、図1に示すシステムのマイクロ波キャビティ内で、互いに反対方向に移動している2つのマイクロ波の干渉を示す図、(B)は、図1に示すシステムのための、マイクロ波キャビティ内での高エネルギー領域の分布を概略的に示す図である。 本発明の他の実施態様に係る、プラズマノズルアレイを持つシステムの概略図である。 (A)は、図1に示すマイクロ波キャビティおよびプラズマノズルアレイの上面図、(B)は、(A)に示すマイクロ波キャビティおよびノズルの、IV−IV線に沿った断面図、(C)は、(B)に示すマイクロ波キャビティおよびノズルアレイの他の実施態様の断面図、(D)は、(B)に示すマイクロ波キャビティおよびノズルアレイのさらに他の実施態様の断面図をそれぞれ示している。 (A)は、図4(A)に示すプラズマノズルアレイの他の実施態様の上面図、(B)は、(A)に示すマイクロ波キャビティおよびノズルアレイの、IV’−IV’線断面図、(C)は、(B)に示すマイクロ波キャビティおよびノズルアレイの他の実施態様の断面図、(D)は、(B)に示すマイクロ波キャビティおよびノズルアレイのさらに他の実施態様の断面図をそれぞれ示している。 (A)〜(F)は、図4(C)に示すマイクロ波プラズマノズルの他の実施態様の断面図を示しており、ノズル効率を改善するためのさらなる構成部材を表している。 本発明の他の実施態様に係る、プラズマノズルアレイを持つシステムの概略図である。 図7に示すシステムのマイクロ波キャビティ内で見られる高エネルギー領域の干渉パターンを示しており、高エネルギー領域におけるノズルアレイの1つのアレインジメントを表している。 本発明のさらに他の実施例に係る、高エネルギー領域を二次元アレイ形態に発生するためのマイクロ波キャビティおよび導波管の概略図である。 図7および図9に示すシステムのマイクロ波キャビティ内で見られる高エネルギー領域の他の干渉パターンを示しており、高エネルギー領域におけるノズルアレイの他のアレインジメントを表している。 本発明のさらに他の実施態様に係る、プラズマノズルアレイを持つシステムの概略図である。 図11に示すマイクロ波キャビティおよびノズルアレイの、z軸に垂直な方向に沿った断面図を示している。 図12に示すノズルの分解斜視図である。 (A)〜(I)は、図13に示すロッド状コンダクタの他の実施態様の断面図を示している。 本発明の少なくとも1つの実施態様に係る、マイクロ波ノズルアレイを結合するための例示的な工程を表すフローチャートを示している。
符号の説明
10 システム
12 マイクロ波パワーヘッド
13 マイクロ波供給源
14 パワースプリッタ
17a、17b アイソレータ
20a、20b 導波管
22a、22b サーキュレータ
24a、24b 非回転位相シフタ
26a、26b 結合器
28a、28b パワーメータ
30a、30b チューナ
32 マイクロ波キャビティ
36 ノズル
37 ノズルアレイ
38 プラズマ

Claims (22)

  1. マイクロ波キャビティ内でマイクロ波同士を干渉させマイクロ波の定在波パターンが静止的に形成されるように、互いに反対方向からマイクロ波をマイクロ波キャビティへ向かわせるステップと、
    少なくとも1つのマイクロ波の位相を調整して、マイクロ波の定在波パターンによって定在波エネルギーの腹近傍の領域を制御するステップと、
    ノズル素子の1つ以上が前記定在波エネルギーの腹近傍の領域の1つからマイクロ波エネルギーを受け取るように、ノズルアレイの少なくとも一部を前記マイクロ波キャビティ内に配置するステップと、を具備し、
    前記ノズル素子の各々が、
    その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、
    前記ガスフロー管内に軸方向に配置され、マイクロ波を受信するために前記マイクロ波キャビティ内に配置される受信部と、該受信部に連なる先端部とを含むロッド状コンダクタとを備え、
    前記受信部は、受信したマイクロ波を伝搬して前記先端部に集中させるものであり、前記先端部は、前記ガスフロー管の前記出口部に隣接して配置されていることを特徴とするマイクロ波プラズマノズルアレイを構成するための方法。
  2. 前記マイクロ波を向かわせるステップは、
    マイクロ波をマイクロ波キャビティへと伝搬させるステップと、
    マイクロ波キャビティに接続されているスライディングショートを使って前記マイクロ波を反射させるステップと、
    を具備することを特徴とする請求項1に記載の方法。
  3. 前記マイクロ波を向かわせるステップは、
    2つのマイクロ波パワーヘッドによって発生されたマイクロ波をマイクロ波キャビティへ伝搬させるステップを含むことを特徴とする請求項1に記載の方法。
  4. 互いに干渉して定在波を発生させるマイクロ波の第1ペアを第1軸に沿って互いに反対方向からマイクロ波キャビティへと向かわせるステップと、
    互いに干渉して定在波を発生させるマイクロ波の第2ペアを、前記第1軸と直交する第2軸に沿って互いに反対方向からマイクロ波キャビティへと向かわせ、マイクロ波の前記第1及び第2ペアを干渉させてマイクロ波キャビティ内で定在波エネルギーの腹近傍の領域を形成するステップと、
    少なくとも1つのマイクロ波の位相を調整することで、前記定在波エネルギーの腹近傍の領域を制御するステップと、
    ノズル素子の1つ以上が前記定在波エネルギーの腹近傍の領域の1つからマイクロ波エネルギーを受け取るように、ノズルアレイの少なくとも一部を前記マイクロ波キャビティ内に配置するステップと、
    を具備することを特徴とするマイクロ波プラズマノズルアレイを構成するための方法。
  5. 前記マイクロ波の第1ペアを向かわせるステップは、
    マイクロ波をマイクロ波キャビティへと伝搬させるステップと、
    マイクロ波キャビティに接続されているスライディングショートを使って前記マイクロ波を反射させるステップと、
    を具備することを特徴とする請求項4に記載の方法。
  6. 前記マイクロ波の第1ペアを向かわせるステップは、
    2つのマイクロ波パワーヘッドによって発生されたマイクロ波をマイクロ波キャビティへ伝搬させるステップを含むことを特徴とする請求項4に記載の方法。
  7. マイクロ波パワーヘッドによって前記マイクロ波を発生するステップと、
    前記マイクロ波パワーヘッドに接続されたパワースプリッタを設けるステップと、
    をさらに具備することを特徴とする請求項4に記載の方法。
  8. 少なくとも1つのマイクロ波の位相を調整する前記ステップは、マイクロ波の前記第1ペアの位相を調整する工程を含むことを特徴とする請求項4に記載の方法。
  9. 少なくとも1つのマイクロ波の位相を調整する前記ステップは、マイクロ波の前記第2ペアの位相を調整する工程を含むことを特徴とする請求項4に記載の方法。
  10. 少なくとも1つのマイクロ波の位相を調整する前記ステップは、マイクロ波の前記第1及び第2ペアの双方の位相を調整する工程を含むことを特徴とする請求項4に記載の方法。
  11. マイクロ波キャビティと、
    前記マイクロ波キャビティに取り付けられるノズルのアレイと、
    互いに干渉して定在波を発生させるマイクロ波の第1ペアを第1軸に沿って互いに反対方向から前記マイクロ波キャビティへ入射させる第1の導波手段と、
    互いに干渉して定在波を発生させるマイクロ波の第2ペアを、前記第1軸と直交する第2軸に沿って互いに反対方向から前記マイクロ波キャビティへ入射させる第2の導波手段と、
    前記第1ペアのマイクロ波及び前記第2ペアのマイクロ波の位相を調整することで、前記マイクロ波キャビティ内において前記マイクロ波の前記第1及び第2ペアを干渉させ、前記マイクロ波キャビティ内で定在波エネルギーの腹近傍の領域を形成させる位相調整手段と、を備え、
    前記ノズルはそれぞれ、
    その中にガスを流すために適用され、入口部及び出口部を持つガスフロー管と、
    前記ガスフロー管内に軸方向に配置され、その一部がマイクロ波を受信するために前記マイクロ波キャビティ内に配置されていると共に、その先端部が前記ガスフロー管の前記出口部に隣接して配置されているロッド状コンダクタと、を備え、
    前記ロッド状コンダクタの前記マイクロ波キャビティ内に配置されている部分は、前記定在波エネルギーの腹近傍の領域によって占められている空間内に配置されていることを特徴とするマイクロ波プラズマノズルアレイユニット。
  12. 前記ノズルのそれぞれが、
    前記ロッド状コンダクタと前記ガスフロー管との間に配置され、その流路に沿って通過するガスに前記ロッド状コンダクタの回りに螺旋状の流動方向を付与する少なくとも1つの流路を持つうず巻状ガイドをさらに備えることを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  13. 前記マイクロ波キャビティは壁を備えており、前記マイクロ波キャビティの壁は前記ガスフロー管の入口に接続されているガス流路の一部を形成していることを特徴とする請求項12に記載のマイクロ波プラズマノズルアレイユニット。
  14. 前記ノズルのそれぞれが、
    前記ガスフロー管の一部に隣接して設けられ前記ガスフロー管を通る際のマイクロ波パワー損失を緩和するための、導電材料からなる遮蔽をさらに備えることを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  15. 前記ノズルのそれぞれが、
    前記ガスフロー管の外部表面に配置され、前記ガスフロー管を通る際のマイクロ波パワー損失を緩和するための接地遮蔽であって、ガス流を受け入れるための穴を持つ接地遮蔽をさらに備えることを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  16. 前記ノズルのそれぞれが、
    前記ロッド状コンダクタと前記接地遮蔽との間に配置され、前記接地遮蔽に対して前記ロッド状コンダクタを固定して保持するための位置ホルダをさらに備えることを特徴とする請求項15に記載のマイクロ波プラズマノズルアレイユニット。
  17. 前記ガスフロー管は、石英からなることを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  18. 前記ノズルのそれぞれが、
    前記ガスフロー管に隣接して配置される一対の磁石をさらに備え、該一対の磁石は円筒の一部に類似した形状を有することを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  19. 前記ノズルのそれぞれが、
    前記ガスフロー管の一部に隣接して配置されるアノードと、前記ガスフロー管の他の部分に隣接して配置されるカソードと、をさらに備えることを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  20. 前記マイクロ波キャビティが、
    前記マイクロ波キャビティの、互いに垂直な関係にある側面に設けられた第1及び第2のマイクロ波入口と、
    前記第1及び第2のマイクロ波入口から導入されたマイクロ波をそれぞれ反射するように構成された第1及び第2のスライディングショートと、を備え、
    前記第1の導波手段は、前記第1のマイクロ波入口に接続される第1導波管と前記第1のスライディングショートとを含み、
    前記第2の導波手段は、前記第2のマイクロ波入口に接続される第2導波管と前記第2のスライディングショートとを含む、
    ことを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  21. 前記マイクロ波キャビティが、
    第1軸に沿って前記マイクロ波キャビティの両側に設けられたマイクロ波入口の第1ペアと、
    前記第1軸と実質的に直交する第2軸に沿って前記マイクロ波キャビティの両側に設けられたマイクロ波入口の第2ペアと、を備え、
    前記第1の導波手段は、前記マイクロ波入口の第1ペアにそれぞれ接続される第1及び第2導波管を含み、
    前記第2の導波手段は、前記マイクロ波入口の第2ペアにそれぞれ接続される第3及び第4導波管を含む、
    ことを特徴とする請求項11に記載のマイクロ波プラズマノズルアレイユニット。
  22. マイクロ波供給源と、
    前記マイクロ波供給源に接続されたアイソレータと、
    請求項11〜21のいずれかに記載されたプラズマノズルアレイユニットと、
    を含むマイクロ波プラズマシステム。
JP2007523689A 2004-07-30 2005-07-21 マイクロ波プラズマノズルアレイを構成するための方法、マイクロ波プラズマノズルアレイユニット及びマイクロ波プラズマシステム Expired - Fee Related JP4896880B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/902,435 US7806077B2 (en) 2004-07-30 2004-07-30 Plasma nozzle array for providing uniform scalable microwave plasma generation
US10/902,435 2004-07-30
PCT/US2005/026280 WO2006014862A2 (en) 2004-07-30 2005-07-21 Plasma nozzle array for providing uniform scalable microwave plasma generation

Publications (2)

Publication Number Publication Date
JP2008508683A JP2008508683A (ja) 2008-03-21
JP4896880B2 true JP4896880B2 (ja) 2012-03-14

Family

ID=35197707

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007523689A Expired - Fee Related JP4896880B2 (ja) 2004-07-30 2005-07-21 マイクロ波プラズマノズルアレイを構成するための方法、マイクロ波プラズマノズルアレイユニット及びマイクロ波プラズマシステム

Country Status (10)

Country Link
US (2) US7806077B2 (ja)
EP (1) EP1790201B1 (ja)
JP (1) JP4896880B2 (ja)
KR (1) KR100871475B1 (ja)
CN (1) CN101066000B (ja)
AU (1) AU2005269581B2 (ja)
CA (1) CA2574114A1 (ja)
DE (1) DE602005026300D1 (ja)
RU (1) RU2342734C2 (ja)
WO (1) WO2006014862A2 (ja)

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7271363B2 (en) * 2004-09-01 2007-09-18 Noritsu Koki Co., Ltd. Portable microwave plasma systems including a supply line for gas and microwaves
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US20090056876A1 (en) * 2006-01-30 2009-03-05 Noritsu Koko Co., Ltd. Work Processing System and Plasma Generating Apparatus
JP2007220479A (ja) * 2006-02-16 2007-08-30 Noritsu Koki Co Ltd ワーク処理装置及びプラズマ発生装置
JP2007220480A (ja) * 2006-02-16 2007-08-30 Noritsu Koki Co Ltd プラズマ発生装置及びワーク処理装置
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
JP4680091B2 (ja) * 2006-02-23 2011-05-11 株式会社サイアン プラズマ発生装置及びワーク処理装置
JP2007220499A (ja) * 2006-02-17 2007-08-30 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP4647566B2 (ja) * 2006-08-30 2011-03-09 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
JP4837394B2 (ja) * 2006-02-17 2011-12-14 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
JP2007220589A (ja) * 2006-02-20 2007-08-30 Noritsu Koki Co Ltd プラズマ発生ノズルおよびプラズマ発生装置ならびにそれを用いるワーク処理装置
JP4699235B2 (ja) * 2006-02-20 2011-06-08 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
JP2007227071A (ja) * 2006-02-22 2007-09-06 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP2007227069A (ja) * 2006-02-22 2007-09-06 Noritsu Koki Co Ltd プラズマ発生方法および装置ならびにそれを用いるワーク処理装置
JP2007227201A (ja) * 2006-02-24 2007-09-06 Noritsu Koki Co Ltd プラズマ発生装置及びワーク処理装置
JP4619966B2 (ja) * 2006-02-27 2011-01-26 株式会社サイアン ワーク処理装置
JP4525929B2 (ja) * 2006-02-28 2010-08-18 ノーリツ鋼機株式会社 ワーク処理装置
JP4619967B2 (ja) * 2006-02-28 2011-01-26 株式会社サイアン ワーク処理装置
JP4724572B2 (ja) * 2006-02-28 2011-07-13 株式会社サイアン ワーク処理装置
JP4680095B2 (ja) * 2006-02-28 2011-05-11 株式会社サイアン ワーク処理装置及びプラズマ発生装置
JP4619973B2 (ja) * 2006-03-29 2011-01-26 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
JP4620015B2 (ja) * 2006-08-30 2011-01-26 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
TW200816881A (en) * 2006-08-30 2008-04-01 Noritsu Koki Co Ltd Plasma generation apparatus and workpiece processing apparatus using the same
JP4724625B2 (ja) * 2006-08-30 2011-07-13 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
JP2008066058A (ja) * 2006-09-06 2008-03-21 Noritsu Koki Co Ltd プラズマ発生ノズルおよびプラズマ発生装置ならびにそれを用いるワーク処理装置
JP2008066059A (ja) * 2006-09-06 2008-03-21 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP2008066159A (ja) * 2006-09-08 2008-03-21 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP2008071500A (ja) * 2006-09-12 2008-03-27 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
TW200830945A (en) * 2006-09-13 2008-07-16 Noritsu Koki Co Ltd Plasma generator and work processing apparatus provided with the same
JP4629068B2 (ja) * 2007-05-25 2011-02-09 株式会社サイアン ワーク処理装置
JP4719184B2 (ja) * 2007-06-01 2011-07-06 株式会社サイアン 大気圧プラズマ発生装置およびそれを用いるワーク処理装置
KR20080111801A (ko) * 2007-06-20 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
GB0718721D0 (en) 2007-09-25 2007-11-07 Medical Device Innovations Ltd Surgical resection apparatus
GB2464501A (en) * 2008-10-17 2010-04-21 Microoncology Ltd Plasma Applicators for Sterilisation
GB2459461B (en) * 2008-04-23 2012-08-01 Creo Medical Ltd A non-thermal microwave plasma sterilisation system using automatic tuning contained within the hand-piece of the applicator
CA2741135C (en) * 2007-11-06 2015-10-20 Microoncology Limited Hydroxyl radical producing plasma sterilisation apparatus
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
GB2454461B (en) * 2007-11-06 2012-11-14 Creo Medical Ltd A system to treat and/or kill bacteria and viral infections using microwave atmospheric plasma
US20100330300A1 (en) * 2008-01-30 2010-12-30 Stowell Michael W System and method for pre-ionization of surface wave launched plasma discharge sources
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
EP2425459A4 (en) 2009-04-28 2014-07-16 Tufts College MICROPLASM AGENERATOR AND METHOD THEREFOR
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012089334A (ja) * 2010-10-19 2012-05-10 Tokyo Electron Ltd マイクロ波プラズマ源およびプラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2014524106A (ja) * 2011-06-24 2014-09-18 リカーボン,インコーポレイテッド マイクロ波共鳴空洞
US9460884B2 (en) 2011-07-28 2016-10-04 Trustees Of Tufts College Microplasma generating array
WO2013119313A2 (en) * 2011-12-09 2013-08-15 Trustees Of Tufts College Microplasma generator with array of tapered microstrips
JP6255590B2 (ja) * 2011-12-28 2018-01-10 イマジニアリング株式会社 プラズマガス生成装置
US9067273B1 (en) * 2012-05-17 2015-06-30 Clemson University High density atmospheric plasma jet devices by jet-to-jet interaction
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
ES2489292B1 (es) * 2013-02-18 2015-03-06 Tridogen S L Procedimiento de suministro de energía a un material y dispositivo correspondiente
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP5725574B2 (ja) * 2013-03-05 2015-05-27 東京エレクトロン株式会社 マイクロ波導波装置、プラズマ処理装置及びプラズマ処理方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI568317B (zh) * 2013-03-15 2017-01-21 東京威力科創股份有限公司 微波共振器處理系統中之電漿調整桿
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
CN104726850B (zh) * 2013-12-23 2017-08-25 朱雨 一种微波等离子体化学气相沉积设备
US9627167B2 (en) 2014-02-25 2017-04-18 Electronics And Telecommunications Research Institute Apparatus for generating plasma
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10987735B2 (en) 2015-12-16 2021-04-27 6K Inc. Spheroidal titanium metallic powders with custom microstructures
CN108883407A (zh) 2015-12-16 2018-11-23 阿马斯坦技术有限责任公司 球状脱氢金属和金属合金颗粒
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106061090B (zh) * 2016-05-31 2019-03-12 吉林大学 一种二次耦合微波等离子体重整装置
CN105979693A (zh) * 2016-06-12 2016-09-28 浙江大学 一种大功率微波等离子体发生装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CA3104080A1 (en) 2018-06-19 2019-12-26 6K Inc. Process for producing spheroidized powder from feedstock materials
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202111576QA (en) 2019-04-30 2021-11-29 6K Inc Mechanically alloyed powder feedstock
AU2020266556A1 (en) 2019-04-30 2021-11-18 6K Inc. Lithium lanthanum zirconium oxide (LLZO) powder
KR102137913B1 (ko) * 2019-10-29 2020-07-24 주식회사 기가레인 플라즈마 안테나 모듈
WO2021118762A1 (en) 2019-11-18 2021-06-17 6K Inc. Unique feedstocks for spherical powders and methods of manufacturing
US11590568B2 (en) 2019-12-19 2023-02-28 6K Inc. Process for producing spheroidized powder from feedstock materials
JP2023532457A (ja) 2020-06-25 2023-07-28 シックスケー インコーポレイテッド 微細複合合金構造体
WO2022067303A1 (en) 2020-09-24 2022-03-31 6K Inc. Systems, devices, and methods for starting plasma
AU2021371051A1 (en) 2020-10-30 2023-03-30 6K Inc. Systems and methods for synthesis of spheroidized metal powders
CN112996209B (zh) * 2021-05-07 2021-08-10 四川大学 一种微波激发常压等离子体射流的结构和阵列结构

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4185213A (en) * 1977-08-31 1980-01-22 Reynolds Metals Company Gaseous electrode for MHD generator
JPH01161600A (ja) * 1987-12-18 1989-06-26 Oki Electric Ind Co Ltd 車両運転支援装置
JPH01299777A (ja) * 1988-05-24 1989-12-04 Komatsu Ltd プラズマトーチの構造
JPH0470136A (ja) * 1990-07-11 1992-03-05 Fujitsu Ltd シグナリング伝送制御方式
US5173640A (en) * 1990-11-22 1992-12-22 Leybold Aktiengesellschaft Apparatus for the production of a regular microwave field
EP0547868A1 (en) * 1991-12-17 1993-06-23 Crystallume Apparatus and method for controlling plasma size and position in plasma-activated chemical vapor deposition processes
JPH05198391A (ja) * 1992-01-17 1993-08-06 New Japan Radio Co Ltd マイクロ波プラズマ装置
JPH06188094A (ja) * 1992-12-18 1994-07-08 Kazuo Sugiyama 同軸形のマイクロ波プラズマ発生器
JP2000192244A (ja) * 1998-10-16 2000-07-11 Canon Inc 堆積膜の形成装置及び形成方法
JP2000260596A (ja) * 1999-03-11 2000-09-22 Hitachi Ltd プラズマ装置
US20020020691A1 (en) * 2000-05-25 2002-02-21 Jewett Russell F. Methods and apparatus for plasma processing
JP2002100499A (ja) * 2000-09-25 2002-04-05 Shibaura Mechatronics Corp プラズマ処理装置
JP2003318689A (ja) * 2002-04-23 2003-11-07 Kanazawa Inst Of Technology 整合回路および反射波検出回路
JP2004181306A (ja) * 2002-11-29 2004-07-02 Matsushita Electric Works Ltd 表面処理装置及び表面処理方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB792085A (en) * 1954-09-27 1958-03-19 Alca France Ets Improvements in or relating to devices for packing and unpacking bottles to and frombottle-racks and the like
US3353060A (en) * 1964-11-28 1967-11-14 Hitachi Ltd High-frequency discharge plasma generator with an auxiliary electrode
US3417287A (en) * 1965-10-08 1968-12-17 Hitachi Ltd Low power high frequency discharge plasma generator
US4207286A (en) 1978-03-16 1980-06-10 Biophysics Research & Consulting Corporation Seeded gas plasma sterilization method
US4378806A (en) * 1980-08-12 1983-04-05 Henley Cohn Julian L Gapped resonant microwave apparatus for producing hyperthermia therapy of tumors
JPH0660412B2 (ja) 1986-08-21 1994-08-10 東京瓦斯株式会社 薄膜形成法
US4976920A (en) 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
JPH0633679Y2 (ja) * 1988-05-02 1994-08-31 株式会社三社電機製作所 インダクションプラズマ用トーチ
JPH0748480B2 (ja) 1988-08-15 1995-05-24 新技術事業団 大気圧プラズマ反応方法
US5083004A (en) * 1989-05-09 1992-01-21 Varian Associates, Inc. Spectroscopic plasma torch for microwave induced plasmas
JPH0691634B2 (ja) 1989-08-10 1994-11-14 三洋電機株式会社 固体撮像素子の駆動方法
JPH03111577A (ja) * 1989-09-26 1991-05-13 Idemitsu Petrochem Co Ltd マイクロ波プラズマ発生装置およびそれを利用するダイヤモンド膜の製造方法
US5170098A (en) 1989-10-18 1992-12-08 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus for use in carrying out the same
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
US5111111A (en) * 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
JPH05275191A (ja) 1992-03-24 1993-10-22 Semiconductor Energy Lab Co Ltd 大気圧放電方法
JPH084103Y2 (ja) * 1990-10-24 1996-02-07 新日本無線株式会社 マイクロ波プラズマ装置
JP3021117B2 (ja) 1991-09-20 2000-03-15 三菱重工業株式会社 電子サイクロトロン共鳴プラズマcdv装置
JP3158715B2 (ja) * 1992-03-30 2001-04-23 株式会社ダイヘン プラズマ処理装置
JPH065384A (ja) 1992-06-17 1994-01-14 Hitachi Ltd マイクロ波プラズマ発生トーチ管
DE69318480T2 (de) * 1992-06-23 1998-09-17 Nippon Telegraph & Telephone Plasmabearbeitungsgerät
DE4242633C2 (de) 1992-12-17 1996-11-14 Fraunhofer Ges Forschung Verfahren zur Durchführung von stabilen Niederdruck-Glimmprozessen
JP2540276B2 (ja) 1993-03-12 1996-10-02 株式会社山東鉄工所 容器内部の殺菌装置
US5938854A (en) 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
JPH0740056A (ja) 1993-07-28 1995-02-10 Komatsu Ltd プラズマトーチ
JPH07153593A (ja) 1993-12-01 1995-06-16 Daido Steel Co Ltd マイクロ波プラズマ処理装置
US5565118A (en) * 1994-04-04 1996-10-15 Asquith; Joseph G. Self starting plasma plume igniter for aircraft jet engine
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
EP0727504A3 (en) 1995-02-14 1996-10-23 Gen Electric Plasma coating process for improved adhesive properties of coatings on objects
US5573682A (en) 1995-04-20 1996-11-12 Plasma Processes Plasma spray nozzle with low overspray and collimated flow
US5793013A (en) * 1995-06-07 1998-08-11 Physical Sciences, Inc. Microwave-driven plasma spraying apparatus and method for spraying
US5741460A (en) 1995-06-07 1998-04-21 Adir Jacob Process for dry sterilization of medical devices and materials
US5750072A (en) 1995-08-14 1998-05-12 Sangster; Bruce Sterilization by magnetic field stimulation of a mist or vapor
US5825485A (en) 1995-11-03 1998-10-20 Cohn; Daniel R. Compact trace element sensor which utilizes microwave generated plasma and which is portable by an individual
US5977715A (en) 1995-12-14 1999-11-02 The Boeing Company Handheld atmospheric pressure glow discharge plasma source
US6017825A (en) 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US6030579A (en) 1996-04-04 2000-02-29 Johnson & Johnson Medical, Inc. Method of sterilization using pretreatment with hydrogen peroxide
US5928527A (en) 1996-04-15 1999-07-27 The Boeing Company Surface modification using an atmospheric pressure glow discharge plasma source
US6309979B1 (en) 1996-12-18 2001-10-30 Lam Research Corporation Methods for reducing plasma-induced charging damage
US5869401A (en) 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
GB9703159D0 (en) 1997-02-15 1997-04-02 Helica Instr Limited Medical apparatus
US5980768A (en) 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
US6209551B1 (en) 1997-06-11 2001-04-03 Lam Research Corporation Methods and compositions for post-etch layer stack treatment in semiconductor fabrication
JP3175640B2 (ja) 1997-06-17 2001-06-11 横河電機株式会社 マイクロ波誘導プラズマ点火装置
US6221792B1 (en) 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
JPH1121496A (ja) 1997-06-30 1999-01-26 Nippon Shokubai Co Ltd 保護被膜形成材および基材の一時的保護処理方法
US6200651B1 (en) 1997-06-30 2001-03-13 Lam Research Corporation Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US6080270A (en) 1997-07-14 2000-06-27 Lam Research Corporation Compact microwave downstream plasma system
US6016766A (en) 1997-12-29 2000-01-25 Lam Research Corporation Microwave plasma processor
US6165910A (en) 1997-12-29 2000-12-26 Lam Research Corporation Self-aligned contacts for semiconductor device
JPH11224795A (ja) 1998-02-10 1999-08-17 Shin Seiki:Kk プラズマ生成方法、プラズマ生成装置、プラズマ利用表面処理方法、並びにプラズマ利用ガス処理方法
US6027616A (en) 1998-05-01 2000-02-22 Mse Technology Applications, Inc. Extraction of contaminants from a gas
CZ286310B6 (cs) * 1998-05-12 2000-03-15 Přírodovědecká Fakulta Masarykovy Univerzity Způsob vytváření fyzikálně a chemicky aktivního prostředí plazmovou tryskou a plazmová tryska
US6727148B1 (en) 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
US6235640B1 (en) 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
JP2000133494A (ja) * 1998-10-23 2000-05-12 Mitsubishi Heavy Ind Ltd マイクロ波プラズマ発生装置及び方法
JP2000150484A (ja) 1998-11-11 2000-05-30 Chemitoronics Co Ltd プラズマエッチング装置およびエッチングの方法
KR19990068381A (ko) * 1999-05-11 1999-09-06 허방욱 마이크로웨이브플라즈마버너
US6228330B1 (en) 1999-06-08 2001-05-08 The Regents Of The University Of California Atmospheric-pressure plasma decontamination/sterilization chamber
JP2000353689A (ja) 1999-06-10 2000-12-19 Nec Yamagata Ltd ドライエッチング装置およびドライエッチング方法
TW463531B (en) 1999-07-20 2001-11-11 Tokyo Electron Ltd Electron density measurement and plasma process control system using plasma induced changes in the frequency of a microwave oscillator
US6573731B1 (en) 1999-07-20 2003-06-03 Tokyo Electron Limited Electron density measurement and control system using plasma-induced changes in the frequency of a microwave oscillator
JP4455794B2 (ja) 1999-07-20 2010-04-21 東京エレクトロン株式会社 プラズマ発生器を制御するためのシステム
JP2001054556A (ja) 1999-08-18 2001-02-27 Shikoku Kakoki Co Ltd 大気圧低温プラズマ殺菌方法
FR2798552B1 (fr) * 1999-09-13 2001-11-30 Centre Nat Rech Scient Dispositif assurant une division de puissance micro-onde predeterminee sur une pluralite de charges, notamment pour la production de plasma
US6410451B2 (en) 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
US6652709B1 (en) * 1999-11-02 2003-11-25 Canon Kabushiki Kaisha Plasma processing apparatus having circular waveguide, and plasma processing method
DE29921694U1 (de) 1999-12-09 2001-04-19 Agrodyn Hochspannungstechnik G Plasmadüse
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP2001281284A (ja) 2000-03-30 2001-10-10 Makoto Hirano 複素誘電率の非破壊測定装置
US6337277B1 (en) 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6441554B1 (en) 2000-11-28 2002-08-27 Se Plasma Inc. Apparatus for generating low temperature plasma at atmospheric pressure
US6620394B2 (en) * 2001-06-15 2003-09-16 Han Sup Uhm Emission control for perfluorocompound gases by microwave plasma torch
JP2003135571A (ja) 2001-11-07 2003-05-13 Toshiba Corp プラズマ殺菌装置
DE10164120A1 (de) 2001-12-24 2003-07-03 Pierre Flecher Mikrowellen-Plasmasterilisation von PET-Flaschen
JP2003210556A (ja) 2002-01-18 2003-07-29 Toshiba Corp 管用プラズマ滅菌装置
JP4020679B2 (ja) * 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
JP3691812B2 (ja) 2002-07-12 2005-09-07 株式会社エー・イー・ティー・ジャパン 共振器を用いて複素誘電率を測定する方法および前記方法を実施する装置
US6792742B2 (en) 2002-09-09 2004-09-21 Phoenix Closures, Inc. Method for storing and/or transporting items
US20040173316A1 (en) * 2003-03-07 2004-09-09 Carr Jeffrey W. Apparatus and method using a microwave source for reactive atom plasma processing
WO2005023013A2 (en) * 2003-09-08 2005-03-17 Washington State University Research Foundation Apparatus and method for heating objects with microwaves
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20090056876A1 (en) * 2006-01-30 2009-03-05 Noritsu Koko Co., Ltd. Work Processing System and Plasma Generating Apparatus

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4185213A (en) * 1977-08-31 1980-01-22 Reynolds Metals Company Gaseous electrode for MHD generator
JPH01161600A (ja) * 1987-12-18 1989-06-26 Oki Electric Ind Co Ltd 車両運転支援装置
JPH01299777A (ja) * 1988-05-24 1989-12-04 Komatsu Ltd プラズマトーチの構造
JPH0470136A (ja) * 1990-07-11 1992-03-05 Fujitsu Ltd シグナリング伝送制御方式
US5173640A (en) * 1990-11-22 1992-12-22 Leybold Aktiengesellschaft Apparatus for the production of a regular microwave field
EP0547868A1 (en) * 1991-12-17 1993-06-23 Crystallume Apparatus and method for controlling plasma size and position in plasma-activated chemical vapor deposition processes
JPH05198391A (ja) * 1992-01-17 1993-08-06 New Japan Radio Co Ltd マイクロ波プラズマ装置
JPH06188094A (ja) * 1992-12-18 1994-07-08 Kazuo Sugiyama 同軸形のマイクロ波プラズマ発生器
JP2000192244A (ja) * 1998-10-16 2000-07-11 Canon Inc 堆積膜の形成装置及び形成方法
JP2000260596A (ja) * 1999-03-11 2000-09-22 Hitachi Ltd プラズマ装置
US20020020691A1 (en) * 2000-05-25 2002-02-21 Jewett Russell F. Methods and apparatus for plasma processing
JP2002100499A (ja) * 2000-09-25 2002-04-05 Shibaura Mechatronics Corp プラズマ処理装置
JP2003318689A (ja) * 2002-04-23 2003-11-07 Kanazawa Inst Of Technology 整合回路および反射波検出回路
JP2004181306A (ja) * 2002-11-29 2004-07-02 Matsushita Electric Works Ltd 表面処理装置及び表面処理方法

Also Published As

Publication number Publication date
JP2008508683A (ja) 2008-03-21
US20060021581A1 (en) 2006-02-02
RU2342734C2 (ru) 2008-12-27
CN101066000A (zh) 2007-10-31
AU2005269581A1 (en) 2006-02-09
US20080073202A1 (en) 2008-03-27
US7806077B2 (en) 2010-10-05
CN101066000B (zh) 2010-12-08
KR100871475B1 (ko) 2008-12-05
EP1790201B1 (en) 2011-02-09
WO2006014862A3 (en) 2007-01-18
RU2007107371A (ru) 2008-09-10
KR20070027750A (ko) 2007-03-09
CA2574114A1 (en) 2006-02-09
EP1790201A2 (en) 2007-05-30
AU2005269581B2 (en) 2009-07-16
DE602005026300D1 (de) 2011-03-24
WO2006014862A2 (en) 2006-02-09

Similar Documents

Publication Publication Date Title
JP4896880B2 (ja) マイクロ波プラズマノズルアレイを構成するための方法、マイクロ波プラズマノズルアレイユニット及びマイクロ波プラズマシステム
JP5060951B2 (ja) プラズマ発生システム
Korzec et al. Scaling of microwave slot antenna (SLAN): a concept for efficient plasma generation
KR20150128841A (ko) 유전체 공진기를 이용하는 플라즈마 발생기
US20120018410A1 (en) Microwave Plasma Generating Plasma and Plasma Torches
US20120326803A1 (en) Microwave resonant cavity
Zou et al. Efficient generation of∼ 100 MeV ions from ultrashort∼ 1021 W cm− 2 laser pulse interaction with a waveguide target
Latrasse et al. 2.45-GHz microwave plasma sources using solid-state microwave generators. ECR-type plasma source
JP4890550B2 (ja) プラズマを発生させるための方法及び装置
US5632955A (en) Microwave sterilizer for metal objects
Laroussi et al. Cold atmospheric pressure plasma sources for cancer applications
Ferrari et al. Coaxially driven microwave electrodeless UV lamp
Ojha et al. Electron cyclotron resonance (ECR) enhanced diverging magnetic field for controlled particle flux in a microwave-excited plasma column–a numerical investigation
JP2007227285A (ja) プラズマ処理装置および方法
RU2295848C2 (ru) Способ дезинсекции и дезинфекции материалов зернового происхождения и устройство для его осуществления
Valle-Gamboa et al. Experimental validation of plasma-surface interaction models in high-power helicon plasma sources
Magarotto et al. Study on the Influence of the Magnetic Field Topology on the Power Deposition in a Helicon Plasma Source
EP2486778A2 (en) Method and system for plasma treatment of a liquid
Wang et al. Observation of Negative Ions in Magnetized RF Hydrogen Plasma
Verhaeghe et al. THE FEASIBILITY OF GENERATING A MICROWAVE POWERED PLASMA
PT103762A (pt) Aparelho e método para a geração de harmónicas pares de radiação electromagnética em frentes de ionização.

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080403

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111221

R150 Certificate of patent or registration of utility model

Ref document number: 4896880

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150106

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees