JP2017506824A - 製造可能なレーザダイオード - Google Patents

製造可能なレーザダイオード Download PDF

Info

Publication number
JP2017506824A
JP2017506824A JP2016551207A JP2016551207A JP2017506824A JP 2017506824 A JP2017506824 A JP 2017506824A JP 2016551207 A JP2016551207 A JP 2016551207A JP 2016551207 A JP2016551207 A JP 2016551207A JP 2017506824 A JP2017506824 A JP 2017506824A
Authority
JP
Japan
Prior art keywords
laser
region
die
substrate
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016551207A
Other languages
English (en)
Other versions
JP6783659B2 (ja
JP2017506824A5 (ja
Inventor
メルビン マックローリン,
メルビン マックローリン,
アレクサンダー エスゼテイン,
アレクサンダー エスゼテイン,
ポー シャン シュ,
ポー シャン シュ,
エリック ゴウタイン,
エリック ゴウタイン,
ダン スタイゲルワルド,
ダン スタイゲルワルド,
ジェームズ ダブリュー. ラリング,
ジェームズ ダブリュー. ラリング,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kyocera SLD Laser Inc
Original Assignee
Kyocera SLD Laser Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/176,403 external-priority patent/US9362715B2/en
Priority claimed from US14/312,427 external-priority patent/US9379525B2/en
Application filed by Kyocera SLD Laser Inc filed Critical Kyocera SLD Laser Inc
Publication of JP2017506824A publication Critical patent/JP2017506824A/ja
Publication of JP2017506824A5 publication Critical patent/JP2017506824A5/ja
Application granted granted Critical
Publication of JP6783659B2 publication Critical patent/JP6783659B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/005Optical components external to the laser cavity, specially adapted therefor, e.g. for homogenisation or merging of the beams or for manipulating laser pulses, e.g. pulse shaping
    • H01S5/0087Optical components external to the laser cavity, specially adapted therefor, e.g. for homogenisation or merging of the beams or for manipulating laser pulses, e.g. pulse shaping for illuminating phosphorescent or fluorescent materials, e.g. using optical arrangements specifically adapted for guiding or shaping laser beams illuminating these materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/005Optical components external to the laser cavity, specially adapted therefor, e.g. for homogenisation or merging of the beams or for manipulating laser pulses, e.g. pulse shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0201Separation of the wafer into individual elements, e.g. by dicing, cleaving, etching or directly during growth
    • H01S5/0202Cleaving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0201Separation of the wafer into individual elements, e.g. by dicing, cleaving, etching or directly during growth
    • H01S5/0203Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/0215Bonding to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/0215Bonding to the substrate
    • H01S5/0216Bonding to the substrate using an intermediate compound, e.g. a glue or solder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/0218Substrates comprising semiconducting materials from different groups of the periodic system than the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/04Processes or apparatus for excitation, e.g. pumping, e.g. by electron beams
    • H01S5/042Electrical excitation ; Circuits therefor
    • H01S5/0425Electrodes, e.g. characterised by the structure
    • H01S5/04252Electrodes, e.g. characterised by the structure characterised by the material
    • H01S5/04253Electrodes, e.g. characterised by the structure characterised by the material having specific optical properties, e.g. transparent electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/04Processes or apparatus for excitation, e.g. pumping, e.g. by electron beams
    • H01S5/042Electrical excitation ; Circuits therefor
    • H01S5/0425Electrodes, e.g. characterised by the structure
    • H01S5/04256Electrodes, e.g. characterised by the structure characterised by the configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/20Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers
    • H01S5/22Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers having a ridge or stripe structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/34Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers
    • H01S5/343Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser
    • H01S5/34333Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser with a well layer based on Ga(In)N or Ga(In)P, e.g. blue laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/40Arrangement of two or more semiconductor lasers, not provided for in groups H01S5/02 - H01S5/30
    • H01S5/4012Beam combining, e.g. by the use of fibres, gratings, polarisers, prisms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/40Arrangement of two or more semiconductor lasers, not provided for in groups H01S5/02 - H01S5/30
    • H01S5/4025Array arrangements, e.g. constituted by discrete laser diodes or laser bar
    • H01S5/4031Edge-emitting structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/40Arrangement of two or more semiconductor lasers, not provided for in groups H01S5/02 - H01S5/30
    • H01S5/4025Array arrangements, e.g. constituted by discrete laser diodes or laser bar
    • H01S5/4087Array arrangements, e.g. constituted by discrete laser diodes or laser bar emitting more than one wavelength
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/40Arrangement of two or more semiconductor lasers, not provided for in groups H01S5/02 - H01S5/30
    • H01S5/4025Array arrangements, e.g. constituted by discrete laser diodes or laser bar
    • H01S5/4087Array arrangements, e.g. constituted by discrete laser diodes or laser bar emitting more than one wavelength
    • H01S5/4093Red, green and blue [RGB] generated directly by laser action or by a combination of laser action with nonlinear frequency conversion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/0217Removal of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/04Processes or apparatus for excitation, e.g. pumping, e.g. by electron beams
    • H01S5/042Electrical excitation ; Circuits therefor
    • H01S5/0425Electrodes, e.g. characterised by the structure
    • H01S5/04256Electrodes, e.g. characterised by the structure characterised by the configuration
    • H01S5/04257Electrodes, e.g. characterised by the structure characterised by the configuration having positive and negative electrodes on the same side of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/40Arrangement of two or more semiconductor lasers, not provided for in groups H01S5/02 - H01S5/30
    • H01S5/4025Array arrangements, e.g. constituted by discrete laser diodes or laser bar
    • H01S5/4031Edge-emitting structures
    • H01S5/4056Edge-emitting structures emitting light in more than one direction

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Geometry (AREA)
  • Semiconductor Lasers (AREA)
  • Non-Portable Lighting Devices Or Systems Thereof (AREA)
  • Dicing (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

製造可能なレーザダイオードレーザダイオードデバイスを製造する方法は、表面領域を有する基板を提供することと、表面領域を被覆するエピタキシャル材料を形成することとを含み、エピタキシャル材料は、n型クラッディング領域と、n型クラッディング領域を被覆する1つ以上の活性層を含む活性領域と、活性層領域を被覆するp型クラッディング領域とを含む。エピタキシャル材料をパターニングして複数のダイスを形成して、ダイスはそれぞれ、1つ以上のレーザデバイスに対応する。複数のダイスはそれぞれ、1つ以上のキャリア基板へ移動され、複数のダイスのうち1つ以上は、1つ以上のキャリア基板上において処理される。ダイを基板と共にパッケージングして、モジュールデバイスを構成する。

Description

背景
レーザ技術の発展と共に、より効率的なランプ励起固体レーザ設計が赤色波長および赤外線波長のために開発されているが、これらの技術においては、未だ青色レーザおよび緑色レーザにおいて課題が残っている。これらの可視レーザの効率向上のため、高出力ダイオード(または半導体)レーザが用いられた。実行可能な直接的な青色レーザダイオード構造および緑色レーザダイオード構造は、ウルツ鉱型AlGaInN材料系から作製されているのみである。GaN関連材料からの発光ダイオードの製造は、外部基板(例えば、Si、SiCおよびサファイア)上のGaNのヘテロエピタキシャル成長によって支配される。レーザダイオードデバイスは、ヘテロエピタキシャル成長と関連付けられた結晶欠陥を容認することができないほどの高電流密度で動作する。これに起因して、きわめて低い欠陥密度の自立型のGaN基板が、GaNレーザダイオード製造の基板選択肢になっている。残念なことに、このような基板は高コストであり、効率も低い。
本発明の実施形態によれば、半導体レーザダイオードを作製する方法が提供される。
図1は、本発明の一例によるレーザダイオードの簡単な例示である。 図2a−2bは、本発明の一例によるダイ拡張レーザダイオードの簡単な例示である。 図3aは、一例において劈開またはエッチトミラーとm方向に整列された空洞を備えたc面極性レーザダイオードの概略図である。 図3bは、一例において劈開またはエッチトミラーと共にc方向において突出において整列された空洞を備えた半極性レーザダイオードの概略図である。 図4は、一例におけるリッジレーザダイオードの概略的断面図である。 図5は、一例における選択領域接合プロセスの上面図である。 図6は、一例におけるエピタキシャル作製のための簡単なプロセスフローである。 図7は、一例における選択領域接合の簡単な側面図である。 図8は、一例における活性領域保護を用いたエピタキシャル作製の簡単なプロセスフローである。 図9は、活性領域保護および一例における接合前のリッジ形成を用いたエピタキシャル作製の簡単なプロセスフローである。 図10aは、一例におけるアンカー固定型PECアンダーカット(上面図)の簡単な図である。 図10bは、一例におけるアンカー固定型PECアンダーカット(側面図)の簡単な図である。 図11aは、一例における金属アンカーを用いた移動可能メサの上面図である。 図11bは、一例における金属アンカーの断面図である。 図11cは、一例における金属アンカーを用いたPECエッチング時において形成された電気回路の概略図である。 図12は、一例においてサブマウントとして機能するように処理されたキャリアウェーハの簡単な図である。 図13は、一例における二次元におけるダイ拡張を用いた選択領域接合プロセスの上面図である。 図14は、一例における典型的なレーザダイオードデバイスのための処理ステップおよび材料入力のフロー図である。 図15は、一例における、キャリアウェーハへのエピタキシャル移動によって作製された低コストレーザデバイスの処理ステップおよび材料入力のフロー図である。 図16は、一例において所与のダイピッチで基板上で処理することが可能な複数のレーザデバイスを示す表である。 図17は、一例における100mm直径のキャリアウェーハ上の多様な基板寸法のための接合可能領域の例示である。 図18は、一例におけるキャリアへのエピ移動後に50ミクロン幅のダイ上において処理することが可能な複数のレーザデバイスを示す表である。 図19は、一例において小領域GaN基板をチップスケールパッケージとして作製するためのプロセスフローを示す図である。 図20は、一例における、GaNウェーハから作製された典型的なレーザダイと、移動されたレーザダイ上に作製され、キャリアウェーハから単一化されたレーザデバイスとを比較する概略図である。 図21は、本発明の実施形態のエピタキシャル構造の概略図であり、本図において、c面レーザのための通常の従来のエピタキシャル設計は、犠牲層およびn接触層双方を用いているため、本発明によるエピタキシャルデバイス層の移動が促進され、移動されたデバイスの露出したn側表面への電気接触が可能になる。 図22は、本発明の実施形態のためのエピタキシャル構造の概略図であり、本図において、移動されたエピタキシャルデバイス層は、透明導電性酸化物と共に両側上にクラッドされることが意図される。 図23は、AlGaNクラッディングを用いた従来のc面レーザダイオードのためのエピタキシャル構造と、薄いエピタキシャル構造がオリジナル基板から移動され、透明導電酸化物層の堆積により非エピタキシャルの低屈折率クラッディングが空洞の両側へ付加される一例との概略図である。 図24は、一例において市販の光モード解決ソフトウェアパッケージを用いてシミュレートされたTCOクラッドc面レーザの閉じ込め係数のプロットを示す。破線は、従来のc面レーザダイオード構造中に見受けられる閉じ込め係数に対応する。TCOクラッドおよびc面データはどちらとも、図1に示す構造に対応する。他の実施形態は、非極性配向または半極性配向を含む。 図25は、n型ガリウムおよびGaなどの窒素含有材料におけるリッジ形成を示す二重導電性酸化物クラッディングを用いたレーザ導波路の例示的な模式断面図である。 図26は、p型ガリウムおよびGaなどの窒素含有材料におけるリッジ形成を示す二重導電性酸化物クラッディングを用いたレーザ導波路の例示的な模式断面図である。 図27は、n型およびp型ガリウムおよびGaなどの窒素含有材料におけるリッジ形成を示す二重導電性酸化物クラッディングを用いたレーザ導波路の例示的な模式断面図である。 図28は、TCOから形成されたリッジを示す一例である。 図29は、モノリシック集積型の複数エミッタストライプレーザデバイス(レーザバーと呼ばれることが多い)を製造するための標準的アプローチを示す。 図30は、本発明による複数エミッタレーザデバイスの一実施形態の模式断面図であり、本図において、レーザストライプは、電気的に並列接続される。 図31は、本発明による図30に示す複数エミッタレーザデバイスの実施形態の模式上面図である。 図32は、本発明による複数エミッタレーザデバイスの実施形態の模式断面図であり、ここで、レーザストライプは電気的に直列接続される。 図33は、本発明による複数エミッタレーザデバイスの実施形態の模式断面図であり、ここで、レーザストライプは、電気的に個別にアドレス可能である。 図34は、本発明による図33に示す複数エミッタレーザデバイスの実施形態の模式上面図である。 図35は、本発明による図31、図32および図33に示す複数エミッタレーザデバイスの3つの実施形態の電気等価回路の概略図である。 図36は、本発明による、キャリアウェーハへの移動前のエピタキシャルウェーハ上の個々のレーザストライプ間の幾何学的関係を示す概略図である。 図37は、選択領域接合プロセスの簡単な上面図であり、選択領域接合を介したダイ拡張プロセスを示し、これにより、本発明による複数エミッタレーザデバイスが得られる。 図38は、複数エミッタレーザデバイスが、本発明による共通光学素子を共有するように十分に近密に間隔を空けて配置されたエミッタを有する、本発明の一実施形態を示す概略図である。 図39は、本発明による単一の光学要素を用いた複数エミッタレーザデバイスを示す上面図の例示的実施形態を示す。 図40は、本発明の実施形態によるRGBレーザチップを示す。 図41は、本発明の実施形態によるRGBレーザチップを示す。 図42は、本発明の実施形態による、ダイスを複数のエピタキシャルウェーハから同じキャリアウェーハへ接合するプロセスの概略図である。 図43は、本発明の実施形態による、ダイスを複数のエピタキシャルウェーハから同じキャリアウェーハへ接合するプロセスの概略図である。 図44は、本発明の実施形態による、個別にアドレス可能な複数のダイを含むレーザチップのレイアウトの概略図である。 図45は、本発明の実施形態による、個別にアドレス可能な複数のダイを含む金属貫通ビアを含むレーザチップのレイアウトの概略図である。 図46は、本発明の実施形態による、個別にアドレス可能な複数のダイを含むレーザチップのレイアウトの概略図である。 図47は、一例における、GaNベース発光ダイオード(LED)およびレーザダイオード(LD)のエネルギー変換効率および入力電力密度の概略図である。 図48は、本発明の一例の概略図である。 図49は、本発明の別の例の概略図である。 図50は、本発明の別例の概略図である。 図51は、一例における集積型の低コストレーザベース光モジュールの模式断面図である。 図52は、1つ以上の青色レーザからの光の一例を示す概略図である。 図53は、本発明の別例における集積型の低コストレーザベース光モジュールの概略図である。 図54は、本発明の一例における集積型の照明装置の概略図である。
詳細な説明
本発明の実施形態によれば、半導体レーザダイオードの作製方法が提供される。典型的には、これらのデバイスは、エピタキシャル堆積の次にエピタキシャル基板上の処理ステップ、その後にエピタキシャル材料の被覆を行うことにより、作製される。以下、これらのデバイスの典型的な構成および作製について、概略的な説明を記載する。
以下、後述する図面を参照することができる。
図1は、処理後の現行技術のGaNベースレーザダイオードの側面図である。レーザダイオードは、典型的にはエピタキシャルn−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105と共に、オリジナルのガリウムおよび窒素含有エピタキシャル基板100上に作製される。レーザダイピッチがラベルされる。本デバイス設計においては、レーザリッジの直接下に無いエピタキシー材料は全て無駄になる。一例において、n型クラッディングは、GaN、AlGaNまたはInAlGaNを含み得る。
図2a〜図2bは、ダイ拡張プロセス後のダイ拡張プロセスおよびキャリアウェーハ106前のガリウムおよび窒素含有エピタキシャルウェーハ100の側面図である。この図は、およそ5倍拡大して示しており、単一のガリウムおよび窒素含有基板および被覆エピタキシャル材料から作製され得るレーザダイオード数は、5倍に改善されている。典型的なエピタキシャルおよび処理層は、例示目的において、n−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105を含む。さらに、犠牲領域107および接合材料108は、ダイ拡張プロセス時に用いられる。
図3aは、劈開またはエッチトミラーとm方向において整列された空洞を含むc面極性レーザダイオードの概略図である。劈開またはエッチングされたミラーと、m方向において整列された空洞を含むc面レーザダイオードの簡単な概略図が図示されている。レーザストライプ領域は、a方向に対して実質的に垂直なm方向に実質的に突出するキャビティ配向によって特徴付けられる。レーザストライプ領域は、第1の端部107および第2の端部109を有し、相互に対向する一対の劈開ミラー構造を有する(0001)c面のm方向に沿ったガリウム窒素含有基板上に形成される。
図3bは、劈開またはエッチングされたミラーによりc方向の突出に整列されたキャビティを含む半極性レーザダイオードの概略図である。図示するのは、劈開またはエッチングされたミラーによりc方向の突出中に整列されたキャビティを含む半極性レーザダイオードの簡単な概略図である。レーザストライプ領域は、a方向に対して実質的に垂直なc方向へと実質的に突出する、キャビティ配向によって特徴付けられる。レーザストライプ領域は、第1の端部107および第2の端部109を有し、相互に対向する一対の劈開ミラー構造を有する半極性ガリウムおよび窒素含有基板上にc方向に突出するように形成される。例において、半極性配向は、{50−51}、{30−31}、{20−21}、{30−32}、{50−5−1}、{30−3−1}、{20−2−1}または{30−3−2}配向を含み得るか、または、c方向および/またはa方向に向かって+/−10度以内でこれらの配向のオフカットとし得る。他の実施形態において、ガリウムおよび窒素含有基板は、非極性基板(例えば、m面基板)であり得る。
図4は、一例におけるリッジレーザダイオードの模式断面図であり、現行技術のレーザダイオード構造を示す簡単な模式断面図を示す。本図はあくまで一例であり、本明細書中の特許請求の範囲を不適切に制限するものではない。図示のように、レーザデバイスは、下側のn型金属バック接点領域201を有する窒化ガリウム基板203を含む。実施形態において、金属バック接点領域は、後述するものの他、適切な材料によって構成される。実施形態において、デバイスはまた、被覆型のn型窒化ガリウム層205と、活性領域207と、レーザストライプ領域211として構造された被覆型のp型窒化ガリウム層とを有する。さらに、デバイスは、n側別個の閉じ込めヘテロ構造(SCH)、p側導波層層またはSCH、p−AlGaNEBLおよび他のフィーチャも含み得る。実施形態において、デバイスは、接触領域を形成するap++型窒化ガリウム材料213も有する。
図5は、選択領域接合プロセスの簡単な上面図であり、選択領域接合を介したダイ拡張プロセスを示す。オリジナルガリウムおよび窒素含有エピタキシャルウェーハ201は、エピタキシャル材料の個々のダイと、処理を通じて規定された剥離層とを有する。個々のエピタキシャル材料のダイは、202としてラベルされ、ピッチ1で間隔を空けて配置される。円形のキャリアウェーハ200は、パターニングされた接合パッド203により作製されている。これらの接合パッドは、ピッチ2で間隔を空けて配置される。ピッチ2は、選択された複数組のエピタキシャルダイを、選択領域接合プロセスをそれぞれ反復させて接合することができるように、ピッチ1の偶数倍となる。選択領域接合プロセスの反復は、エピタキシャルダイ全てがキャリアウェーハ204まで移動されるまで継続される。この段階で、ガリウムおよび窒素含有エピタキシー基板201を再利用のために任意選択的に作製することができる。
一例において、図6は、ダイ拡張プロセスのための例示的なエピタキシシャル作製プロセスフローの側面図を含む、エピタキシャル作製のためのプロセスフローの簡単な図である。ガリウムおよび窒素含有エピタキシー基板100および被覆エピタキシャル材料が個々のダイとして規定され、接合材料108が堆積され、犠牲領域107がアンダーカットされる。典型的なエピタキシャル層は、例示目的のために設けられ、n−GaNおよびn側クラッディング層s101、活性領域102、およびp−GaNおよびp側クラッディング103である。
一例において、図7は、一例における選択領域接合プロセスの簡単な側面図である。作製されたガリウムおよび窒素含有エピタキシャルウェーハ100および作製されたキャリアウェーハ106は、本プロセスの出発要素である。第1の選択領域接合反復により、エピタキシャルダイの一部が移動し、エピタキシャルダイ全てを移動させるために、さらなる反復が必要なだけ繰り返される。ダイ拡張プロセスが完了した後、現行技術のレーザ処理がキャリアウェーハ上において継続され得る。典型的なエピタキシャルおよび処理層は、例示目的のために設けられ、n−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105である。さらに、犠牲領域107および接合材料108が、ダイ拡張プロセス時において用いられる。
一例において、図8は、活性領域保護を用いたエピタキシー作製プロセスの簡単な図である。別のエピタキシャルウェーハ作製プロセスフローの側面図が図示される。この別のエピタキシャルウェーハ作製プロセスフロー時において、任意のPECアンダーカットエッチステップ時において側壁パッシベーション化を用いて、活性領域を保護する。このプロセスフローにより、犠牲領域材料および組成の選択肢がより幅広くなる。典型的な基板、エピタキシャルおよび処理層は、例示目的のために設けられ、ガリウムおよび窒素含有基板100、n−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105である。さらに、犠牲領域107および接合材料108が、ダイ拡張プロセス時において用いられる。
一例において、図9は、接合前に活性領域保護およびリッジ形成を用いたエピタキシー作製プロセスフローの簡単な図である。別のエピタキシャルウェーハ作製プロセスフローの側面図が図示される。この別のエピタキシャルウェーハ作製プロセスフロー時において、側壁不動態化を用いて任意のPECアンダーカットエッチステップ時において活性領域を保護し、レーザリッジを移動前のより高密度のエピタキシャルウェーハ上に規定する。このプロセスフローにより、より高密度のエピタキシャルウェーハ上にさらなる処理ステップを行うことで、コスト節減を可能とする可能性がある。典型的な基板、エピタキシャルおよび処理層は、例示目的のために設けられ、ガリウムおよび窒素含有基板100、n−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105である。さらに、犠牲領域107および接合材料108が、ダイ拡張プロセス時に用いられる。
図10aは、アンカー固定型PECアンダーカットの簡単な例である(上面図)。図示するのは、狭メサの選択領域接合時における別の剥離プロセスの上面図である。本実施形態において、トップダウンエッチを用いて領域300をエッチ除去した後、接合金属303の堆積を行う。その後、PECエッチを用いて、犠牲層の横方向エッチ距離よりも幅広の領域301をアンダーカットする。犠牲領域302は、無傷のままであり、選択領域接合プロセス時における機械的サポートとして機能する。これらのアンカーなどのアンカーは、「ドッグボーン」版と同様に狭メサ端部に配置され得る。アンカーは、アンダーカットされているために移動時に優先的に破壊される狭接続304を介してメサに取り付けられるように、メサの側部(半島状アンカーを参照)に配置することもできる。応力集中部305として機能するフィーチャをアンカーへ付加して、破壊が発生する場所をさらに制限することができる。メサ近隣の破壊を回避するために、接合媒体をアンカー上へ部分的に延長させることも可能である。
図10bは、一例におけるアンカー固定型PECアンダーカットの簡単な図(側面図)である。アンカー固定型PECアンダーカットの側面図が図示される。犠牲領域のポストが、機械的支持のために、接合プロセス完了までにエピタキシャルダイの各端部に設けられる。接合後、エピタキシャル材料を、接合パッドと無傷の犠牲領域との間の非支持薄膜領域において劈開して、選択領域接合プロセスを可能にする。典型的なエピタキシャルおよび処理層は、例示目的のために含まれており、n−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105である。さらに、犠牲領域107および接合材料08は、ダイ拡張プロセス時において用いられる。エピタキシャル材料は、ガリウムおよび窒素含有エピタキシャルウェーハ100からキャリアウェーハ106へ移動される。本方法および構造のさらなる詳細が、以下に、より詳細に記載され得る。
図11aは、メサ上部上の接合金属とエッチング界中の陰極金属との間に跨がる金属アンカーを用いたGaNエピタキシャル材料の移動可能なメサの一例の概略的な平面図である。
図11bは、金属アンカーの位置における移動可能なGaNメサの一例の断面図である。ここで、このメサは、化学エッチングによって形成され、p型クラッディング層、光電子工学デバイスの発光層、n型クラッディング層、犠牲層を含む量子井戸および犠牲層の下側のn型GaNエピタキシャル層の一部を含む。p型GaNとの高品質の電気接触を形成するために、p接触金属を先ずp型GaN上に堆積させる。その後、第2の金属スタックをメサ上にパターニングしておよび堆積して、p接触金属を被覆する。第2の金属スタックはn接触金属からなり、犠牲層下側のn型GaNと、メサ接合パッドとしても陰極金属としても機能する比較的厚い金属層との良好な電気接触を形成する。接合/陰極金属は、厚い層も形成して、メサの縁を被覆し、メサ上部と基板との間の連続的接続を提供する。犠牲層を選択的光化学エッチングによって除去した後、厚い金属による機械的支持を用いて、キャリアウェーハとの接合が実行されるまで、メサをGaNウェーハ上の所定位置に保持する。
図11cは、犠牲層の光電気化学的[PEC]エッチング時において金属アンカーを用いて行うデバイス中の帯電フローの概略図である。ポンプ光が活性領域中に吸収された場合でも、犠牲層の選択的エッチングが可能である。PECプロセスにおけるエッチングは、ホールがエッチング溶液へ移動した場合に、ウェーハ表面でAlInGaN材料が溶解することにより達成される。その後、これらのホールは、溶液中で、エッチング溶液でカソード金属界面において抽出された電子と、再結合される。そのため、電荷的中性が達成される。陽極から陰極への電気的短絡により、選択的エッチングが達成される。デバイス発光層中に生成された電子ホールペアがpn接合の電界によって発光層から排除される。ホールが活性領域から排除されるため、発光層のエッチングはほとんど発生しない。キャリア蓄積に起因して、再結合時においてキャリアを、金属アンカーを通じて駆動する電位差が発生する。犠牲領域中にフラットバンド条件が発生した結果、ホールが形成されて、犠牲層が高速にエッチングされる。
図12は、サブマウントとして機能するように処理されたキャリアウェーハの簡単な図である。キャリアウェーハ402は、後側に接合媒体401が含まれるように、処理される。接合媒体401は、エポキシ、金−スズはんだなどであり得る。キャリアは、キャリアウェーハをオーバーレイ層から電気的に絶縁させる第1の保護層403によっても処理される。導電性接合パッド405は、保護層を被覆し、レーザダイ移動プロセス時に用いられる接合パッド508へのプローブまたはワイヤ接合を介して電気的アクセスを可能にする。レーザダイ406の移動後、第2の電気接触および接合パッド層407を付加して、ダイ上にパターニングされたレーザデバイスおよび底側接触パッド405の一部双方を被覆する。第2の保護層408は、これら2つの接合パッドを分離させる。
図13は、一例における二次元におけるダイ拡張を用いた選択領域接合プロセスの上面図である。基板901を移動可能なダイ903でパターニングする。キャリアウェーハ902を、基板上のダイピッチよりも大きな第2のおよび第4のピッチ双方において接合パッド904と共にパターニングする。第1の接合の後、レーザダイのサブセットをキャリアへ移動させる。第2の接合の後、一列分のダイが移動される。
図14は、一例における典型的なレーザダイオードデバイスについての処理ステップおよび材料入力のフロー図である。ここで、GaN基板を堆積させて、LDデバイスウェーハを形成する。レーザリッジをパッシベーション化および電気接触層と共にウェーハの前面上に作製する。その後、ウェーハを薄膜化すると、ウェーハ厚さの大部分が消費される。背面の電気接点が処理される。その後、ウェーハを印付けして劈開させてファセットを形成し、ファセットコーティングを付加し、品質保証のためにレーザデバイスを試験する。その後、レーザバーを単一化して個々のダイとし、サブマウントへ取り付ける。GaAsPベースレーザのプロセスフローは、実質的に同様である。
図15は、一例においてキャリアウェーハへのエピタキシャル移動を用いて作製された低コストGaNレーザデバイスの処理ステップおよび材料入力のフロー図である。ここで、GaN基板を堆積させて、LDデバイスウェーハを形成する。レーザダイは、移動のための作製において処理される。その後、レーザダイをキャリアウェーハへ移動させる。その後、レーザリッジ、パッシベーション層および接点をキャリア上のダイ上に作製する。エッチされたファセットが用いられる場合、デバイスはウェーハ上において試験される。その後、キャリアを単一化して、個々のダイとする。GaAsPベースレーザのプロセスフローは、実質的に同様である。
図16は、所与のダイピッチで基板上において処理することが可能な複数のレーザデバイスを示す表である。この表は、3つのジオメトリ25(直径の4mm、32mmの円形のウェーハおよび2x2cm平方のウェーハ)の基板の値を示す。ダイピッチの低下と共に、基板上において処理可能なデバイスの密度が顕著に増加する。
図17は、直径100mmのキャリアウェーハ1001上の多様な基板寸法の接合可能領域を示す。この構成において、ダイ拡張は、1つの寸法のみにおいて発生する。可能な移動の数は、キャリアに相対する基板のサイズおよび形状によって決定される。いくつかの例が図示される(例えば、直径25.4mmのウェーハ1002、直径32mmのウェーハ1003および2x2cmの基板1004)。
図18は、多様な第2のピッチにおけるキャリアへのエピ移動後の幅約50ミクロンのダイ上において処理することが可能な複数のレーザデバイスを示す表である。第2のピッチ(例えば、基板上のピッチに相対するキャリア上のダイピッチ)により、各移動ステップにおいて移動させることが可能な基板上のダイの部分が決定される。そのため、キャリアウェーハは、第1のピッチおよび第2のピッチのサイズに応じて、複数の基板、1つの基板または単一の基板のうち一部のみからのダイを含み得る。
図19は、基板上のエピタキシャル膜からのGaNベースレーザダイオードデバイスの作製から最終用途までのプロセスフローの図示である。ダイは、32mmGaNウェーハ上において作製され得、100mmSiC基板へ移動され得る。レーザデバイス中へダイを処理した後、SiCキャリアを単一化して個々のレーザチップとする。これらの個々のレーザチップは、多様な用途(例えば、ディスプレイ、一般照明のための光源、プロジェクターおよび車両ヘッドランプ)において取り付けることが可能である。本例において、幅約50ミクロンのメサを約70ミクロンの第1のピッチで約490ミクロンの第2のピッチのキャリアウェーハへ移動させることができる。
図20は、サブマウント1102上の典型的なレーザダイおよび本発明のデバイス1101の概略図である。サブマウント上のダイは、約75ミクロンまで薄膜化されかつ長さ約1.2mmおよび幅約150ミクロンのレーザダイとして劈開されたGaN基板上に作製された幅約30ミクロンのレーザリッジによって長さ約1.2mmにされ得る。その後、これらのダイを、電気的に絶縁されたワイヤ接合パッドでパターニングされたより大きなサブマウントに取り付ける。ワイヤ接合パッドは、ワイヤ接合およびはんだ接続をそれぞれ介してレーザダイの上部および下部へ電気的に接続される。チップスケールデバイスにおいて、幅約50ミクロン×約1.2mmの約2ミクロン厚のレーザダイのアレイがSiCキャリアウェーハ電気接続へ移動され、ワイヤ接合パッドがウェーハ−スケールリソグラフィックプロセスを用いて作製される。その結果得られたチップは約1.2mm×幅約0.5mmであるが、キャリアウェーハ上のレーザダイアレイのピッチを調整することにより、その結果得られたチップのサイズをスケーリングすることが可能である点に留意されたい。双方のデバイスにおいて、ワイヤ接合によってまたは取り外し可能な接続(例えば、ポゴピン、ばねクリップ)を介して、パッドへの電気接触が可能となる。
AlInGaNレーザデバイスについて、これらのデバイスは、極性c面[0001]配向において方向付けられた表面領域を含むガリウムおよび窒素含有基板(例えば、GaN)を含むが、他のもの(例えば、非極性または半極性のもの)であってもよい。デバイスは、表面領域を被覆するInGaNを含むガリウムおよび窒素含有材料も有する。本明細書中に用いられるように、「基板」という用語は、バルク基板を意味する場合もあるし、あるいは、例えば、ガリウムおよび窒素含有エピタキシャル領域または機能領域、例えば、n型GaN、それらの組み合わせといった被覆成長構造を含み得る。
GaN発光ダイオード(LED)およびレーザダイオード(LD)は典型的には、c面配向基板上に生成される。LEDの場合、これらは典型的には、GaNテンプレート(すなわち、化学的に異なる基板上にヘテロエピタキシャル的に成長された薄いGaN膜)である。例えば、GaN膜は、サファイア、SiC、シリコンおよびスピネルなどの上に成長され得る。この場合、GaN膜の配向は、基板の結晶構造および配向およびGaN層の欠陥率は、GaN/基板間の格子不整合ならびにGaN層の成長の詳細によって決定される。レーザダイオードの場合、高密度の欠陥の伸長がテンプレート中に見受けられた場合、欠陥率が受容できないほどに高くなる。これは、先ず横方向エピタキシャル過成長の利用によって欠陥密度の低い大領域を有するテンプレートを得ることにより、解消される。現行技術では、水素化気相エピタキシーまたはアモノサーマル成長による低欠陥密度ブールの成長によって生成されたバルクGaN基板が用いられる。どちらの場合も、比較的大型の(例えば、典型的には直径2インチ以上の)GaNウェーハを、比較的低密度の欠陥が均等に分散した状態で生成することができる。c面ウェーハ上における成長は、直径2インチ以上のc面ウェーハが現在利用可能であり、非極性および半極性配向はc面配向ブールからのクロスカットに起因して一般的にサイズが制限される点のみにおいて、非極性および半極性配向のGaNウェーハ上における成長において有用である。
オフカットを含まないC面GaNウェーハは、主にウルツ鉱型の結晶格子の[0001]方向に平行な法線面を有するように配向される。ウェーハは、オフカットを持ち得、ここで、ウェーハの法線面が、<11−20>または<10−10>方向の1つまたは組み合わせに向かってチルトされる。任意のオフカット方向について、チルトは、<11−20>および<50−10>ファミリーにおいて見受けられる方向の直交するペアに対して垂直に指定される。例えば、[10−50]および[1−210]は直交しており、任意のオフカットを指定するために用いられ得る。一般的に、オフカットは、<!1−20>または<10−10>方向のうち1つのみに主に向かっており、偏向は比較的小さい。例えば、c面ウェーハは、[10−10]方向へ向かう0.1〜10度のオフカットを持ってもよいし、あるいは、[11−20]方向へ向かう0.1〜10度のオフカットを持ってもよい。より大型のオフセットおよびより小型のオフカットが可能であるが、0.1度未満のオフカットを含むウェーハが名目上、軸上にあるものとみなされる。
ウェーハオフカットは、段差縁の終点の他、ウェーハ表面上の原子段差の密度を決定するため、重要である。結晶の任意に配向された表面は高い表面エネルギーを有する可能性が高いため、結晶は、低エネルギー面の集合を用いた傾斜面の近似を形成する傾向になる。一般的に、オフカットc面ウェーハの場合、段差付きの表面は、プリズム面(すなわち、(11−20)または(10−10))によって構成された[0001]段差面および段差縁を含む。結晶構造の異方性に起因して、(11−20)段差縁におけるダングリングボンドの数および構成は、(10−10)段差縁におけるものと異なる。オフカットの方向および大きさは、段差縁の密度および配向に影響を与えるため、基板の化学的特性の制御の大部分がオフカットによって影響を受け得る。化学秩序付け、揮発性種の採用およびスタッキング不具合の形成などの多数の成長プロセスは、段差縁において用いられる原子に関連し得る。そのため、基板オフカットの適切な選択が、最良のエピタキシャル膜品質の達成において極めて重要である。
c面ウェーハは、非極性および半極性配向ウェーハよりも大きく、コストにおいて有利であるものの、大きな欠陥がある。典型的には、c面レーザの場合、差動利得へ悪影響を与える電子の空間分離および幅広ウェル内でホールが滞留する原因となる差動利得へ悪影響を与えることに起因し、数少ない狭量子井戸を使用することが要求される。少数の狭ウェルが用いられた場合、活性領域とGaNクラッディング層との間において達成することが可能な屈折率差が制限される悪影響がある。活性領域とクラッディング層との間の屈折率差を増加させることにより光学閉じ込めを増加させるために、c面デバイスは典型的には、アルミニウム含有クラッディング層を用いる。非極性および半極性配向レーザダイオードの魅力的な特徴として、エピタキシャル構造をc面においてずっと厚くし得るいくつかの量子井戸で自由に設計できる点がある。その結果、Al含有クラッディング層が不要な設計が可能になる。
アルミニウム含有クラッディングを用いた場合、複数の不利点がある。AlGaN層は、特にドープp型の場合において、GaNよりも高抵抗の傾向があるため、レーザデバイスの全直列抵抗が増加する。AlGaNは、制約の無いGaN層上において成長した場合に張力下にもおかれるため、引っ張りひずみに起因して亀裂または他の欠陥が発生する前に成長させることが可能なAlGaNクラッディングの厚さおよび組成双方が制限される。高品質のAlGaN成長のためには、GaNよりもより高い成長温度、およびより低速の成長速度が必要になる場合も多い。アルミニウム含有前駆体はまた、インジウムおよびガリウムのものよりも気相中においてより容易に反応し、その結果、より多数の粒子が形成され、成長時におけるエピタキシャル膜の関連汚染が発生する。四元(AlInGaN)クラッディングは1つの可能な代替例であるが、AlInGaN層は、引っ張りひずみに関連する問題しか解消せず、また、高品質のAlInGaNの成長に必要な高温に起因してインジウムの添加が抑制されるため、成長制御もより困難である。
本発明をc面レーザダイオードデバイスに適用すると、非エピタキシャルまたは等価またはそれ以下の屈折率によるex situ堆積材料による厚い比較的高アルミニウム含有量のクラッディング層の置換が可能になるため、有用である。例えば、InGaN量子井戸のいずれかの側上の数百ナノメートルのGaNクラッディングからなる薄いデバイス構造は、高伝導率かつ低吸収率TCO(例えば、ZnO、ZnGaO、Ga、ITO)とクラッドすることができる。これらの材料の屈折率は低組成AlGaNのものよりもずっと低いため、通常は導波モードを支持しない活性領域を用いた場合でも、制約の大きい導波路が容易に構成され、設計に応じて、厚さおよび比較的高アルミニウム含有量のクラッディング層を用いて、従来のデバイスよりも良好な光学閉じ込めが可能になる。TCOなどの低屈折率材料の代替例として、高屈折率金属を用いて、受容不可能なレベルの損失を発生させることなく薄いクラッディング領域を用いることにより、モードの閉じ込めを支援することができる。このような反射金属の例を挙げると、銀、アルミニウムおよび金がある。特定の実施形態において、AlGaNクラッディング層全体をエピタキシャル構造から無くすことができ、他の実施形態において、より薄いおよび/またはより低アルミニウム含有量のクラッディング層を低屈折率ex−situ堆積材料(例えば、TCOおよび/または反射金属)と共存させることができる。このような構造を用いた場合、成長時間が大幅に低下し、デバイス抵抗率が低くなるため、プロセス清浄度も向上し、スループットが増加する。
実施形態において、犠牲層が、移動後に露出するであろうn接触層と共に成長される。オーバーレイn接触層は、従来のc面レーザダイオードと同様の構造を含む層である。本実施形態において、n型GaNバッファ層が、c面配向バルクGaNウェーハ上に成長される。オーバーレイバッファ層は、450nmよりも短い波長において光を吸収するウェルが得られるように選択されたウェル組成および厚さを備えたGaNバリアによって分離されたInGaNウェルを含む犠牲層であるが、いくつかの実施形態において、吸収端はわずか400nmと短く、他の実施形態においては520nmと長くなる。オーバーレイ犠牲層は、濃度5E18cm−3においてシリコンでドープされたGaNからなるn型接触層であるが、他の実施形態において、ドーピングは、1E18〜1E19cm−3の範囲内にあり得る。オーバーレイ接触層は、厚さ1ミクロンおよび平均組成4%AINのn型AlGaNクラッディング層であるが、他の実施形態において、厚さが0.25〜2ミクロン、平均組成1〜8%のΑlΝであり得る。オーバーレイn−クラッディングは、n型導波層または別個の閉じ込めヘテロ構造(SCH)層であり、光モードの閉じ込めを向上させるために、クラッディングによる屈折率差の提供を支援する。nSCHは、組成が4%InNでありかつ厚さが100nmであるInGaNであるが、他の実施形態において、InGaNnSCHは、厚さが20〜300nmであり、0〜8%のInNのものであり得、組成および厚さが異なるいくつかの層によって構成され得る。オーバーレイn−SCHは、4nm厚さのGaNバリアによって分離された2つの3.5nmの厚さのIn0.15Ga0.85Nの量子井戸からなる発光層であるが、他の実施形態において、厚さ1nm〜25nmのGaNまたはInGaNバリアによって分離された1nm〜6nmの厚さの量子井戸からなる1〜5枚の発光層があり得る。オーバーレイ発光層は、組成が4%InNであり厚さが100nmであるInGaNpSCHであるが、他の実施形態において、nSCHは、厚さ20〜300nmおよび0〜8%のInNのものであり得、組成および厚さが異なるいくつかの層によって構成され得る。オーバーレイpSCHは、組成が10%AINであるAlGaN電子遮蔽層[EBL]であるが、他の実施形態において、AlGaNEBL組成は、0%〜30%のAINであってもよい。オーバーレイするEBLの厚さ0.2ミクロンおよび平均組成4%AINのp型AlGaNクラッディング層は、他の実施形態において、厚さは、0.25〜2ミクロンであり、平均組成が1〜8%AINであってもよい。オーバーレイp−AlGaNクラッディングは、厚さ700nmのp−GaNクラッディングであるが、他の実施形態において、p−GaNクラッディング厚さは、0nm〜1500nmであってもよい。p−GaNクラッディングは、デバイスへの高品質の電気p型接触を可能にする高濃度ドープp++またはp接触層を用いた結晶の自由表面において終端する。このデバイスを図21中に模式的に示す。
読者のためのさらなる背景として、窒化ガリウムおよび関連結晶は、バルク形態で生成することが困難である。GaNの大領域ブールの生成が可能な成長技術は未だ初期段階にあり、全配向のコストは、同様のウェーハサイズの他の半導体基板(例えば、Si、GaAsおよびInP)よりもずっと高い。大領域の自由独立型のGaN基板(例えば、直径が2インチ以上のもの)が市販されているものの、大領域非極性および半極性GaN基板の利用可能性は極めて制限されている。典型的には、これらの配向は、c面配向ブールの成長によって生成され、その後、c面に対して一定の急角度で矩形ウェーハとしてスライスされる。これらのウェーハの幅は、c面配向ブールの厚さによって制限され、そのためブール生成方法(例えば、典型的には外部基板上の水素化気相エピタキシー(HVPE))によって制限される。このようにウェーハサイズが小さい場合、いくつかの点において制限が出てくる。第1に、エピタキシャル成長をこのような小型ウェーハ上において実行する必要があるため、ウェーハ端部近傍における成長不均一に起因して使用することが不可能なウェーハの領域割合が増加する。第2に、光電子工学デバイス層の基板上におけるエピタキシャル成長の後、大領域ウェーハ上において用いられるような最終デバイスを作製するために、同数の処理ステップを小型ウェーハ上において行うことが必要になる。これらの影響双方により、作製されるデバイス毎にかかるコストおよび使用不可能なウェーハ領域の割合双方がウェーハサイズの低減と共に増加するため、このような小型ウェーハ上にデバイスを製造するコストが増加する。また、バルクGaN成長技術は比較的早期の段階にあるため、製造可能な基板総数がさらに制限され、その結果、非極性または半極性のGaN基板ベースデバイスのスケールアップの実行可能性が制限される可能性が大きくなる。
このように、GaN基板の全配向のコストが高く、ウェーハサイズのスケールアップも困難であり、小型ウェーハの処理に固有の非効率もあり、また、半極性および非極性ウェーハの供給は限られる可能性もあるため、基板およびエピタキシャル材料の利用を最大化することが極めて所望されている。横方向の空洞レーザダイオードの作製においては、最短ダイ長さがレーザキャビティ長によって決定されることが通例であるが、最短ダイ幅は、他のデバイスコンポーネント(例えば、ワイヤ接合パッド)または考慮事項(例えば、ダイ取り付けプロセスにおけるダイ取り扱いのための機械的領域)によって決定される。すなわち、レーザキャビティ長はレーザダイ長さを制限する一方、レーザダイ幅は典型的にはレーザキャビティ幅よりもずっと大きい。GaN基板およびエピタキシャル材料が極めて重要となるのはレーザキャビティ領域およびその近傍のみにおいてであるため、レーザキャビティの領域のみをこれらの比較的低コストの材料から形成し、接合パッドおよびチップの機械的構造をより低コストの材料から形成するための新規な方法を発明するための絶好の機会に繋がる。レーザキャビティ幅の典型的な寸法は約1〜30μmである一方、ワイヤ接合パッド幅は〜100μmである。すなわち、ワイヤ接合パッド幅の制限と、機械的取り扱いについての考慮事項とをGaNチップ寸法から排除することができれば、>3〜100倍よりも多くのレーザダイオードダイを単一のエピタキシャルウェーハから作製することが可能になる。すなわち、エピタキシーおよび基板コストを>3〜100倍だけ低減することが可能になる。従来のデバイス設計においては、比較的大きな接合パッドがエピタキシーウェーハによって機械的に支持されるが、構造的支持を超えて半導体の材料特性を利用することはできていない。
他の実施形態において、低屈折率TCOクラッディング層をレーザダイオードのp型およびn型側双方へ付加することにより、構造の光学閉じ込めを向上させる。これに起因して、低屈折率アルミニウム含有層が不要になり、その結果、AlGaNまたはAlInGaNの比較的厚い抵抗層が構造中に存在しないため、エピタキシャル構造における全体的ひずみと、成長室中のデバイス層の堆積に必要な時間と、デバイスの直列抵抗とが低減する。この実施形態において、n型GaNバッファ層は、c面配向バルクGaNウェーハ上に成長される。オーバーレイバッファ層は、波長450nm未満の光を吸収するウェルが得られるように選択されたウェル組成および厚さのGaNバリアによって分離されたInGaNウェルを含む犠牲層である。しかし、いくつかの実施形態において、吸収端は、400nmと短く、他の実施形態においては520nmである。オーバーレイ犠牲層は、濃度5E18cm−3においてシリコンドープされたGaNからなるn型接触層であるが、他の実施形態において、ドーピングはIE18〜IE19cm−3の範囲内であり得る。オーバーレイ接触層は、厚さ100ナノメートルのn型GaNクラッディング層であるが、他の実施形態において、厚さは50〜1000ナノメートルの範囲内であり得、0.5〜10%InNのInGaNの合金によって構成され得る。オーバーレイn−GaNクラッディングは、光モードの閉じ込めを向上させるような屈折率差をクラッディングへ付与することを支援するn型導波層または別個の閉じ込めヘテロ構造(SCH)層である。nSCHは、組成4%InNのInGaNであり、厚さ100nmを有するが、他の実施形態において、nSCHは、厚さ20〜300nmおよび0〜8%のInNの範囲内であり得、組成および厚さが異なるいくつかの層によって構成され得る。オーバーレイn−SCHは、4nm厚さのGaバリアによって分離された2枚の厚さ3.5nmのIn0.15Ga0.85N量子井戸からなる発光層であるが、他の実施形態において、1nm〜25nm厚さのGaNまたはInGaNバリアによって分離された1nm〜6nm厚さの量子井戸からなる1〜5枚の発光層があり得る。オーバーレイ発光層は、4%InNの組成のInGaNpSCHであり、厚さ100nmを有するが、他の実施形態において、nSCHは、厚さ20〜300および0〜8%のInNの範囲内であり得、組成および厚さが異なるいくつかの層によって構成され得る。オーバーレイpSCHは、組成10%A1NのAlGaN電子遮蔽層[EBL]であるが、他の実施形態において、AlGaNEBLは、0%〜30%のAlNの組成であってもよい。オーバーレイ接触層は、厚さ100ナノメートルのp型GaNクラッディング層であるが、他の実施形態において、厚さは、50〜1000ナノメートルの範囲内であり得、0.5〜10%InNのInGaN合金によって構成され得る。p−GaNクラッディングは、デバイスへの高品質の電気p型接触を可能にする高濃度ドープp++またはp−GaN接触層による結晶の自由表面において終端する。このデバイスを図22中に模式的に示す。
図23は、従来のc面レーザダイオードの最終構造と、ダイオードの両側上の低屈折率のTCOクラッディングを用いた移動されたc面レーザダイオードとを比較した概略図である。金属接触層、リッジおよび関連構造、保護酸化物および他のデバイス特有のフィーチャは、明確さのために図示していない。2種類のデバイス間のエピタキシャル材料の全体的厚さの差がある点に留意されたい。TCOクラッド移動されたデバイスは、0.5ミクロン未満のエピタキシャル材料を含む一方、従来のレーザダイオードは、2ミクロンを越えるエピタキシャル材料を含み、デバイスの厚さの大部分は、典型的には比較的低い成長速度で成長されるアルミニウム含有合金からなる。c面レーザダイオード中の量子井戸の薄さに起因して、InGaN層とGaNクラッディング層との間の屈折率差のみに依存する場合、高い光学閉じ込め係数を達成することは不可能である。高い差動利得のために十分な光学閉じ込めを得るために、比較的低屈折率のAlGaN層が付加される。上記したように、これらの層は典型的には、等価ドープGaN層よりも高抵抗であり、n型層と比較してp型層の場合は差が大きくなる。さらに、アルミニウム含有層によって光学閉じ込めを達成できる量において制限がある。高屈折率差を達成するために、極めて高アルミニウム含有量を含む層を成長させる必要がある。しかし、その場合、抵抗率が増加し、引っ張りひずみも増加する。実際は、450ナノメートルにおいてそれぞれ約2.2および約2.4であるAlNとGaNとの間の屈折率差が比較的小さいため、屈折率差が無くなるため、光モードを極めて小量に抑制することは不可能である。しかし、透明導電性酸化物と共に両側上にクラッディング活性領域を設けた場合、光モードを極めて小量に抑制することが可能になり、比較的高い閉じ込め係数が得られる。なぜならば、TCOの屈折率が比較的小さい(例えば、1.9〜2.0のオーダー)傾向があるからである。図24は、従来のc面レーザ構造[破線]および空洞両側上のTCOクラッディングを用いたc面レーザ構造[実点および実線]についての閉じ込め係数のシミュレーションの結果を示す。これらの構造は、図23に示すものに対応する。y軸は、シミュレートされた閉じ込め係数を示し、x軸は、TCOクラッド構造中のGaNクラッディングの厚さを示す。理解されるように、例えばGaNクラッディングの厚さが300nm未満である場合、TCOクラッド構造は、従来のc面レーザダイオードよりも高い閉じ込め係数を有する。極めて薄いGaNクラッディング[すなわち、極めて薄いキャビティ]の場合、閉じ込め係数は、従来のレーザダイオードよりも50%も高い。このように閉じ込め係数が高くなると、TCOクラッド構造における差動利得も増加する。
さらに別の実施形態において、図22に示すTCO層の代わりに、反射金属が用いられる。一例として、アルミニウムをn接触のために用いることができ、銀をp接触上において用いることができる。この実施形態において、金属は、許容できない量のモーダルロスに寄与することなく、光モードを閉じ込める機能をする。
一例において、この方法は、極性c面GaN基板上のLDエピ構造の従来の平面成長を用いる。その後、透明導電性酸化物(TCO)をフリーのエピタキシャル表面上に堆積させて、光モードの十分な閉じ込めのために必要な厚さにおいて完全に歪んで成長し得る組成のGaNまたはAlGaN膜よりも低い屈折率で、透明な導電性接触層を形成する。2つの例示的TCOとして、酸化インジウムスズ(ITO)および酸化亜鉛(ZnO)がある。ITOは、TCOの商業規格であり、半透明の電気接触が所望されるディスプレイおよび太陽電池などの多様な分野において用いられている。ZnOは、GaNと同じ結晶構造を有する直接ギャップ半導体である点において有利であり、AlInGaN合金の成長温度と比較して相対的に低い温度でエピタキシャル的にGaN上において成長させることが可能である。ZnOのバンドギャップも十分に大きく、可視波長の光のバンドエッジ吸収が無視できるほど小さいGaN(およそ3、3eV)と同様である。ZnOは溶液から多様な方法、例えば、金属有機化学気相堆積技術、他の気相堆積技術で堆積させることができる。別の例において、TCOの代わりに、アルミニウム、銀、金などの反射金属が用いられる。さらに別の例において、TCOおよび反射金属の組み合わせが用いられる。
その後、ウェーハをハンドルと接合させ、TCOのフリーな表面を接合界面に隣接させる。接合は、直接的なもの、すなわち、TCXをハンドル材料と接触させることでもよいし、あるいは間接的なもの、すなわち、接合特性の向上のため、接合媒体をTCOとハンドル材料との間に配置することでもよい。例えば、この接合媒体は、Au−Snはんだ、CVD堆積SiO、ポリマー、CYDまたは化学的に堆積された多結晶半導体または金属などであり得る。間接的な接合機構を挙げると、熱圧着接合、陽極接合、ガラスフリット接合、接合媒体の性質に応じて選択された接合機構との接着剤による接合がある。
熱圧着接合においてはTCOとハンドルウェーハとの間に配置された接合媒体を用いて、ウェーハが高温および圧力で接合される。接合媒体は、複数の異なる層を含み得るが、典型的には、表面拡散速度が高い比較的高延性の材料で構成された1つ以上の層(接合層)を含み得る。多くの場合において、この材料は、Au、AlまたはCuである。接合スタックは、接合層とTCOとの間に配置された、接着を促進させるかまたは拡散バリアとして機能する層またはハンドルウェーハも含み得、TCOまたはハンドルウェーハ中の種は、接合層材料に高い溶解性を有するべきである。例えば、Siウェーハ上にAu接合層が設けられた場合、接合界面へのSi拡散に繋がり得、その結果接合強度が低下する。シリコン酸化物または窒化物などの拡散バリアが設けられた場合、この効果が制限される。TCOおよびハンドル上に配置された接合層間の接着を促進させるために、第2の材料の比較的薄い層を接合層の上面に付加することができる。金よりも低延性のいくつかの接合層材料(例えば、Al、Cu)または粗い膜を与えるように堆積されたもの(例えば、電解堆積)の場合、接合前の化学的または機械的研磨を介した平坦化または粗さ低減が必要になり得る。また、反射金属の場合、接合と干渉し得る酸化物または有機材料を除去するための特殊な清浄ステップが必要になり得る。
金属層スタックは、空間的に不均一であり得る。例えば、整列または透明な基板の後側から視認することが可能な基準マークの提供のためにリソグラフィが用いられた場合、接合スタックの初期層が変化し得る。
熱圧縮接合は、比較的低温度、典型的には、500℃未満〜200℃を越える温度で達成することができる。温度は、接合界面における接合層間の拡散率を促進するように十分に高温であるべきであるが、各金属スタック中の個々の層の意図しない合金化を促進させないよう、高温過ぎてもよくない。圧力を付加すると、接合速度が向上し、金属スタックの一定の弾性および塑性変形を生じさせ、より良好な均一接触をもたらす。最適な接合温度、時間および圧力は、特定の接合材料、接合界面を形成する表面の粗さ、および負荷下にあるハンドルウェーハの破壊またはデバイス層の損傷の発生しやすさによって異なる。
接合界面は、全体をウェーハ表面によって構成する必要は無い。例えば、接合金属のブランケット堆積ではなく、リソグラフィックプロセスを用いて、接合金属を含まない領域によって分離された不連続領域中に金属を堆積させてもよい。これは、接合が弱いか存在しない規定領域により後続処理ステップを支援する場合または空隙が必要な場合において有利であり得る。これの一例として、エピタキシャル的に成長された犠牲層のウェットエッチングを用いたGaN基板の除去がある。犠牲層にアクセスするためには、エピタキシャルウェーハの2つの表面のいずれかの内部にビアをエッチングする必要があり、ウェーハを再利用のために保全することは、ビアをウェーハの接合側からエッチする場に最も容易となる。接合後、エッチングされたビアは、エッチング溶液を端部から接合ウェーハの中央へ伝導させることが可能なチャンネルを与え、そのため、ビアを含む基板の領域は、ハンドルウェーハと密接に接触しなくなり、接合が形成される。
接合媒体は、リフロープロセスにおいてまたは陽極において接合された非晶質またはガラス状の材料であってもよい。陽極接合において、媒体は高イオン含有量のガラスであり、材料の大量移送が大電界の付加によって促進される。リフロー接合において、ガラスは、低融点を有し、中程度の圧力および温度下においては接触および良好な接合を形成する。全てのガラス接合は比較的に脆性であり、ガラスの熱膨張係数を接合パートナーウェーハ(すなわち、GaNウェーハおよびハンドル)に十分に近接させることを必要とする。どちらの場合のガラスも、気相堆積により、またはスピンオンガラスを用いたプロセスによって堆積させることができる。どちらの場合も、リソグラフィまたはシルクスクリーニングプロセスによって規定される範囲およびジオメトリによって接合領域が限定され得る。
TCOのGaNおよびハンドルウェーハ双方からハンドルウェーハへのまたはハンドルウェーハ上に堆積されたエピタキシャルGaN膜とTCOとの間に堆積されたTCO間の直接的接合は、高温および高圧力においても行われる。ここで、接合は、接合界面を横断したTCO、GaNおよび/またはハンドルウェーハ種の大量移送によって行われる。TCOの延性は低いため、接合表面を金などの金属の熱圧縮接合に必要なものよりもずっと平滑にする必要がある。
本発明の実施形態は典型的には、光モードを横方向に閉じ込めることが可能な横方向屈折率差を得るための一定の種類のリッジを含む。一実施形態において、エピタキシャル的に成長されたGaNクラッディング層中にエッチングされたリッジが用いられる。この場合、TCO堆積および接合前にリッジがエッチングされてp型GaN層となるかまたは基板の接合および除去後にエッチされたn型層となるかは無関係である。図25は、レーザ導波路の例示的な模式断面図であり、二重導電性酸化物クラッディングは、一例におけるGaNなどのn型ガリウムおよび窒素含有材料におけるリッジ形成を示している。図26は、レーザ導波路の例示的な模式断面図であり、二重導電性酸化物クラッディングは、GaNなどのp型ガリウムおよび窒素含有材料中のリッジ形成を示す。この場合、ウェーハ表面上の高さの大幅な変動に対応することが可能なリフロー可能か、または塑性変形可能な接合媒体が用いられない限り、接合に対して導電性である表面を得るために、TCOを何らかの方法で平坦化する必要がある。図27は、レーザ導波路の例示的な模式断面図であり、二重導電性酸化物クラッディングは、GaNなどのn型およびp型ガリウムおよび窒素含有材料中のリッジ形成を示す。図28は、レーザ導波路の例示的な模式断面図であり、横方向導波路リッジは、透明導電性酸化物中に形成される。
AlInGaNレーザデバイスについて、これらのデバイスは、半極性[(11−21)、(20−21)、(20−2−1)など]または非極性[(50−10)または(11−20)]構成において配向された表面領域を含むガリウムおよび窒素を含有する基板(例えば、GaN)を含むが、他のものであってもよい。このデバイスは、表面領域を被覆するInGaNを含むガリウムおよび窒素を含有する材料も有する。特定の実施形態において、本レーザデバイスは、以下に述べるように、半極性または非極性のガリウム含有基板において用いられ得る。本明細書中で用いられるように、用語「基板」は、バルク基板を意味する場合もあるし、あるいは被覆成長構造、例えば、ガリウムおよび窒素含有エピタキシャル領域、または機能領域、例えば、n型GaN、組み合わせを含む場合もある。非極性m面と極性c面との間に配向された半極性結晶面上のエピタキシャル成長および劈開特性についても検討した。詳細には、{30−31}および{20−21}上に、結晶面のファミリーを成長させた。波長約400nm〜緑色(例えば、500nm〜540nm)において動作する効率的なレーザダイオードへの路をもたらす有望なエピタキシー構造および劈開を達成した。これらの結果を挙げると、450nmの範囲内の明るい青色エピタキシー、520nmの範囲内の明るい緑色エピタキシー、およびc方向に直交して突出する平滑な劈開面がある。
特定の実施形態において、窒化ガリウム基板部材は、半極性または非極性の結晶表面領域を有することにより特徴付けられるバルクGaN基板であるが、他のものであってもよい。特定の実施形態において、バルク窒化物GaN基板は、窒素を含み、表面転位密度は約10E5cm−2〜約10E7cm−2または10E5cm−2未満である。窒化物結晶またはウェーハは、AlInGa1−x−yNを含み得、ここで0≦x、y、x+y≦1である。1つの特定の実施形態において、窒化物結晶は、GaNを含む。1つ以上の実施形態において、GaN基板は、表面に対して実質的に直角または斜めの方向において貫通転位を約10E5cm−2〜約10E8cm−2の濃度において有する。直角または斜め方向の転位の結果、表面転位密度は、約10E5−2〜約10E7−2または約10E5cm−2未満である。特定の実施形態において、デバイスは、米国仮出願第61/164,409号(出願日:2009年3月28日)に対して優先権を主張する米国出願番号第12/749,466号(出願日:2010年3月29日)に記載のように、わずかにオフカットされた半極性基板上において作製され得、同文献は共通の出願人によるものであり、本明細書において参照として含まれるものである。
レーザ爆蝕は、アバブバンドギャップ放出レーザを用いて、加熱および窒素の分解を誘発させることにより、吸収犠牲(Al、In、Ga)N層を分解させるプロセスである。その後、王水またはHCIを用いて、残りのGaスラッジをエッチング除去する。この技術は、エピタキシャルデバイスとバルク基板との間の犠牲材料をエッチング/爆蝕除去することによりエピタキシャル構造および基板を分離するPECエッチングと同様に用いられ得る。その後、(ハンドリングウェーハへ既に接合された)エピタキシャル膜をラッピングおよび研磨して、平坦な表面を達成することができる。
PECエッチングは、光利用ウェットエッチ技術であり、GaNおよびその合金のエッチングに用いられ得る。そのプロセスにおいては、半導体および電解質溶液によって形成されたバンドギャップを超えた励起源および電気化学セルが用いられる。この場合、露出した(Al、In、Ga)N材料表面は陽極として機能し、半導体上に堆積した金属パッドは陰極として機能する。バンドギャップを超えた光源は、電子−ホール対を半導体中に生成する。ホールが材料表面へ拡散して酸化物を形成している間、電子が陰極を介して半導体から抽出される。表面へのホール拡散のためには、ホールの収集のために表面においてバンド曲がりを発生させることが必要となるため、PECエッチングは典型的にはn型材料のみに対して機能するが、いくつかの方法がp型材料のエッチングのために開発されている。その後、酸化物を電解質によって溶解させることにより、半導体のウェットエッチングが行われる。異なる種類の電解質(例えば、HCl、OHおよびHNO)がGaNおよびその合金のPECエッチングにおいて有効であることが判明している。エッチ選択性およびエッチ速度は、好ましい電解質の選択により、最適化することができる。半導体と陰極との間に外部バイアスを生成することにより、PECエッチングプロセスを支援することも可能である。
光化学エッチングを介した基板のリフトオフのための犠牲層は、ポンプ光を吸収し、周囲の材料と比較して向上したエッチング速度を有する低バンドギャップまたはドープ層を少なくとも含む。犠牲層は、エピタキシャル的に堆積され得、ホールキャリア寿命および拡散長さが高くなるように、その合金組成およびこれらのドーピングを選択することができる。高い材料結晶品質を促進させる成長条件下において犠牲層を成長させることにより、ホールキャリア寿命および拡散長さを低減させる欠陥を回避する必要がある。犠牲層の一例として、外部光源の波長において吸収するInGaN層がある。基板除去後に残っているクラッディング材料の厚さを制御するように極めて低いエッチ速度で設計されたエッチストップ層を、エッチプロセスの制御向上のために設けてもよい。エッチストップ層のエッチ特性は、単独で制御してもよいし、あるいは合金組成およびドーピングの組み合わせによって制御してもよい。可能なエッチストップ層として、バンドギャップが外部光源よりも高いAlGaN層がある。別の可能なエッチストップ層として、少数キャリア拡散の長さおよび寿命の低減のための高濃度ドープn型AlGaNまたはGaN層があり、これにより、エッチストップ材料のエッチ速度が大幅に低下する。
実施形態において、レーザダイオードpn接合のp側をn側へ電気的にショートさせることにより、光電気化学的(PEC)エッチングを用いた犠牲領域の選択的エッチングが活性領域保護層の使用無しに達成される。PECプロセスにおけるエッチングは、ホールがエッチング溶液へ移動したときのウェーハ表面におけるAlInGaN材料の分解によって達成される。その後、これらのホールは、陰極金属界面においてエッチング溶液によって抽出された電子と溶液中において再結合する。そのため、電荷的中性が達成される。選択的エッチングは、陽極を陰極へ電気的にショートさせることにより、達成される。デバイス発光層中において生成された電子ホール対は、pn接合の電界によって発光層から掃引される。ホールが活性領域から掃引されるため、発光層はほとんどエッチングされない。キャリアの蓄積により発生した電位差に起因して、金属相互接続を通じてキャリアが励起されて、陽極および陰極が再結合時にショートする。犠牲領域中におけるフラットバンド条件の結果、ホールが蓄積し、その結果犠牲層が高速エッチングされる。一実施形態において、陽極および陰極をショートさせるための金属相互接続は、接合ステップ前にガリウムおよび窒素含有メサを所定位置に機械的に保持するためのアンカー領域として用いられ得る。
犠牲および活性領域の相対的エッチ速度は、複数の要素によって決定されるが、定常状態における活性領域において見受けられるホールの密度によって主に決定される。金属相互接続またはアンカーの抵抗が高い場合または陰極または陽極がp型およびn型へそれぞれ電気接触する場合、クラッディング領域の抵抗が高すぎるかまたは大きなショットキーバリアを有し、その場合、pn接合のいずれかの側においてキャリアが蓄積することが可能になる。これらのキャリアによって生成された電界は、空乏領域の電界に抵抗するように機能し、活性領域からドリフトアウトする光生成キャリアの速度が陰極および陽極をショートさせる金属層を介したキャリアの再結合速度によって均衡されるまで、空乏領域中の電界大きさを低減させる。一定の再結合が光化学エッチングを介して発生し、これが活性領域中のホール密度と共にスケーリングされるため、活性領域上の光誘発バイアスの蓄積を回避することが好ましい。
PECエッチングは、TCOの自由表面ハンドル材料への直接/間接接合の前または後に行われ得る。1つの場合において、PECエッチングは、p側TCOのハンドル材料への接合後に行われ、PECエッチにより、Ill窒化物エピタキシャル材料がGaN基板から剥離される。別の場合において、犠牲層のPECエッチングを接合前に行って、半導体、金属または他の材料から形成されたアンカー領域を介してIII族窒化物エピタキシャル材料がGaN基板上に機械的に安定して保持されるようする。その後、TCOをエピタキシャル材料上に堆積させ、多様な材料によって構成され得るハンドルウェーハへTCO自由表面を接合させる。接合後、機械的力をハンドルウェーハおよびGaN基板へ付加して、III族窒化物エピタキシャル材料のGaN基板からの剥離を完了させる。
アンダーカットAlInGaAsPベースレーザダイオードは、GaNベースレーザダイオードと同様の方法で生成され得る。いくつかのAlInGaAsP合金を選択的にエッチするウェットエッチが複数存在する。一実施形態において、AlGaAsまたはAlGaP犠牲層をGaAsエッチストップ層と共にクラッド成長させることができる。AlGa1−xAsおよびAlGa1−xPの組成が高(x>0.5)である場合、HFとエッチングされる場合、AlGaAsをほとんど完全な選択性(すなわち、AlGaAsのエッチ速度>1E6にGaAsのものを乗算した値)と共にエッチングすることができる。GaAsに相対するHC1選択性により、InGaPおよびAllnPを高InPおよびAlP組成と共にエッチングすることができる。C:H:HOを用いて、AlGaAsと比較してGaAsを選択的にエッチングすることができる。マイクロマシニングAlInGaAsP合金の分野においける当業者に広く公知の犠牲層、エッチストップ層およびエッチ化学的性質の他の組み合わせが複数存在する。
一実施形態において、AlInGaAsPデバイス層を、犠牲層のみに顕著なエッチングが行われるように犠牲層組成と共に選択されたエッチ溶液へ露出させる。エッチングに抵抗性を有する保護層、例えば、二酸化ケイ素、シリコン窒化物、金属またはフォトレジストなどを、図8に示すように側壁上に用いて、活性領域を組成的に選択的なエッチング時においてエッチングから回避することができる。
AlInGaAsPレーザデバイスについて、これらのデバイスは、GaAsまたはGeによって構成された基板を含むが、他のものもあり得る。本明細書中用いられるように、用語「基板」は、バルク基板を意味する場合もあるし、あるいは、被覆成長構造、例えば、ヒ素またはリン含有エピタキシャル領域、または機能領域、例えば、n型AlGaAs、組み合わせを含んでもよい。デバイスは、GaAs、AlAs、AlGaAs、InGaAS、InGaP、AlInGaP、AlInGaAsまたはAlInGaAsPによって構成された基板を被覆する材料を有する。典型的には、これらの領域はそれぞれ、少なくとも金属有機化学堆積(MOCVD)のエピタキシャル堆積技術、分子線エピタキシー(MBE)またはAlInGaAsP成長に適した他のエピタキシャル成長技術を用いて形成される。一般的に、これらのデバイスは、屈折率が発光活性領域よりも低いn型クラッディング層またはp型クラッディング層それぞれの部分を形成し得るn型およびp型導電層を有する。nクラッディング層は、AlInGaAsP含有アルミニウムの合金によって構成され得る。デバイスは、デバイスの動作時に光を放出する活性領域を含む。活性領域は、周囲の量子バリアよりもバンドギャップが低い1つ以上の量子井戸を持ち得る。光モードの閉じ込めを向上させるために、別個の閉じ込めヘテロ構造(SCH)がクラッディング層よりも高い屈折率と共に設けられ得る。SCHおよび量子井戸は典型的には、InGaP、AlInGaPまたはInGaAsPによって構成されるが、他の材料もあり得る。
デバイスは、表面領域の一部を被覆して形成されたレーザストライプ領域を有する。レーザストライプ領域は、相互に対向する一対の劈開ミラー構造を有する第1の端部および第2の端部を有する。第1の劈開ファセットは反射コーティングを含み、第2の劈開ファセットは、コーティング、反射防止コーティングを含まないか、またはAsまたはP含有材料を露出させる。第1の劈開ファセットは、第2の劈開ファセットに対して実質的に平行である。第1の劈開ファセットおよび第2の劈開ファセットは、実施形態によるスクライビングおよび破壊プロセスによって得てもよいし、あるいは、エッチング技術(例えば、反応性イオンエッチング(RIE)、誘導結合プラズマエッチング(ICP)、または化学的促進型イオンビームエッチング(CAIBE)または他の方法)を用いたエッチング技術によって得てもよい。第1のミラー表面および第2のミラー表面はそれぞれ、反射コーティングを含む。コーティングは、二酸化ケイ素、ハフニアおよびチタニア、タンタル、五酸化物、ジルコニア、これらの組み合わせなどから選択される。設計に応じて、ミラー表面は、反射防止コーティングも含み得る。
本発明の好適な実施形態において、複数エミッタと共に構成されたレーザデバイスが、レーザバーを形成するように作製される。共通キャリアの単一化時において最終レーザデバイスとして形成されその後1つ以上のレーザストライプを各エピタキシャルメサダイス内に形成する領域内の共通キャリアウェーハ上に複数のエピタキシャルメサダイス領域を配置することにより、レーザバーが形成される。レーザバーは、極めて高出力(>10W)のレーザ出力が必要な用途において理想的な解決策である。本発明そのものが、レーザバーと共に多様な用途(例えば、防衛およびセキュリティ、生体臨床医学および殺菌消毒、産業計測および材料処理、ディスプレイおよび照明)に適用され得る。
防衛およびセキュリティの分野において、例えば、レーザバーは、例えばロングレンジの高コントラスト画像化のために用いられ得る検出システムと共に照明のために用いられる。レーザバーは、通信、領域調査、遠隔地からの生物的および化学的薬剤の検出のために用いることができる。さらに、無数の異なる化合物を検出することが可能であるため、レーザバーは、環境感知、雰囲気制御および監視、汚染監視、および他の環境保護監視のための光源として用いられる。防衛およびセキュリティ分野における他の用途を挙げると、犯罪科学、文書改ざんの検出、偽札検出および指紋検出がある。これらの用途において、レーザは、サンプルに蛍光を励起して、可視照射では検出することが不可能な情報を解き明かす。より高い出力レベルにおいて、レーザバーは、ターゲット指定のために用いられ、熱感知および他の種類の誘導ミサイルおよび武器に対する対抗手段として用いられる。出力レベルがより高くなると、レーザバーは、有向性エネルギー武器のための高エネルギーレーザのエンジンとして用いられる。
生体臨床医学において、レーザバーは、特定のサンプルの成分の検出および特徴付けのための蛍光分光法を用いた医療診断用途において用いられる。診断に加えて、レーザバーは、例えば、角膜切削、LASIKに関連するフラップ切除のために高ピーク出力システムが用いられる眼科を含む医療治療および手順において用いられる。レーザバーは、皮膚科学、例えば、臨床および民生双方のための髪除去において幅広く用いられる。レーザバーは、選択的に組織を切断または除去するための外科用途においても用いられる。さらに、UVレーザバーは、食物、空気および水中の微生物を死滅させる(精製)ための特定の波長におけるレーザ光を生成するため、レーザバーは、殺菌消毒用途においても用いられる。その結果、空気または水の循環システムなどの特定の環境においてUVレーザバーを用いると、これらの環境中に存在する病原体、ウイルスおよび型などの微生物を死滅させる効果が得られる。UVレーザバーを濾過システムと共に用いた場合、有害な微生物をこれらの環境から除去することができる。
産業用途において、レーザバーは、検査および計測において例えば機械視覚システムと共に用いられる。レーザバーは、エポキシなどの材料の硬化、産業印刷における塗料およびインクの硬化において用いられる。レーザバーは、単一モードおよびマルチモードの発光において、印刷用途およびリプログラフィ用途において個別にアドレス可能エミッタ発光または平行エミッタ発光において用いられる。レーザバーは、アニーリングおよび表面処理、金属用途、半導体および他の高度材料および複合材料において用いられる。より高い出力密度においては、レーザバーは、例えば自動車市場において穿孔、切断および溶接に用いられる。
ディスプレイおよび照明市場において、レーザバーは多く用いられている。例えば、映画、ホームシアター、教育、および会議室用プロジェクターを含むプロジェクションディスプレイにおいては、レーザバーは、ランプまたはLEDの代わりに光源として用いられる。LCDディスプレイにおいては、レーザバーは、ランプまたはLEDの代わりに後方照明または縁照明のために用いられる。照明市場において、レーザバーは、例えば、スポットライトおよびレーザ光ショウといった指向性照明といった照明用途に用いられ得、例えば、投光照明、街灯、および高輝度電球における光源など、より一般的な照明用途にも用いられ得る。
本発明において述べるように、レーザバーは、広範囲の波長網羅範囲を可能にしかつ上記したような多様な用途を可能にするGaAs(AlGaAsP)、InP(InGaAsP)、およびGaN(AlInGAn)などのいくつかの材料系から形成され得る。例えば、GaNシステムは、殺菌用途を提供するために波長範囲265nmにおいて動作するバーを形成するために用いられ得、硬化および印刷用途を提供するために波長範囲285、300、365、385、405nmにおいて動作するバーを形成するために用いられ得、フラットパネルディスプレイおよび他の民生電子コンポーネントのためのアニーリング用途を提供するために波長範囲405nmにおいて動作するバーを形成するために用いられ得、蛍光ポンピングを介した照明用途を提供するために波長範囲405〜445nmにおいて動作するバーを形成するために用いられ得、外科用途を提供するために波長範囲405、420または445nmにおいて動作するバーを形成するために用いられ得、あるいはディスプレイ用途を提供するために波長範囲445、450、465、510、515または530nmにおいて動作するバーを形成するために用いられ得る。別例において、GaAsシステムは、ディスプレイおよび光力学治療用途を提供するために波長範囲635nmにおいて動作するバーを形成するために用いられ得、防衛、生物医学および産業用レーザにおいて用いられる固体レーザポンピングおよびファイバーレーザポンピング用途を提供するために波長範囲792、803、808、885、915、940、980nmにおいて動作するバーを形成するために用いられ得、髪除去用途を提供するために波長範囲810nmにおいて動作するバーを形成するために用いられ得、リプログラフィ用途を提供するために波長範囲830nmにおいて動作するバーを形成するために用いられ得、または、材料処理用途を提供するために波長範囲1060nmにおいて動作するバーを形成するために用いられ得る。別例において、InPシステムは、外科および皮膚科学用途を提供するために波長範囲1470nmにおいて動作するバーを形成するために用いられ得、あるいは防衛およびセキュリティ用途を提供するために波長範囲1540nmにおいて動作するバーを形成するために用いられ得る。
本発明によれば、特に、レーザバーなどの共通基板またはキャリアウェーハ上の、1つよりも多くのレーザダイオードストライプを含むモノリシック集積型デバイスにおけるレーザダイオードの機能およびコスト効率の向上のための顕著な向上が可能になる。詳細には、高コストのGaN基板およびエピタキシーが、GaNベースレーザに関連する最適化されていない歩留まりと組み合わされた場合、GaNレーザバーが不経済になる。本発明によれば、エピタキシャルメサ領域が複数のレーザエミッタの作製のためにキャリアウェーハへ選択的に移動された場合、GaNベースレーザバーまたはマルチエミッタレーザデバイスを経済的に製造することが可能になるため、エピタキシャル領域およびガリウムおよび窒素を含有する基板の使用効率が顕著に増加する。本発明によるマルチエミッタデバイスのいくつかの例示的な有利点を以下に羅列する。
1.レーザストライプの最適なスペーシングにより、隣接するレーザストライプ間の熱クロストークを最小にしつつ近密なスペーシングが可能になり、共通光学要素のための十分なスペーシングも維持できる。
2.直列および直列−並列の電気接続が、共通基板上のレーザストライプ間に可能になる。
3.個別にアドレス可能なレーザストライプ。
4.歩留まりが向上しかつより低コストの製造プロセス。
図29(1)は、ガリウムおよび窒素を含有する基板上にモノリシック集積型多重ストライプレーザデバイス(レーザバーと呼ばれることが多い)を製造するための標準的なアプローチの一例を示す。この従来のアプローチにおいて、複数のレーザダイオードストライプ領域を、共通ガリウムおよび窒素含有エピタキシャル基板100を被覆するエピタキシャル材料内に作製する。共通ガリウムおよび窒素含有エピタキシャル基板100上には、典型的にはエピタキシャルn−GaNおよびn側クラッディング層101、活性領域102、p−GaNおよびp側クラッディング103、絶縁層104および接触/パッド層105が共通n側接触層106と共にエピタキシー形成される。処理されたエピタキシャルウェーハは、分離されて、2つ以上のレーザストライプを含む多重ストライプレーザダイとされて、周知のはんだ付け技術で、最も普通に用いられるはんだ107を使用して、パッケージまたはヒートシンク108へ電気的にかつ熱的に接続される。パッケージまたはヒートシンクは、共通電気接続として機能し、異極性の電気接触がレーザバーの他方側でワイヤ接合と為される。他の例において、マルチストライプレーザは、GaAまたはInP基板上に形成され得る。
マルチエミッタレーザダイオードの形成のためのこの従来のアプローチを用いてレーザバーを作製した場合、いくつかの不利点がある。
1.50%のフィルファクタに共通して制限されるレーザストライプ間の熱的および光学的なクロストークを低減するために、複数の隣接するレーザストライプが実質的な距離だけ分離される。これは、高価なエピタキシャル材料のうち50%を共通の成長基板から除去するる削除プロセスにより、達成される。
2.共通の成長基板は、導電性材料(例えば、GaNまたはGaAs)から最も共通して作製されるため、レーザストライプ間は、本来的にほぼ並列の電気接続に制限される。その結果、「低電圧定電流」(LVHC)の電気トポロジーに繋がり、レーザバーの給電のために高コストの電気回路が必要になる。
3.ほぼ並列の電気トポロジーに起因して、個々のレーザストライプを別個にアドレス指定することが禁止され、その結果、組み立ての機能が制限される。
4.ほとんど並列の電気トポロジーに起因して、製造プロセス時または現場作業時において発生し得る固有の壊滅的な故障モードが発生し得る。半導体の欠陥は、電気的リーク経路として表現されることが多い。そのため、レーザバー上に1つでも欠陥が有れば、製造プロセス時または現場作業時におけるアセンブリ全体の故障となる。
5.レーザバーをパッケージまたはヒートシンクへ接続するために通常のはんだ付け技術を用いるため、レーザストライプ内の熱生成領域とヒートシンクとの間に熱バリア(熱抵抗)が発生する。さらに、ヒートシンクへのはんだ取り付けに関連して、実質的なコストおよび複雑性が発生し得る。
図30は、本発明の一実施形態の模式断面図であり、図中、複数のレーザストライプ103が、別個の隣接する移動されたエピタキシャルメサ領域として形成され、共通キャリア106上に配置される。一例において、複数のレーザストライプが、絶縁層104によって分離された共通n金属層101および共通p金属層105を通じて電気的に接続され、その結果、並列電気トポロジーが得られる。共通キャリアウェーハは、導電性であってもよいし、電気的に絶縁性であってもよく、あるいは、任意選択の絶縁層108を共通n金属層の前にキャリアウェーハに付加してもよい。多重ストライプレーザの金属パッドへの電気接続は、共通n金属層および共通p金属層それぞれの上の1つ以上の位置107において、ワイヤ接合を通じてまたは取り外し可能な接続(例えば、ポゴピン、ばねクリップ)を介して行われる。
図31は、図30に示す実施形態の模式上面図である。共通キャリア106上に配置されかつ共通n金属層101および共通p金属層105へ電気的に接続された複数のレーザストライプ103から、レーザ放射ビーム110がデバイスの一端から放射される。
図32は、本発明の別の実施形態の模式断面図であり、ここで、複数のレーザストライプ103は、共通キャリア106上に配置された移動された隣接エピタキシャムメサ領域上に形成され、直列電気トポロジーにおいて電気的に接続される。共通キャリアウェーハを電気的に絶縁させてもよいし、あるいは、任意選択の絶縁層108を共通n金属層より前にキャリアウェーハへ付加してもよい。多重ストライプレーザの金属パッドへの電気接続は、共通n金属層および共通p金属層それぞれの上の1つ以上の位置107において、ワイヤ接合を通じて例えば、ポゴピン、ばねクリップといった取り外し可能な接続を介して行われる。
図33は、本発明の別の実施形態の模式断面図であり、ここで、共通キャリア106上に配置された複数のレーザストライプ103は、個々のレーザストライプが分離されて電気的にアドレス指定される様態で接続される。共通キャリアウェーハは、導電性にしてもよいしまたは電気的に絶縁させてもよいし、あるいは、任意選択の絶縁層108を共通n金属層前にキャリアウェーハへ付加してもよい。
図34は、図33に示す実施形態の模式平面図である。別個の電気接続が、個別にアドレス可能レーザストライプ103それぞれに対して位置111においてなされ、共通p金属層107へと電気接続されている。各レーザストライプの非発光端部を被覆する別個のn金属を任意選択的にパターニング(112)して、下側の光学ファセットとの接触が回避されている。
図35は、図31、図32および図33に示す3つの実施形態の電気等価回路を示す。ここで、複数のレーザストライプは、並列および直列に接続されていて、それぞれ個別にアドレス可能な電気トポロジーとされている。多重ストライプレーザ上の個々のレーザストライプの数は、所与の用途に合わせて最適化することができる。
図36は、キャリアウェーハへの移動前のエピタキシャルウェーハ上の個々のレーザストライプ間の幾何学的関係と、移動後のキャリアウェーハ上の複数のレーザストライプ間の所望のスペーシングとを模式的に示す。単一の多重ストライプレーザ上の隣接するレーザストライプ間のピッチであるピッチ2は、エピタキシャルウェーハ上の隣接するレーザストライプ間のピッチであるピッチ1の整数倍Nでなくてはならず、ここでN>1である。共通キャリアウェーハ上の隣接する多重ストライプレーザ間のピッチであるピッチ3は、エピタキシャルウェーハ上の隣接するレーザストライプ間のピッチであるピッチ1の整数倍でなくてはならず、ここでM>Nである。
図37は、選択領域接合プロセス簡単な上面図であり、選択領域接合を介したダイ拡張プロセスを示し、多重ストライプレーザが得られる。オリジナルのガリウムおよび窒素を含有するエピタキシャルウェーハ201は、エピタキシャル材料の個々のダイおよび処理を通じて規定された剥離層を有していた。個々のエピタキシャル材料ダイは、参照符号202で記載され、ピッチ1で間隔を空けて配置される。円形のキャリアウェーハ200は、パターニングされた接合パッド203と共に作製されている。これらの接合パッドは、ピッチ2で間隔を空けて配置される。ピッチ2は、選択された複数組のエピタキシャルダイを選択領域接合プロセスの各反復において接合することが可能なように、ピッチ1の偶数倍である。全てのエピタキシャルダイがキャリアウェーハ204へ移動されるまで、選択領域接合プロセスの反復を継続する。その後、キャリアウェーハをピッチ3で単一化して、複数の多重ストライプレーザが得られる。ここで、ガリウムおよび窒素含有エピタキシー基板201を再利用のために任意選択的に作製することができる。
図38は、多重ストライプレーザ301の1つの利点の概略図であり、ここで、ダイ上の隣接するレーザストライプが十分に近密に間隔を空けて配置された場合、光学要素302の共有が可能になり、これにより、複数の出力レーザ光ビーム303の光学結合が簡潔化され、その結果、レーザアレイの実装コストが低減する。
図39は、多重ストライプレーザ301の他の利点を示す上面図である。出力ビームアレイが必要である場合、非限定的な例示として、円筒型レンズ、レンズアレイ、高速軸平行レンズ、低速軸平行レンズな単一の光学要素304を利用することができる。
マルチエミッタレーザダイオードデバイスを含む本発明の別の実施形態において、集積型の赤−緑−青(RGB)チップが形成される。1つ以上の赤色エピタキシャルメサダイス領域、1つ以上の緑色エピタキシャルメサダイス領域および1つ以上の青色エピタキシャルメサダイス領域を(共通キャリアの単一化時において最終レーザデバイス中に形成される)一領域内の共通キャリアウェーハ上に配置し、その後1つ以上のレーザストライプを各エピタキシャルメサダイス内に形成することにより、集積型のRGBレーザデバイスを形成することができる。このようなRGBレーザデバイスは、例えば、ピコプロジェクターといった極めてコンパクトなRGBレーザ光源が必要となる用途、または例えば、Googleガラスといった拡張現実用途において理想的な解決法を提供する。
図40は、1実施形態に従い選択領域接合プロセスを用いて作製されたRGBレーザチップの図である。3つのレーザダイス316がキャリアウェーハ310へ接合され、レーザリッジが平行になるように、リッジ、パッシベーション化、電気接触などのレーザフィーチャと共に処理される。ダイスは、キャリアウェーハ材料から電気的に絶縁される。共通底接点314がダイ間で共有され、各ダイ上のレーザデバイスが個別に動作可能となるように、個々の上側電気接点311、312および313が提供される。各ダイ上のレーザデバイスの発光コーン315は、実質的に重複し、レーザダイスが広がる合計幅以下の距離にわたり、横方向のみにずれている。本図において、レーザチップは、オリジナルキャリアウェーハから単一化されている。
図41は、実施形態による選択領域接合を用いて作製されたRGBレーザチップの図である。3つのレーザダイス316は、キャリアウェーハ310へ接合され、レーザリッジが平行になるように、リッジ、パッシベーション化、電気接触などのレーザフィーチャと共に処理される。ダイスは、キャリアウェーハ材料から電気的に絶縁される。各ダイのための上側電気接点311、312、および313は、ダイがオーバーレイされるように、次のダイのための接合層として用いられる。保護層324は、エッチされたレーザリッジのみを電流が通過するように、レーザダイのバルクを上側電気接点から分離するために用いられる。この構成において、全レーザダイに共通する電極は無いが、1つのダイのための陽極が次の陰極として機能する。レーザダイのオーバーレイに起因して、リッジを互いに近接して配置することができる。図示のように、リッジは重複しないが、他の構成も可能であることが認識されるべきである。例えば、リッジは、リソグラフィックプロセスの公差内において横方向に整列させることができる。
図42は、これを達成するプロセスにおける多様なステップ時におけるキャリアウェーハの模式的な断面図である。第1のエピタキシャルウェーハからのダイ502を、上記した方法を用いてキャリアウェーハ506へ移動させる。その後、第2の1組の接合パッド503をキャリアウェーハ上に堆積させ、第2のパッドの接合表面が第1の1組の移動されたダイ502の上面よりも高くなるような厚さで構成する。これは、第2のエピタキシャルウェーハからのダイ接合のための適切な隙間が得られるようにして行われる。その後、異なる色、寸法、材料およびこのような差を含み得る第2の基板506を用いて、第2の1組のダイ507をキャリアへ移動させる。最後に、レーザリッジを作製し、パッシベーション層104を堆積し、その後、各ダイスの個別励起を可能にする電気接触層105を設ける。第1の基板および第2の基板から移動されたダイは、キャリアウェーハ504の第2のピッチよりも狭いピッチ505で間隔を空けて配置される。このプロセスは、任意の数の基板からのダイ移動と、任意の数のレーザデバイスのダイス毎に対する各基板からの移動とに用いることができる。
図43は、これを達成するプロセスにおける多様なステップ時におけるキャリアウェーハの模式断面図である。上記した方法を用いて、第1のエピタキシャルウェーハからのダイ502をキャリアウェーハ106へ移動させる。レーザリッジ、パッシベーション層104およびリッジ電気接点105をダイ上に作製する。その後、接合パッド503をリッジ電気接点上に堆積させる。その後、異なる色、寸法、材料および他のこのような差を含み得る第2の基板506を用いて、第2の1組のダイ507を第1の1組のダイと同じピッチでキャリアへ移動させる。その後、レーザリッジ、パッシベーション層およびリッジ電気接点を、第2の1組のダイ上に作製することができる。その後のダイ接合およびレーザデバイス作製サイクルは、任意の数のレーザダイおよびデバイスからなるマルチターミナルデバイスを有効に生成するように、実行され得る。
図44は、本発明の実施形態による、3つのマルチダイレーザチップのレイアウトの概略図である。レイアウトAおよび添付の断面図Bは、キャリアウェーハ601の単一化ピースと、エピタキシャル基板から移動された3つのレーザダイ602と、ダイへの電気的接続のための金属トレースおよびパッド603とを含むレーザチップを示す。レイアウトAは、キャリアウェーハへ直接接合されたダイを有する。このキャリアウェーハは、どちらも導電性であり、キャリアウェーハの背面側上の金属パッド605へ接続された共通電極を形成する。パッシベーション層606は、金属トレースと、レーザリッジと接触するパッド603を分離するために使用され、レーザデバイスの第2の電極を形成する。リッジ側接点は、分離されていて、レーザデバイスが個別に動作可能なように電気的に絶縁される。レイアウトCおよび添付の断面図Dは同様の構造を示すが、レーザダイは、パッシベーション層606によってキャリアウェーハから電気的に絶縁された金属層604へ接合される。接合パッド605をキャリアウェーハの後側上にオーバーレイして、サブマウント、ヒートシンク、プリント回路基板または他の任意のパッケージへと、レーザチップを取り付ける手段を提供する。この構造において、キャリアウェーハは導電性でなくてもよい。レイアウトEおよび添付の断面図Fは、同様の構造をレイアウトCとして示すが、キャリアウェーハは、導電性であり、レーザメサのための共通電極として機能する。パッシベーション層をキャリアと、背面側の接合パッド605との間に堆積させて、サブマウント、ヒートシンク、回路基板または取り付け先である他の種類のパッケージからチップを電気的に絶縁させる。
図45は、本発明によるマルチダイレーザチップのレイアウトの概略図である。レイアウトAおよび添付の断面図Bは、キャリアウェーハ701の単一化ピースと、エピタキシャル基板から移動された3つのレーザダイ702と、ダイへの電気的接続のための金属トレースおよび導電性の貫通ビア703とを含むレーザチップを示す。これらの貫通ビアは、キャリアウェーハを貫通し、接合パッド(図示せず)によって被覆され得る。レーザダイは、共通電極704を介してキャリアへ接合されるが、レーザデバイスへのリッジ側接点が共通電極金属から電気的に絶縁され、共通電極から絶縁された貫通ビアへ接続される。パッシベーション層705は、レーザダイおよび共通電極をダイの下側に配置された金属充填した貫通ビアから孤立させる。このダイは、ダイの下側のより高い熱伝導率を領域に提供して熱抽出を容易にするが、レーザダイから電気的に絶縁される。本実施形態において、キャリアウェーハは、電気的に絶縁させる必要がある。
図46は、本発明の実施形態による、マルチダイレーザチップのレイアウトおよび製造の概略図である。レイアウトAは、ダイ接合後でありかつレーザデバイスの単一化および製造前のチップを示す。レーザダイ801は、接合パッド802を介してキャリアウェーハ804へ接合される。キャリアウェーハは、導電性であり、共通電極として機能する。接合パッド805は、チップをヒートシンク、サブマウントまたはパッケージへ提供する手段およびデバイスへの電気的接続手段が得られるように、キャリアウェーハの背面側上にオーバーレイされる。パッシベーション層803により、個々のレーザダイ上のデバイスへの電気接触を提供する導電性層807からキャリアウェーハが分離される。第2のパッシベーション層806がダイ上にオーバーレイされ、導電性層が第2のパッシベーション層上にオーバーレイされて、電気的に絶縁された電気接触を、中間ダイに提供する。この配置構成により、ワイヤ接合によりアクセス可能なように十分に幅広でありつつ、レーザリッジの長さ全体へ接続する接合パッドを形成することが可能になる。平面図Cは、キャリアウェーハ上に製造されたこれらのデバイスのアレイの一部を示す。線808および809は、キャリアウェーハを単一化して個々のレーザチップとすることと、レーザデバイスの前ファセットおよび後ファセットを形成することとのために用いられる劈開の位置を示す。レーザスキップ印付け810を用いて、劈開のためのガイドを提供する。この構成の場合、劈開のガイドのために単一の結晶キャリアウェーハが必要となる。
図47は、一例におけるGaNベース発光ダイオード(LED)およびレーザダイオード(LD)のエネルギー変換効率対入力電力密度の概略図である。レーザダイオードの典型的な動作様式は、LEDのものよりもずっと高く、これは、レーザダイオードの出力密度をLEDのものよりもずっと高くすることができることを示す。この図は、参照符号2からとられた点に留意されたい。
図48は、本発明の一例の概略図である。集積型の低コストレーザベース光モジュール(3001)は、1つ以上の青色レーザダイオード(3002)と、共通基板(3004)へ取り付けられた波長変換要素(3003)とを含む。金属トレース(3005)により、共通基板への電気的相互接続および熱的接続が可能になる。
図49は、本発明の別の例の概略図である。集積型の低コストのレーザベース光モジュール(3006)は、1つ以上の青色レーザダイオード(3002)と、共通基板(3004)へ取り付けられた波長変換要素(3003)とを含む。金属トレース(3005)により、共通基板への電気的相互接続および熱的接続が可能になる。
図50は、本発明の別の実施例の概略図である。集積型の低コストのレーザベース光モジュール(3007)は、1つ以上の青色レーザダイオード(3002)と、共通基板(3004)へ取り付けられた波長変換要素(3003)とを含む。金属トレース(3005)により、共通基板への電気的相互接続および熱的接続が可能になる。
図51(40)は、一例における、集積型の低コストのレーザベース光モジュール(3001)の模式断面図である。1つ以上の青色レーザダイオード(3002)および波長変換要素(3003)が、共通基板(3004)へ取り付けられる。金属トレース(3005)により、電気的相互接続が可能になる。熱的にかつ電気的に伝導性である取り付け材料(3009)を用いて、レーザダイオードおよび波長変換要素の双方を、共通基板(3004)へ取り付ける。任意選択の反射要素(3010)は、波長変換要素と取り付け材料との間に挿入され得る。共通基板が導電性である場合、任意選択の電気的に絶縁層(3011)が共通基板へ付加され得る。
図52は、1つ以上の青色レーザダイオード(3002)からの光がフィーチャ(3013)を通じて波長変換要素(3003)に結合される例を模式的に示す。任意選択の光学要素(3014)を用いて、結合効率を向上させることができる。任意選択の光反射要素(3009)が波長変換要素の側に取り付けられ得、付随フィーチャはフィーチャ(3013)と整列される。
図53は、集積型の低コストのレーザベース光モジュール(3015)の別の実施例の概略図である。ここで、共通基板(3004)は、光学的に透明である。1つ以上の青色レーザダイオード(3002)からの光は、波長変換要素の露出表面の大部分を覆う任意的な反射要素(3010)中のアパチャ(3013)を通じて、波長変換要素(3003)に結合される。光学出口アパチャ(3016)は、矢印(3017)によって示すように、透明な共通基板を通じて光を下方に出射させることを可能としている。
図54は、一実施例における、1つ以上の集積型の低コストのレーザベース光源(3020)と、ヒートシンク(3021)と、出射ビーム(3022)のスペクトル含有量を形成または変更するための任意選択の光学要素と、任意選択の集積型の電子電源(3023)と、任意選択の電子接続要素(3024)とを含む集積型の照明装置(3019)を模式的に示す。
読者のためのさらなる背景として、窒化ガリウムおよび関連結晶は、バルク形態で生成することが困難である。GaNの大領域ブールを生成することが可能な成長技術は、未だにその初期段階にあり、全配向のためのコストは、他の半導体基板(例えば、Si、GaAsおよびInP)の類似のウェーハサイズよりもずっと高価である。大領域の独立型のGaN基板(例えば、直径が2インチ以上のもの)が市販されているものの、これらの基板は、より従来のシリコン、サファイア、SiC、InPおよびGaAs基板よりも高コストである、
このように、ガリウムおよび窒素を含有する基板が高コストであり、ウェーハサイズのスケールアップも困難であり、小型ウェーハの処理に固有の非効率もあるため、基板およびエピタキシャル材料の利用を最大化することが極めて所望されている。横方向のキャビティレーザダイオードの作製においては、最短ダイ長さがレーザキャビティ長によって決定されることが通例であるが、最短ダイ幅は、例えば、ワイヤ接合パッドといった他のデバイスコンポーネント、または例えば、ダイ取り付けプロセスにおけるダイ取り扱いのための機械的領域といった考慮事項によって決定される。すなわち、レーザキャビティ長は、レーザダイ長を制限する一方、レーザダイ幅は典型的にはレーザキャビティ幅よりもずっと大きい。GaN基板およびエピタキシャル材料が極めて重要となるのはレーザキャビティ領域およびその近傍のみにおいてであるため、レーザキャビティの領域のみをこれらの比較的低コストの材料から形成し、接合パッドおよびチップの機械的構造をより低コストの材料から形成するための新規な方法を発明するための絶好の機会を提示する。レーザキャビティ幅の典型的な寸法は約1〜30μmであり、ワイヤ接合パッドの幅は〜100μmである。すなわち、ワイヤ接合パッド幅の制限と、機械的取り扱いについての考慮事項とをGaNチップ寸法から排除することができれば、>3〜100倍よりも多くのレーザダイオードダイを単一のエピタキシャルウェーハから作製することが可能になる。これを換算すると、エピタキシおよび基板コストが、>3〜100倍低下する。従来のデバイス設計においては、比較的大型の接合パッドがエピタキシーウェーハによって機械的に支持されるが、半導体の材料特性を、構造的支持を超えて利用することは無い。
一例において、本発明は、第2の基板(すなわち、キャリアウェーハ)がエピタキシャル的に成長された基板からレーザダイオードを含む半導体材料を移動させる方法である。この方法により、1つ以上のAlInGaNまたはAlInGaPレーザデバイスをキャリアウェーハへ移動させることが可能になる。レーザデバイスをそのオリジナル基板からキャリアウェーハへ移動させると、いくつかの利点が得られる。第1に、発光領域を必要なエピタキシャル材料から製造しつつ、ワイヤ接合パッドまたは他の構造要素が比較的低コストのキャリアウェーハによって機械的に支持されるようにエピタキシャル材料をキャリアウェーハ上に拡散させることにより、ガリウムおよび窒素を含有する基板上の所与のエピタキシャル領域から作製することが可能なGaNレーザデバイスの数が最大化される。その結果、全てのガリウムおよび窒素ベースレーザダイオードにおけるチップコストが大幅に低下する。
別の利点として、レーザダイオード以外のコンポーネントによって垂直に設けられた光電子工学デバイスの複数の特徴が、キャリアウェーハ内に統合される点がある。例えば、レーザデバイス材料のための機械的キャリアとも、熱的に伝導性でありかつ電気的に絶縁する接続をレーザデバイスパッケージおよびヒートシンクへ提供するサブマウントとしても機能するように、キャリアウェーハ材料を選択することができる。これは、キャリアウェーハからの個々のチップの単一化後に得られた部分がフルに機能するレーザ発光デバイスである点において、主な利点である。典型的には、サブマウントは、ワイヤ接合パッドへ接続されたはんだパッドにより、パターニングされる。この意味において、サブマウント上のレーザダイは、簡単なレーザパッケージであり、レーザデバイスへ機械的支持および電気アクセスを提供し、任意のレーザベース光源の基本的な構成ブロックとみなすことができる。キャリアウェーハおよびサブマウントの機能を組み合わせることにより、本発明は、比較的高価なピック&プレースステップおよび組み立てステップならびに別個のサブマウントのコストを回避する。
別の利点として、デバイス作製ステップの大部分をキャリアウェーハへ移動されたダイ上で行うことが可能な点がある。キャリアウェーハサイズは任意であるため、レーザデバイスの作製時における各処理ステップのコストをほとんどのより多数のデバイス間で共有することにより、作製コストを大幅低減できるように、複数の基板からのダイを同じキャリアウェーハへ接合できるだけの十分に大きなキャリアサイズを選択することが可能である。さらに、封入ステップをキャリアウェーハ上において直接行うことおができるため、環境的にシールされたレーザチップの製造を、並列処理方法を用いて行うことが可能になる。その結果得られたデバイスは、封入されていてもいなくても、真のチップスケールパッケージ中のレーザデバイスとなる。
別の利点として、本発明においては、レーザデバイスを含むエピタキシャル材料の基板からの移動を基板の破壊無しに行うため、基板をより多数のデバイスの成長のために再生および再利用することが可能になる。基板を多数回再生できる場合、有効な基板コストが、オリジナル基板のコストではなく再生コストにずっと近くなる。より成熟した化合物半導体材料と比較して基板が小型かつ高価であるGaNレーザダイオードなどのデバイスの場合、これらの利点により、レーザデバイスの作製コストが大幅に低下する。
簡単にまとめると、本発明の実施形態において、基板ウェーハの表面領域を被覆するデバイス層によって構成された光電子工学デバイスウェーハが用いられる。基板材料は、GaN、サファイア、SiC、SiおよびGaAsであり得るが、他のものであってもよい。光電子工学デバイス層は、ドライエッチング、ウェットエッチングまたはレーザ放射に起因する分解によって選択的に除去可能なように設計された、1つ以上の層により基板から分離される。接合材料は、光電子工学デバイス層の表面上に堆積される。接合材料はまた、ブランケットコーティングとして堆積されるかまたはキャリアウェーハ上にパターニングされる。標準的なリソグラフィックプロセスを用いてデバイスウェーハをマスクした後、このデバイスウェーハをドライまたはウェットのエッチングプロセスによってエッチングして、犠牲層を露出させるビアを開口させる。選択的エッチプロセスを用いて、光電子工学デバイス層を無傷のまま残しつつ、犠牲層を除去する。選択的な除去プロセスがウェットエッチである場合、保護パッシベーション層を用いて、エッチ選択性が不完全である場合にデバイス層がエッチへ露出する事態を回避することができる。この選択的除去により、デバイス層がアンダーカットされる。
マスクの特殊なフィーチャは、アンダーカットデバイス層へ取り付けられるが、アンダーカットするのには大きすぎるかまたは(マスク設計に起因して)犠牲層が除去されていない領域を含み得、このようなフィーチャが用いられ得、あるいは、これらのフィーチャは、エッチングに対して抵抗性である金属または誘電体によて構成され得る。これらのフィーチャは、アンカーとして機能して、アンダーカットデバイス層が基板から外れる事態を回避する。このような基板への部分的取り付けは、アンダーカットデバイス層と、接合時に破壊される可能性のある基板との間に細長接続が得られるように、犠牲層を不完全に除去することにより、達成することができる。その後、キャリアウェーハおよびデバイスウェーハ上の接合材料の表面を接触させ、アンダーカットデバイス層のアンカーまたは犠牲層の残りの材料への取り付けよりも高強度の接合が形成される。接合後、キャリアおよびデバイスウェーハの分離により、デバイス層をキャリアウェーハへ移動させる。
本発明によれば、レーザダイを基板上に極めて高密度で作製することが可能になる。この高密度は、現行の作製プロセスを用いて構築されたレーザデバイスよりも高い。レーザダイを、基板上でのものよりも大きなピッチ(例えば、より低い密度)でキャリアウェーハへ移動させる。キャリアウェーハは、より低コストの材料またはキャリアをサブマウントとして用いることを可能にする材料特性を含む材料で構成してもよいし、あるいは、キャリアウェーハは、人工ウェーハ(例えば、標準的なリソグラフィックプロセスによって作製された不動態化層および電気要素)であってもよい。移動後、標準的なリソグラフィックプロセスを用いて、ダイを処理してレーザデバイスとすることができる。キャリアウェーハ直径は、複数のガリウムおよび窒素含有基板からのレーザダイを単一のキャリアへ移動させ、標準的なリソグラフィックプロセスにより並列処理してレーザデバイスとすることができるように、選択され得る。
特定の実施形態において、窒化ガリウム基板部材は、極性結晶表面領域を有する点において特徴付けられるバルクGaN基板であるが、他のものであってもよい。特定の実施形態において、バルク窒化物GaN基板は、窒素を含み、約10E5cm―2〜約10E7cm―2であるかまたは10E5―2未満の表面転位密度を有する窒化物結晶またはウェーハは、AlInGa1−x−yNを含み得、ここで0≦x、y、x+y≦1である。1つの特定の実施形態において、窒化物結晶は、GaNを含む。1つ以上の実施形態において、GaN基板は、表面に対して実質的に直角または斜めの方向において貫通転位を約10E5cm−2〜約10E8cm−2の濃度において有する。直角または斜め方向の転位の結果、表面転位密度は、約10E5−2〜約10E7−2または約10E5cm−2未満である。特定の実施形態において、デバイスは、わずかにオフカットされた極性基板上に作製され得る。
基板は、典型的には、以下のエピタキシャル的に成長された要素非限定的例のうち1つ以上を備える:
○Siまたは酸素ドーピングレベルが約5E16cm−3〜約IE19−3の、厚さ約50nm〜約6000nmのn−GaNクラッディング領域;
○高インジウム含有量および/または厚いInGaN層(単数または複数)または超SCH領域のInGaN領域;
○InGaN領域を被覆する、より高バンドギャップのひずみ制御領域;
○任意選択的に、InGaN領域を被覆するSCH領域;
○約1.5〜15.0nmのGaNまたはInGaNバリアによって分離された1〜5個の約1.0〜5.5nmのInGaN量子井戸を含む量子井戸活性領域層;
○任意選択的に、インジウムのモル分率が約1%〜約10%であり、厚さが約15nm〜約250nmであるInGaを含むp側SCH層;
○アルミニウムのモル分率が約5%〜約20%であり、厚さが約10nm〜約15nmであり、MgでドープされたAlGaNを含む電子遮蔽層;
○厚さ約400nm〜約1000であり、Mgドーピングレベルが約5E17cm−3〜約lE19cm−3であるp−GaNクラッディング層;
○厚さが約20nm〜約40nmであり、Mgドーピングレベルが約lE20cm−3〜約IE21cm−3であるp++GaN接触層。
典型的には、これらの領域はそれぞれ、金属有機化学堆積(MOCVD)のエピタキシャル堆積技術、分子線エピタキシー(MBE)、またはGaN成長に適した他のエピタキシャル成長技術を少なくとも用いて形成される。1つ以上の実施形態によれば、活性領域は、1個〜約20個の量子井戸領域を含み得る。一例として、n型AlInGa1−u−vN層の堆積を所定の厚さを達成するように所定時間行った後、活性層を堆積させる。活性層は、単一の量子井戸または複数の量子井戸(約2〜10量子井戸)を含み得る。量子井戸は、InGaNウェルおよびGaNバリア層を含み得る。他の実施形態において、ウェル層およびバリア層は、AlInxGa1−w−xNおよびAlInzGa1−y−zNをそれぞれ含み得、ここで、0≦w、x、y、z、w+x、y+z≦1、ここで、w<u、yおよび/またはx>v、zであり、これにより、ウェル層(単数または複数)のバンドギャップは、バリア層(単数または複数)およびn型層のものよりも少数になる。ウェル層およびバリア層はそれぞれ、約1nm〜約15nmの厚さを持ち得る。別の実施形態において、活性層は複ヘテロ構造を含み、InGaNまたはAlInxGa1−w−xN層は厚さ約10nm〜約100nmであり、GaNまたはAlInzGa1−y−zN層によって包囲され、ここで、w<u、yおよび/またはx>v、zである。活性層の組成および構造は、事前選択された波長で光発光が得られるように、選択される。活性層は、非ドープのままであるか(または非意図的にドープされる)か、あるいはn型またはp型ドープされ得る。
活性領域は、電子遮蔽領域および別個の閉じ込めヘテロ構造も含み得る。いくつかの実施形態において、電子遮蔽層を堆積させると好適である。電子遮蔽層は、AlIntGa1−s−tNを含み得、ここで、0≦s、t、s+t≦1であり、バンドギャップが活性層よりも高く、p型ドープされ得、あるいは、電子遮蔽層は、AlGaNおよびGaNの交互の層を含むAlGaN/GaN超格子構造を含む。あるいは、電子遮蔽層が無い場合もある。上記したように、p型窒化ガリウム構造は、電子遮蔽層および活性層(単数または複数)上に堆積される。p型層は、約10E16cm−3〜約10E22cm−3のレベルまでMgでドープされ得、厚さが約5nm〜約1000nmであり得る。電気接触の向上のため、p型層の最も外側の1〜50nmは、層の残り部分よりもより深くドープされ得る。
図4は、現行技術のGaNレーザダイオード構造を示す簡略化した概略断面図である。本図は一例に過ぎず、本明細書中の特許請求の範囲を過度に制限するものではない。当業者であれば、本開示を鑑みて、他の改変例、変更例および代替例を認識するであろう。図示のように、レーザデバイスは、下側のn型金属バック接点領域201を有する窒化ガリウム基板203を含む。実施形態において、金属バック接点領域は、以下に述べるような適切な材料によって構成される。接触領域のさらなる詳細が、本明細書全体において記載され、より詳細には、以下の通りである。
実施形態において、デバイスは、被覆型のn型窒化ガリウム層205、活性領域207、およびレーザストライプ領域211として構造された被覆p型窒化ガリウム層を有する。さらに、デバイスは、n側別個の閉じ込めヘテロ構造(SCH)206、p側導波路層またはSCH208、p−AlGaNEBL209などの他のフィーチャも含む。実施形態において、デバイスは、また、接触領域を形成するためのp++型窒化ガリウム材料213を有する。実施形態において、p++型接触領域は、適切な厚さを有し、約10nm〜約50nmの範囲であってもよいし、あるいは他の厚さであってもよい。実施形態において、ドーピングレベルは、p型クラッディング領域および/またはバルク領域よりも高い場合がある。実施形態において、p++型領域のドーピング濃度は、例えば約l019〜1021Mg/cmの範囲である。p++型領域は好適には、半導体領域と被覆金属接触領域との間にトンネリングを発生させる。実施形態において、これらの領域はそれぞれ、少なくとも金属有機化学堆積(MOCVD)のエピタキシャル堆積技術、分子線エピタキシー(MBE)、またはGaN成長に適した他のエピタキシャル成長技術を用いて形成される。実施形態において、エピタキシャル層は、n型窒化ガリウム層を被覆する高品質のエピタキシャル層である。いくつかの実施形態において、高品質の層は、例えばn型材料を形成するためのSiまたはOでドープされ、その際のドーパント濃度は、約1016cm−3〜約1020cm−3である。
デバイスは、オフカット結晶配向表面領域の一部を被覆して形成されるレーザストライプ領域を有する。一例として、図3は、m方向において劈開またはエッチトミラーと共に整列されたキャビティを備えた極性c面レーザダイオードの簡単な概略図である。レーザストライプ領域は、実質的にm方向にあるキャビティ配向によって特徴付けられる。このm方向は、a方向に対して実質的に垂直であるが、実質的にa方向に整列されたキャビティなどの他の方向であってもよい。レーザストライプ領域は、第1の端部107および第2の端部109を有し、相互に対向する一対の劈開ミラー構造を有する{0001}ガリウムおよび窒素含有基板上にm方向に形成される。第1の劈開ファセットは、反射コーティングを含み、第2の劈開ファセットは、コーティング、反射防止コーティングを含まず、あるいはガリウムおよび窒素を含有する材料を露出させない。第1の劈開ファセットは、第2の劈開ファセットに対して実質的に平行である。第1の劈開ファセットおよび第2の劈開ファセットは、実施形態に従ってスクライビングおよび破壊プロセスによって提供されるか、またはエッチング技術(反射エッチング(R1E)、誘導結合プラズマエッチング(ICP)、または化学的促進型イオンビームエッチング(CAIBE)、または他の方法を用いたエッチング技術によって提供される。第1のミラー表面および第2のミラー表面はそれぞれ、反射コーティングを含む。コーティングは、二酸化ケイ素、ハフニア、およびチタニア、タンタル五酸化物、ジルコニア、その組み合わせなどから選択される。設計に応じて、ミラー表面は、反射防止コーティングも含み得る。
特定の実施形態において、ファセット形成方法は、パターン形成のために基板をレーザへ晒すことを含む。好適な実施形態において、パターンは、1つ以上のリッジレーザのための一対のファセットの形成のために構成される。好適な実施形態において、対のファセットは、相互に対向し、相互に平行にアライメントされる。好適な実施形態において、方法は、レーザバーをスクライブために、UV(355nm)レーザを用いる。特定の実施形態において、レーザは、1つ以上の異なるパターンおよび外形で構成された正確なライン印付けを可能にするシステム上に構成される。1つ以上の実施形態において、レーザスクライビングは、用途に応じて背面側、前面側または両側に行われ得る。もちろん、他の変更例、改変例などもあり得る。
特定の実施形態において、方法は、後側レーザスクライビングなどを用いる。背面側レーザスクライビングを用いる場合、方法は好適には、GaN基板の後側上のレーザバーに対して垂直である連続的線形レーザスクライブを形成する。特定の実施形態において、レーザスクライブは、概ね深さ約15〜20umまたは他の適切な深さである。好適には、後側スクライビングが有利であり得る。すなわち、レーザスクライブプロセスは、レーザバーまたは他の類似のパターンのピッチに依存しない。そのため、背面側レーザスクライビングを用いた場合、好適な実施形態に従って、各基板上のレーザがより高密度になり得る。しかし、特定の実施形態において、背面側レーザスクライビングを用いた場合、ファセットのうち1つ以上の上のテープから残留物が発生し得る。特定の実施形態において、後側レーザスクライブを行うには、テープ上において基板が下方を向くことが必要になる場合が多い。前側レーザスクライビングを用いた場合、基板の後側は、テープと接触する。もちろん、他の変更例、改変例などもあり得る。
レーザスクライブパターン:レーザマスクのピッチは約200μmであるが、他の値であってもよい。実施形態において、方法は、200umピッチの場合に30umダッシュと共に170umスクライブを用いる。好適な実施形態において、熱による影響を受けやすいレーザリッジから遠方にあるレーザの熱影響ゾーンを維持しつつ、スクライブ長さを最大化または増加させる。
レーザスクライブ外形:外形を鋸歯状にすると、ファセット粗さが最小になることが多い。鋸歯状外形により、材料中の応力集中が極めて高くなり、その結果、劈開の伝播がずっと容易かつ/またはより効率的になると考えられている。
特定の実施形態において、ファセット形成方法は、パターン形成のために基板を機械的スクライビングに晒すことを含む。好適な実施形態において、パターンは、1つ以上のリッジレーザのための一対のファセットの形成のために構成される。好適な実施形態において、対のファセットは、相互に対向し、相互に平行にアライメントされる。好適な実施形態において、方法においては、レーザバーを物理的にスクライブするためにダイヤモンド先端を用いたスクライブを用いるが、当業者に明らかなように、GaNよりも高硬度の任意の材料を先端に備えたスクライブであれば、適切に用いられる。特定の実施形態において、レーザは、1つ以上の異なるパターンおよび外形で構成された高精度のスクライブ線を可能にするシステム上に構成される。1つ以上の実施形態において、レーザスクライビングは、用途に応じて背面側、前面側または両側に行われ得る。もちろん、他の変更例、改変例などもあり得る。
特定の実施形態において、方法は、背面側スクライビングなどを用いる。背面側レーザスクライビングを用いる場合、方法は好適には、GaN基板の背面側のレーザバーに対して垂直である連続的線形レーザスクライブを形成する。特定の実施形態において、レーザスクライブは、概して深さ約15〜20umまたは他の適切な深さである。好適には、背面側スクライビングが有利であり得る。すなわち、レーザスクライブプロセスは、レーザバーまたは他の類似のパターンのピッチに依存しない。そのため、背面側レーザスクライビングを用いた場合、好適な実施形態に従って、各基板上のレーザがより高密度になり得る。しかし、特定の実施形態において、背面側レーザスクライビングを用いた場合、ファセットのうち1つ以上の上のテープから残留物が発生し得る。特定の実施形態において、背面側の機械的スクライブを行うには、テープ上において基板が下方を向くことが必要になる場合が多い。前面側機械的スクライビングを用いた場合、基板の背面側がテープと接触する。もちろん、他の変更例、改変例などもあり得る。
化学的促進型イオンビームエッチング(CAIBE)、誘導結合プラズマ(ICP)エッチングまたは反応性イオンエッチング(RIE)などのエッチ技術を用いた場合、平滑な垂直のエッチ側壁領域が得られ、エッチされたファセットレーザダイオードにおけるファセットとして機能し得ることが周知である。エッチファセットプロセスにおいて、マスキング層を、ウェーハ表面上に堆積およびパターニングする。エッチマスク層は、誘電体(例えば、二酸化ケイ素(SiO)、シリコン窒化物(Si)、これらの組み合わせまたは他の誘電体材料)を含み得る。さらに、マスク層は、NiまたはCrなどの金属層を含み得るが、金属および誘電体を含む金属組み合わせのスタックまたはスタックを含んでもよい。別のアプローチにおいて、フォトレジストマスクを単独で用いてもよいし、あるいは誘電体および/または金属と組み合わせて用いてもよい。エッチマスク層を、従来のフォトリソグラフィおよびエッチステップを用いてパターニングする。アライメントリソグラフィが、接触アライナーまたはステッパーアライナーと共に行われ得る。このようなリソグラフィック的に規定されたミラーにより、高レベルの制御が設計技術者にとって可能になる。エッチマスク上部上のフォトレジストマスクのパターニング完了後、ウェットエッチまたはドライエッチ技術を用いて、パターンをエッチマスクへ移動させる。最後に、CAJBE、ICP、RIEおよび/または他の技術から選択されたドライエッチング技術を用いて、ファセットパターンをウェーハ中にエッチする。エッチされたファセット表面は、ウェーハ表面から約87〜約93°、あるいは、約89〜約91°の高い垂直度を有しなければならない。エッチされたファセット表面領域は、二乗平均平方根粗さ値が約50nm、20nm、5nmまたは1nm未満の極めて平滑なものでなくてはならない。最後に、エッチされたものは、実質的に損傷ゼロでなくてはならず、非放射再結合中心として機能することができるため、COMD閾値が低下する。CAIBEは、任意の固有のエッチ角度を補償するためにウェーハ段を傾斜させることができる能力に起因して垂直度の高いエッチを提供しつつ、エッチの化学的性質に起因して、極めて高い平滑性および側壁の損傷低減を可能にすることが公知である。
レーザストライプは、長さおよび幅によって特徴付けられる。長さ範囲は約50ミクロン〜約3000ミクロンであるが、好適には約10ミクロン〜約400ミクロン、約400ミクロン〜約800ミクロンまたは約800ミクロン〜約1600ミクロンがよいが、他の範囲もあり得る。ストライプの幅は約0.5ミクロン〜約50ミクロンであるが、単一の横方向モード動作の場合は好適には約0.8ミクロン〜約2.5ミクロンがよく、あるいはマルチ横モード動作の場合は約2.5um〜約35unがよいが、他の寸法もあり得る。特定の実施形態において、本デバイスの幅は、例えば約0.5ミクロン〜約1.5ミクロンであり、幅約1.5ミクロン〜約3.0ミクロンであり、幅約3.0ミクロン〜約35ミクロンである。特定の実施形態において、幅は、実質的に一定の寸法であるが、若干変動があり得る。幅および長さは、しばしばながら当該分野において普通に用いられるマスキングおよびエッチングプロセスを用いて形成される。
レーザストライプは、ドライエッチングまたはウェットエッチングから選択されたエッチングプロセスによって提供される。デバイスは、また、p型接触領域を露出させる被覆誘電体領域を有する。接触領域を被覆するのは接触材料であり、金属または導電性酸化物またはその組み合わせであり得る。p型電気接点は、熱蒸着、電子ビーム蒸着、電気めっき、スパッタリングまたは別の適切な技術によって堆積され得る。基板の研磨領域を被覆しているのは、第2の接触材料である。第2の接触材料は、金属または導電性酸化物またはその組み合わせであり得、n型電気接点を含む。n型電気接点は、熱蒸着、電子ビーム蒸着、電気めっき、スパッタリングまたは別の適切な技術によって堆積され得る。
ガリウムおよび窒素含有基板にかかるコストが高く、ガリウムおよび窒素を含有する基板サイズのスケールアップが困難であり、小型ウェーハの処理には固有に非効率性が発生し、その上供給にも制限がある可能性があるため、利用可能なガリウムおよび窒素を含有する基板および被覆エピタキシャル材料の利用の最大化が極めて所望されている。横方向のキャビティレーザダイオードの作製においては、最短ダイサイズは、レーザキャビティ幅ではなく、例えば、ワイヤ接合パッドまたは機械的ハンドリング検討事項といったデバイスコンポーネントによって決定されることが多い。ダイサイズの最小化は、製造コストの低減において極めて重要である。なぜならば、ダイサイズが小さくなるほど、より多数のデバイスを1回の処理実行で単一のウェーハ上に作製することが可能であるからである。本発明は、エピタキシャル材料を、ダイ拡張プロセスを介してキャリアウェーハ上に拡散させることにより所与のガリウムおよび窒素含有基板および被覆エピタキシャル材料から作製され得るデバイス数を最大化する方法である。
AlInGaAsPレーザデバイスについて、これらのデバイスは、GaAsまたはGe製の基板を含むが、他のものであってもよい。本明細書で用いられるように、「基板」という用語は、バルク基板を含み得るか、または例えば、ヒ素またはリンを含むエピタキシャル領域といった被覆成長構造または例えば、n型AlGaAs、組み合わせといった機能領域を含み得る。デバイスは、GaAs、AlAs、AlGaAs、InGaAS、InGaP、AlInGaP、AHnGa、AsまたはAlInGaAsPによって構成された基板を被覆する材料を有する。典型的には、これらの領域はそれぞれ、少なくとも金属有機化学堆積(MOCVD)のエピタキシャル堆積技術、分子線エピタキシー(MBE)、またはAlInGaAsP成長に適した他のエピタキシャル成長技術を用いて形成される。一般的に、これらのデバイスは、発光活性領域よりも屈折率が低いn型クラッディング層またはp型クラッディング層それぞれの一部を形成し得るn型およびp型導電層を有する。nクラッディング層は、アルミニウムを含むAlInGaAsPの合金によって構成され得る。デバイスは、デバイスの動作時に光を出射する活性領域を含む。活性領域は、周囲の量子バリアでよりもバンドギャップが低い1つ以上の量子井戸を持ち得る。クラッディング層よりも高屈折率な別個の閉じ込めヘテロ構造(SCH)を設けることで、光モードの閉じ込めを向上させることができる。SCHおよび量子井戸は典型的には、InGaP、AlInGaPまたはInGaAsPによって構成されるが、他の材料もあり得る。
デバイスは、表面領域の一部を被覆して形成されたレーザストライプ領域を有する。レーザストライプ領域は、相互に対向する一対の劈開ミラー構造を有する第1の端部および第2の端部を有する。第1の劈開ファセットは反射コーティングを含み、第2の劈開ファセットは、コーティング、反射防止コーティングを含まないか、またはAsまたはPを含む材料を露出させる。第1の劈開ファセットは、第2の劈開ファセットに対して実質的に平行である。第1の劈開ファセットおよび第2の劈開ファセットは、実施形態に従ってスクライビングおよび破壊、または例えば、反応性イオンエッチング(RIE)、誘導結合プラズマエッチング(ICP)、または化学的促進型イオンビームエッチング(CAIBE)といったエッチング技術、または他の方法を用いたエッチング技術によって設けられる。第1のミラー表面および第2のミラー表面はそれぞれ、反射コーティングを含む。コーティングは、二酸化ケイ素、ハフニア、およびチタニア、タンタル五酸化物、ジルコニア、その組み合わせなどから選択される。設計に応じて、ミラー表面は、反射防止コーティングも含み得る。
特定の実施形態において、ファセット形成方法は、パターン形成のために基板をレーザに晒すことを含む。好適な実施形態において、パターンは、1つ以上のリッジレーザのための一対のファセットの形成のために構成される。好適な実施形態において、対のファセットは、相互に対向し、相互に平行にアライメントされる。好適な実施形態において、方法は、レーザバーのスクライブのためにUV(355nm)レーザを用いる。特定の実施形態において、レーザは、1つ以上の異なるパターンおよび形状に構成された高精度のスクライブ線を可能にするシステム上に構成される。1つ以上の実施形態において、レーザスクライビングは、用途に応じて背面側、前面側または両側に行われ得る。もちろん、他の変更例、改変例などもあり得る。
特定の実施形態において、方法は、背面側レーザスクライビングなどを用いる。背面側レーザスクライビングを用いて、方法は好適には、基板の背面側上のレーザバーに対して垂直な連続的線形レーザスクライブを形成する。特定の実施形態において、レーザスクライブは一般的には深さ約15〜20μmまたは他の適切な深さである。好適には、背面側スクライビングが有利であり得る。すなわち、レーザスクライブプロセスは、レーザバーまたは他の類似のパターンのピッチに依存しない。よって、好適な実施形態によれば、後側レーザスクライビングにより、各基板上により高密度のレーザバーが得られる。しかし、特定の実施形態において、後側レーザスクライビングを用いた場合、ファセットの1つ以上の上にテープから残留物が発生し得る。特定の実施形態において、背面側レーザスクライブにおいては、テープ上において基板を下方に向けることが必要になることが多い。前面側レーザスクライビングを用いた場合、基板の背面側は、テープと接触する。もちろん、他の変更例、改変例などもあり得る。
特定の実施形態において、ファセット形成方法は、パターン形成のために基板を機械的スクライビングすることを含む。好適な実施形態において、パターンは、1つ以上のリッジレーザのための一対のファセットの形成のために構成される。好適な実施形態において、対のファセットは、相互に対向し、相互に平行にアライメントされる。好適な実施形態において、方法は、レーザバーを物理的にスクライブするためにダイヤモンド先端を用いたスクライブを用いるが、当業者に明らかなように、GaNよりも高硬度の任意の材料を先端に備えたスクライブであれば、適切に用いられる。特定の実施形態において、レーザは、1つ以上の異なるパターンおよび形状で構成された高精度のスクライブ線を可能にするシステム上に構成される。1つ以上の実施形態において、レーザスクライビングは、用途に応じて背面側、前面側または両側に行われ得る。もちろん、他の変更例、改変例などもあり得る。
特定の実施形態において、方法は、背面側スクライビングなどを用いる。背面側レーザスクライビングを用いる場合、方法は好適には、基板の背面側上のレーザバーに対して垂直である連続的線形レーザスクライブを形成する。特定の実施形態において、レーザスクライブは、概して深さ約15〜20umまたは他の適切な深さである。好適には、背面側スクライビングが有利であり得る。すなわち、機械的レーザスクライブプロセスは、レーザバーまたは他の類似のパターンのピッチに依存しない。そのため、背面側レーザスクライビングを用いた場合、好適な実施形態に従って、各基板上のレーザがより高密度になり得る。しかし、特定の実施形態において、背面側レーザスクライビングを用いた場合、ファセットのうち1つ以上の上のテープから残留物が発生し得る。特定の実施形態において、後側の機械的スクライブを行うには、テープ上において基板が下方を向くことが必要になる場合が多い。前面側機械的スクライビングを用いた場合、基板の背面側がテープと接触する。もちろん、他の変更例、改変例などもあり得る。
化学的促進型イオンビームエッチング(CAIBE)、誘導結合プラズマ(ICP)エッチングまたは反応性イオンエッチング(RIE)などのエッチ技術を用いた場合、平滑な垂直のエッチ側壁領域が得られ、エッチされたファセットレーザダイオードにおけるファセットとして機能し得ることが周知である。エッチングファセットプロセスにおいては、マスキング層を、ウェーハ表面上に堆積およびパターニングさせる。エッチングマスク層は、例えば、二酸化ケイ素(SiO)、シリコン窒化物(Si)、これらの組み合わせ、または他の誘電体材料を含み得る。さらに、マスク層は、NiまたはCrなどの金属層を含み得るが、金属および誘電体を含む金属組み合わせのスタックまたはスタックを含んでもよい。別のアプローチにおいて、フォトレジストマスクを単独で用いてもよいし、あるいは誘電体および/または金属と組み合わせて用いてもよい。エッチングマスク層を、従来のフォトリソグラフィおよびエッチステップを用いてパターニングする。アライメントリソグラフィが、接触アライナーまたはステッパーアライナーと共に行われ得る。このようなリソグラフィック的に規定されたミラーにより、高レベルの制御が設計技術者にとって可能になる。エッチングマスク上部上のフォトレジストマスクのパターニング完了後、ウェットエッチまたはドライエッチ技術を用いて、パターンをエッチマスクへ移動させる。最後に、CAJBE、ICP、RIEおよび/または他の技術から選択されたドライエッチング技術を用いて、ファセットパターンをウェーハ中にエッチする。エッチングされたファセット表面は、ウェーハ表面から約87〜約93°またはウ約89〜約91°の高い垂直度を有しなければならない。エッチングされたファセット表面領域は、二乗平均平方根粗さ値が約50nm、20nm、5nmまたは1nm未満の極めて平滑なものでなくてはならない。最後に、エッチされたものは、非放射再結合中心として機能すると共にCOMD閾値が低下するため、実質的に損傷ゼロとし得る。CAIBEは、任意の固有のエッチ角度を補償するためにウェーハ段をチルトさせることができる能力に起因して垂直度の高いエッチを提供しつつ、エッチの化学的性質に起因して、極めて高い平滑性および側壁の損傷低減を可能にすることが公知である。
レーザストライプは、長さおよび幅によって特徴付けられる。長さ範囲は約50ミクロン〜約3000ミクロンであるが、好適には約10ミクロン〜約400ミクロン、約400ミクロン〜約800ミクロンまたは約800ミクロン〜約1600ミクロンがよいが、他の範囲もあり得る。ストライプの幅は約0.5ミクロン〜約50ミクロンであるが、単一の横方向モード動作の場合は好適には約0.8ミクロン〜約2.5ミクロンがよく、あるいはマルチ横モード動作の場合は約2.5um〜約35unがよいが、他の寸法もあり得る。特定の実施形態において、幅は、実質的に一定の寸法であるが、若干変動があり得る。幅および長さは、当該分野において共通に用いられるマスキングおよびエッチングプロセスを用いて形成されることが多い。
レーザストライプは、ドライエッチングまたはウェットエッチングから選択されたエッチングプロセスによって提供される。デバイスは、また、p型接触領域を露出させる被覆誘電体領域を有する。接触領域を被覆するのは接触材料であり、金属または導電性酸化物またはその組み合わせであり得る。p型電気接点は、熱蒸発、電子ビーム蒸発、電気めっき、スパッタリングまたは別の適切な技術によって堆積され得る。基板の研磨領域を被覆しているのは、第2の接触材料である。第2の接触材料は、金属または導電性酸化物またはその組み合わせであり得、n型電気接点を含む。n型電気接点は、熱蒸着、電子ビーム蒸着、電気めっき、スパッタリングまたは別の適切な技術によって堆積され得る。
本発明においては、レーザデバイス層のリフトオフを可能にするために、エピタキシャル層のうち1つ以上の選択的除去が必要になる。上記した典型的なデバイス構造における全エピタキシャル層は典型的には、構造から除去されるものが無いように、最終デバイスにおいて用いられる。ほとんどの場合、犠牲層は、エピタキシャル構造へ付加され得る。この層は、a)エピタキシャル構造中の隣接層に相対して選択的にエッチすることが可能な特性、b)デバイス層中に性能に悪影響を与える欠陥を誘発させないような様態で成長させることが可能な特性、およびc)犠牲層選択的除去によってデバイス層のアンダーカットが得られるように、機能デバイス層と基板との間に成長させることが可能な特性を有するものである。いくつかの実施形態において、犠牲層は、エピタキシャル構造中に普通に見けられる層である。例えば、サファイア上に成長された光電子工学デバイス中の材料を選択的に除去するためにレーザリフトオフを用いる場合、犠牲層は、サファイアエピタキシャル表面に隣接する窒化物材料であり得る。いくつかの実施形態において、犠牲層は、デバイス中に普通に見受けられる層の一部を選択的に変更することにより、生成され得る。例えば、良好に制御された注入プロセスにおいて、特定の深さでn型GaN層の選択的エッチを誘発し得る。
アンダーカットGaNベースレーザダイオードの作製のための一実施形態を図6中に示す。この実施形態においては、エピタキシャル層中へエッチされたメサのアレイをアンダーカットするために、バンドギャップ選択的光電化学(PEC)エッチが用いられる。エピタキシーウェーハの作製を図6に示す。このプロセスにおいては、バンドギャップによって選択的エッチされたPECであり得る埋設犠牲領域を設けることが必要になる。GaNベース光電子工学デバイスの場合、InGaN量子井戸は、PECエッチング時において有効な犠牲領域となることが分かっている1.2。図6に示す第1のステップは、犠牲層を露出させるためのトップダウンエッチであり、その後、図6に示すように接合金属の堆積が行われる。犠牲領域を露出させた後、バンドギャップの選択的PECエッチを用いて、メサをアンダーカットする。一実施形態において、PECエッチ時において犠牲領域のみが光を吸収することでエッチするように、犠牲領域および他の全ての層のバンドギャップを選択する。本発明の別の実施形態において、バンドギャップPECエッチングプロセス時において双方の層が吸収するように、活性領域よりも高バンドギャップの犠牲領域が用いられる。この実施形態において、図8に示すような側壁上の絶縁保護層を用いて、バンドギャップ選択的PECエッチ時において活性領域をエッチングから保護することができる。図8に示す第1のステップは、デバイスの活性領域を露出させるためのエッチである。このステップの後、その後の犠牲領域アンダーカットPECエッチングステップ時において活性領域のPECエッチングを遮断する機能を行う保護絶縁層をメサ側壁上に堆積させる。その後、第2のトップダウンエッチを行って犠牲層を露出させ、図8に示すように接合金属を堆積させる。犠牲領域を露出させた後、バンドギャップ選択的PECエッチを用いて、メサをアンダーカットする。この時点において、図7に示す選択領域接合プロセスは、作製デバイスを継続する。別の実施形態において、活性領域をドライエッチによって露出させ、活性領域および犠牲領域双方がポンプ光を吸収する。導電性経路が、活性領域を包囲するp型およびn型クラッディング間に作製される。太陽電池の場合と同様に、空乏領域中の電界に起因して、活性領域からキャリアが搬出される。n型層およびp型層を電気的に共に接続することにより、活性領域からホールを継続的に搬出することができ、PECエッチングの遅延または回避が可能になる。
アンダーカットAlInGaAsPベースレーザダイオードは、GaNベースレーザダイオードと同様の方法で生成することができる。いくつかのAlInGaAsP合金を選択的にエッチするウェットエッチが複数存在する。一実施形態において、AlGaAsまたはAlGaP犠牲層は、GaAsエッチストップ層と共にクラッド成長され得る。AlGa1−xAsおよびAlGa1−xPの組成が高い(x>0.5)場合、HFによるエッチのとき、AlGaAsをほとんど完全な選択性でエッチングすることができる(すなわち、AlGaAs>1E6のエッチング速度にGaAsのエッチング速度を乗算した値)。GaAsと比較したときに選択的に、高InPおよびA1P組成を用いたInGaPおよびAllnPをHC1でエッチングすることができる。C:H:HOを用いて、GaAsをAlGaAsに相対して比較的にエッチすることができる。マイクロマシニングAllnGaAsP合金の当業者に公知の犠牲層、エッチストップ層およびエッチ化学的性質の他の組み合わせが複数存在する。
一実施形態において、犠牲層のみに多大なエッチングが施されるように犠牲層組成と共に選択されたエッチング溶液へ暴露し、AllnGaAsPデバイス層を露出させる。組成的に選択的なエッチ時において、例えば、図8に示すような側壁上の二酸化ケイ素、シリコン窒化物、金属またはフォトレジストといったエッチング抵抗保護層を用いて活性領域をエッチングから保護することができる。図8に示す第1のステップにおいて、エッチングを行って、デバイスの活性領域を露出させる。このステップの後、その後の犠牲領域アンダーカットエッチングステップ時において活性領域のエッチングを遮断する機能をする保護絶縁層をメサ側壁上に堆積させる。その後、第2のトップダウンエッチを行って犠牲層を露出させ、図8に示すように接合金属を堆積させる。犠牲領域を露出させた後、組成的に選択的なエッチを用いて、メサをアンダーカットする。この時点において、図7に示す選択領域接合プロセスを用いて、デバイスの作成を継続する。エッチングに対して抵抗性を有する材料の層により、デバイス層を犠牲層から分離する必要がある。これは、犠牲層の部分的除去の後のデバイス層中へのエッチングを回避するためである。
ダイ拡張プロセスの1つの好適な実施形態の上面図を図5に示す。出発材料は、パターニングされたエピタキシーおよびキャリアウェーハである。本明細書中、「エピタキシーウェーハ」または「エピタキシャルウェーハ」は、活性領域を構成するエピタキシャル材料が載置されて成長されるオリジナルガリウムおよび窒素を含有するウェーハとして定義され、「キャリアウェーハ」は、処理の都合上エピタキシャル層の移動先となるウェーハとして定義される。キャリアウェーハは、コスト、熱伝導率、熱膨張係数、サイズ、導電率、光学特性、および処理能力といった任意の数の基準に基づいて選択することができるがこれらに限定されるわけではない。パターニングされたエピタキシーウェーハは、接合されたエピタキシー領域のその後の選択的剥離が可能になるように製造される。パターニングされたキャリアウェーハは、選択領域接合プロセスが可能なように接合パッドを配置するように製造される。これらのウェーハは、多様なプロセスフローによって作製することができ、いくつかの実施形態について以下に説明する。第1の選択領域接合ステップにおいて、エピタキシーウェーハをキャリアウェーハ上の事前パターニングされた接合パッドと整列させ、圧力、熱および/または超音波処理の組み合わせを用いて、メサを接合パッドと接合させる。接合材料は、属、ポリマー、ワックス、および酸化物といった多様な媒体とし得るがこれらに限定されるわけではない。接合ベッドと接触しているエピタキシャルダイのみが接合される。商用のダイボンダ上において、サブミクロンのアライメント許容度は可能である。その後、エピタキシーウェーハを、脆弱化したエピタキシャル剥離層にでエピタキシー材料を破壊させることで剥離し、所望のエピタキシャル層をキャリアウェーハ上に残留させる。本明細書中、「選択領域接合ステップ」は、このプロセスの単一の反復として定義される。図5に示す図において、エピタキシャルダイのうち1/4をこの第1の選択的接合ステップにおいて移動させて、3/4をエピタキシーウェーハ上に残す。その後、選択領域接合ステップを繰り返して、エピタキシャルダイの第2の1/4、第3の1/4および第4の1/4をパターニングされたキャリアウェーハへ移動させる。この選択領域接合は、任意の数にわたって繰り返され得、図5に示す4つのステップに限定されない。その結果、エピタキシーウェーハ上のオリジナルダイピッチよりも幅広のダイピッチで、エピタキシャルダイのアレイがキャリアウェーハ上に載置される。エピタキシャルウェーハ上のダイピッチをピッチ1と呼び、キャリアウェーハ上のダイピッチをピッチ2と呼び、ここで、ピッチ2はピッチ1よりも大きい。この時点において、標準的なレーザダイオードプロセスは、キャリアウェーハ上において行われ得る。現行技術の方法および本発明に記載の方法を用いて製造されたデバイスの横断面図を図1および図2a〜図2bにそれぞれ示す。本発明によって可能になるデバイス構造は、光学キャビティに必要な場合は比較的高価なエピタキシー材料のみを含み、キャリアウェーハ上に載置された比較的大型の接合パッドおよび/または他のデバイスコンポーネントを有する。レーザリッジ幅および接合パッドの典型的寸法はそれぞれ、<約30μm、および>約100μmであり、その結果、3倍以上向上したエピタキシー利用効率が本発明により可能になる。
本文献において、金−金金属接合を一例として用いるが、多様な酸化物接合、ポリマー接合、ワックス接合などを適切に用い得る。市販のダイ接合装置を用いて、サブミクロンのアライメント許容度が可能である。選択されたメサのみがキャリアウェーハ上の金属接合パッドと接触するように、キャリアウェーハをパターニングする。エピタキシー基板が剥離されると、脆弱化した犠牲領域において接合メサが破壊されるが、接合されていないメサはエピタキシー基板へ取り付けられたままである。その後、この選択領域接合プロセスを繰り返して、残りのメサを所望の構成において移動させることができる。このプロセスは、任意の数の反復にわたって繰り返すことができ、図7に示す2回の反復に限定されない。キャリアウェーハは、約2インチ、3インチ、4インチ、6インチ、8インチおよび12インチなど任意のサイズであり得るがこれらに限定されるものではない。全ての所望のメサを移動させた後、第2のバンドギャップ選択的PECエッチを任意選択的に用いて、残りの犠牲領域材料を全て除去し、平滑表面を得る。この時点において、標準的なレーザダイオードプロセスをキャリアウェーハ上に行うことができる。本発明の別の実施形態において、デバイスコンポーネントを高密度エピタキシーウェーハ上に作製した後、選択領域接合ステップが行われる。図9に示す実施形態において、レーザリッジ、側壁のパッシベーション化および接触金属をオリジナルエピタキシャルウェーハ上に作製した後、ダイ拡張プロセスを行う。このプロセスフローは、例示目的のためのものであり、ダイ拡張プロセス前に処理することが可能なデバイスコンポーネントを限定することを意図するものではない。このワークフローの場合、さらなるステップをより高密度のエピタキシャルウェーハ上に行った後にダイ拡張プロセスが行われるため、コスト面において有利である可能性がある。このプロセスフローの詳細な概略図を図9に示す。
本発明の別の実施形態において、接合メサのみの犠牲剥離層をエッチング除去するために、個々のPECアンダーカットエッチが各選択的接合ステップの後に用いられる。アンダーカットが施されるエピタキシャルダイは、下方にエッチングするだけで、制御され、現在の選択的接合ステップにおいて除去すべきメサの犠牲層を露出される。この実施形態の利点として、PECエッチング速度を極めて粗く制御しさえすればよい点がある。その結果、さらなる処理ステップのコストおよびジオメトリ的制約に繋がる。
本発明の別の実施形態において、接合層は、金属−金属、酸化物−酸化物、はんだ付け合金類、フォトレジスト、ポリマー、ワックスといった多様な接合対であり得る。
本発明の別の実施形態において、犠牲領域は、PECエッチングによって完全除去され、メサは、任意の残留欠陥ピラーによって所定位置にアンカー固定された状態になる。PECエッチングの場合、再結合中心として機能する欠陥の周囲において無傷の材料が残ることが公知である。完全な犠牲エッチの後にメサを残留させるためのさらなる機構は、静的な力またはファンデルワールス力を含む。一実施形態において、アンダーカットプロセスは、犠牲層が完全に除去されないように制御される。材料の残りの薄いストライプにより、図7に示すようにデバイス層が基板へアンカー固定される。
本発明の別の実施形態において、形成された犠牲領域露出メサをエッチングして、各エピタキシーダイの端部近隣において、より大型の領域(アンカー)を残留させる。接合金属は、移動させるべきエピタキシー領域上のみに配置される。その後、端部近隣のより大型の領域のみが部分的にアンダーカットされ、かつ移動させるべきエピタキシーダイが完全にアンダーカットされるように、選択的エッチを行う。ダイ端部において無傷の犠牲領域を設けることにより、選択領域接合ステップを通じて機械的な安定性が得られる。数ナノメートルの厚さしかアンダーカットされないため、このジオメトリは、標準的な接合プロセスと適合するはずである。選択領域接合ステップ後、エピタキシーおよびキャリアウェーハが機械的に分離され、その結果、接合金属領域と無傷の犠牲領域との間の弱い点が劈開する。このプロセスの例示的な概略図を図10および図11に示す。あるいは、機械的分離は、ソーイングによって実現され得る。一例として、ダイヤモンドソーブレードが用いられ得る。所望の数の繰り返しを完了した後、現行技術のレーザダイオードの製造手順をダイ拡張キャリアウェーハに適用することができる。
別の実施形態において、アンカーが狭アンダーカット領域材料によって接続されるように、アンカーをアンダーカットダイの端部または側部に配置する。図10は、この構成を「半島状」アンカーとして示す。狭接続材料304は、接合金属から離間しており、アンダーカット材料がダイ上ではなく接続材料において劈開するように設計される。これにより、ダイの幅全体を無傷のまま保持することができるという有利点が得られ、有利である。別の実施形態において、応力集中装置305として機能するフィーチャが接続材料へ付加され、接合金属を狭接続材料上へ伸長させる。この接合金属により、接続材料の大部分が強化される。これらのフィーチャの付加により、接続からの劈開発生に対する制御が増加する。これらのフィーチャは、三角形、円形、矩形または接続材料の幅狭化を可能にする任意の偏向であってもよいし、あるいは接続材料縁への凹型外形であってもよい。
別の実施形態において、アンカーは、アンダーカット可能なように十分に横方向において小型であり得るが、保護コーティングを用いて、エッチング溶液がアンカー中の犠牲層にアクセスする事態を回避する。この実施形態は、移動させるべきダイの幅が大きい場合に有利である。保護されていないアンカーの場合、完全なアンダーカットを回避するために大型にする必要があるので、ダイ密度が低下し、エピタキシャル材料の利用犠牲層も低下する。
別の実施形態において、アンカーは、ダイ端部に配置され、これらのアンカーは、全てのダイまたは複数のダイに接続する材料の連続的ストライプを形成する。この構成は、通常であれば材料利用率が低いウェーハまたはリソグラフィックマスクの縁部近傍の材料中に、アンカーをパターニングすることが可能であるため、有利である。その結果、大型のダイサイズの場合であってもパターン中心におけるデバイス材料の利用率を高く保持することが可能になる。
別の実施形態において、アンカーは、エピタキシャルおよび基板材料に良好に付着するエッチング抵抗材料の領域を堆積させることにより、形成される。これらの領域は、レーザダイの一部と、エッチ時においてアンダーカットされない構造の一定部分とを被覆する。これらの領域は、レーザダイが完全にアンダーカットされた後にレーザダイが基板から外れる事態を回避するための機械的支持を提供できるように、連続的接続を形成する。例えば、犠牲領域が露出するように、長さ約1.2mmおよび幅約40マイクロメートルのレーザダイをエッチングする。その後、連続的接続が形成されるように、金属層をレーザダイ上部、レーザダイの側壁およびダイを包囲するエッチ領域の底部上に堆積させる。一例として、金属層は、良好な接着が得られるように約20nmのチタニウムを含み得、約500nmの金でキャップされ得るが、他の金属および厚さも選択可能である。エッチャントによる犠牲層へのアクセスが制限される金属アンカーの近傍領域において犠牲層がエッチングされるように、金属中にコーティングされるレーザダイ側壁の長さは、約1nm〜約40nmであり、上側厚さは、レーザダイの幅未満である。
図11a、図11bおよび図11cに示すような金属アンカーを用いた場合、エピタキシャルデバイス材料製のアンカーを用いた場合よりもいくつかの利点が得られる。第1に、ドナーエピタキシャルウェーハ上における移動可能なメサの密度がある。エピタキシャル材料製のアンカーは、選択的エッチによって完全にアンダーカットされないような十分に大型のものであるか、または保護層によって何らかの方法で保護する必要がある。移動されない大型フィーチャを設けることにより、1つ以上の寸法におけるメサ密度が低下する。金属アンカーを用いることが好適な理由として、アンカーがエッチングに対して抵抗性を有する材料製であるため、メサ密度に影響を与えない小さな寸法で構成することが可能である点がある。第2の利点として、活性領域をエッチ溶液から絶縁するための別個の保護層が不要になるため、メサ処理が簡単になる点がある。活性領域保護層が無くなることにより、必要なメサのサイズが低下しつつ、作製ステップ数が低減する。
一実施例において、エッチによって犠牲層の下側の高濃度n型ドープ層を露出させるように、先ずメサをパターニングされたマスクおよびエッチの堆積を介して生成する。高濃度n型ドープ層を、1E18〜1E20cm−3のキャリア濃度でドープする。エピタキシャル成長時において高濃度n型層を構造中に取り込ませて、陰極金属と、n型クラッディングとの間に高度にオーミックであり、より低抵な抗電気接続を可能とする。メサの上部は、高濃度p型ドープGaN、InGaNまたはAllnGaN層からなるp接触層であり、陽極金属と、p型クラッディングとの間にオーミックな低抵抗の電気接続を可能にする。p接触金属は、Ni、Pd、Pt、Agや他の金属のうち1つ以上であり得る。p接触は、透明導電酸化物(TCO)(例えば、ZnO)またはCd、Mg、Al、Ga、Inのうち1つ以上と合金化された酸化亜鉛を用いて形成してもよい。他の可能な透明導電性酸化物を挙げると、例えば酸化インジウムスズ(ITO)および酸化ガリウムがある。p接触金属またはTCOは、メサのエッチングの前または後に堆積させることができる。その後、陰極金属スタックを堆積させる。この陰極金属スタックは、n型材料に対して良好な電気接続を形成する金属の第1の層からなる。これは、Ti、AlおよびNiなどを含む。陰極金属スタックは、特に接着促進のための金属層も含み得る。陰極スタック中の最終層は、An、PtまたはPd、エッチ溶液中への電子の効率的移動を促進させる他の金属のうち1つ以上である。最も好適には、陰極金属は、最速のエッチング速度を可能にするPtである。特定の実施形態において、メサの上部上の厚い金の接合金属と、接合金属を陰極金属へ接続させる金属アンカーと、陰極金属スタックとが1つのステップで堆積される。このようにした場合、デバイス製造に必要なステップの数が低減するという利点が得られる。しかし、選択的エッチにおいて妥協が生じる。なぜならば、金は、メサ移動時において金属−金属熱圧縮接合を形成するための理想的な金属ではあるものの、白金に比べてあまり好適ではない陰極金属であるため、任意の所与の陰極領域におけるエッチング速度の低下を生じさせるためである。
特定の実施形態において、陰極金属スタックは、金属アンカーの強度向上のための金属層も含む。例えば、陰極金属スタックは、陰極金属スタックへの接着向上およびn型クラッディングとの電気接続の向上のための100nmのTiからなり得る。その後、陰極金属スタックは、弾性率が金よりも4倍高いタングステン層を含み得る。タングステンを含有することにより、選択的エッチによるアンダーカット後にメサを保持するための充分な機械的支持を得るために必要な金の厚さが低減する。
本発明の別の実施形態において、エピタキシャル層の剥離は、PECエッチング以外の手段(例えば、レーザリフトオフ)によって達成される。
別の実施形態において、アンカーは、金属、シリコン窒化物または選択的エッチに対して抵抗性を有するいくつかの他の材料から製造される。この実施形態の場合、部分的にアンダーカットされたアンカーに比べて有利である。アンカーがアンダーカットされていないため、横方向エッチングの範囲よりもずっと小型にすることができる。その結果、基板上のダイスをずっと高密度でパターニングすることが可能になる。
実施形態において、レーザデバイスエピタキシー材料を製造して、デバイス層を含む基板上のアンダーカットメサの高密度アレイとする。このパターンピッチを、「第1のピッチ」と呼ぶ。第1のピッチは、基板上のエピタキシャル領域それぞれを作製するのに適した設計幅であることが多いが、より大型の不活性領域または接触領域を必要とすることが多い完成したレーザデバイスには大きさが不充分である。例えば、これらのメサは、約5ミクロン〜約30ミクロンまたは〜約50ミクロンの第1のピッチを有する。これらのメサそれぞれが「ダイ」となる。
一例において、その後、これらのダイを第2のピッチでキャリアウェーハへ移動させる。キャリアウェーハ上の第2のピッチは、基板上の第1のピッチよりも大きい。一例において、第2のピッチは、各ダイがキャリアウェーハの一部と共にレーザデバイス(接触および他のコンポーネントを含む)となるように、ダイとともに構成される。例えば、第2のピッチは、約100ミクロン〜約200ミクロンまたは〜約300ミクロンであるが、ハンドリングの容易さのために大型チップが所望される場合、約1〜2mm以上に大きくすることも可能である。例えば、キャリアがサブマウントとして用いられる場合、ピックアンドプレースプロセスおよびダイ取り付けプロセスを容易にするために、第2のピッチを約1mmよりも大きくする必要がある。第2のダイピッチにより、機械的ハンドリングが容易になり、エピタキシーメサ間のキャリアウェーハ領域内に配置されたワイヤ接合パッドのための余地が得られ、その結果、より多数のレーザダイオードを所与のガリウムおよび窒素を含有する基板および被覆エピタキシー材料から製造することが可能になる。現行技術およびダイ拡張レーザダイオードの模式側面図を図1および図2a〜図2bに示す。レーザリッジ幅の典型的な寸法および機械的およびワイヤ接合検討事項に必要な幅は、それぞれ約1μm〜約30μmおよび約100μm〜約300μmであり、本発明におけるガリウムおよび窒素を含有する基板および被覆エピタキシー材料の利用効率を、大幅に向上することができる。詳細には、本発明によれば、選択的領域接合プロセスを通じた基板ウェーハおよびエピタキシー材料の利用を高めて、オリジナルエピタキシーウェーハと比較して、キャリアウェーハ上においてダイピッチが増加するように、エピタキシー材料の個々のダイをキャリアウェーハへ移動させる。エピタキシー材料の配置構成により、ガリウムおよび窒素を含有する基板上に製造されることが多い、高価なガリウムおよび窒素を含有する基板および被覆エピタキシー材料をデバイスコンポーネントにおいて不要とし、より低コストのキャリアウェーハ上に製造することが可能になり、その結果、ガリウムおよび窒素を含有する基板および被覆エピタキシー材料の利用がより効率的になる。
本発明の別の実施形態において、レーザファセットは、劈開プロセスによって生成される。適切なキャリアウェーハが選択された場合、キャリアウェーハを用いて、エピタキシー材料中に劈開面を規定することができる。その結果、劈開の歩留まり、品質、容易性および/または精度の向上が可能になる。
本発明の別の実施形態において、レーザファセットは、エッチングされたファセットプロセスによって生成される。エッチングされたファセットの実施形態において、リソグラフィック的に規定されたミラーパターンが、ガリウムおよび窒素中にエッチされることでファセットを形成する。エッチングプロセスは、誘導結合プラズマエッチング(ICP)、化学的促進イオンビームエッチング(CAIBE)から選択されたドライエッチプロセスであり得、あるいは、反応性イオンエッチング(RIE)エッチファセットプロセスをダイ拡張プロセスと組み合わせて用いて、劈開によるファセット形成を回避し、これにより歩留まりおよびファセット品質の向上が可能になる。
本発明の別の実施形態において、レーザダイは、レーザリッジに対して平行な基板上のスペーシングを特徴付ける第3のピッチによっても特徴付けられる。第3のピッチは、レーザダイそれぞれをレーザデバイスとして製造する際に適した設計幅である場合が多い。例えば、長さ約1mmのレーザキャビティを用いたレーザを含む基板は、約1.05mm〜約2mmの第3のピッチで製造されたレーザダイを持ち得るが、好適には第3のピッチは、レーザダイ上において作製されたレーザキャビティよりも長い約10%未満である。
一例において、その後、これらのダイを第2および第4のピッチでキャリアウェーハへ移動させる。第2のピッチは第1のピッチよりも大きく、第4のピッチは第3のピッチよりも大きい。レーザファセットは、上記したようなエッチングされたファセットプロセスによって生成される。第4のピッチの増加に起因してレーザダイ間の距離が増加すると、レーザファセットの前方の要素の統合が容易になる。一方、第2のダイピッチにより、機械的ハンドリングが容易になり、エピタキシ−メサ間のキャリアウェーハ領域内に配置されたワイヤ接合パッドのための余地が得られ、その結果、より多数のレーザダイオードを基板および被覆エピタキシー材料から製造することが可能になる。図18は、キャリアウェーハ上の第2のおよび第4のピッチ双方を含む移動プロセスの概略図である。
本発明の別の実施形態において、ダイ単一化は、キャリアウェーハの選択によって支援される劈開プロセスによって達成される。例えば、シリコンまたはGaAsキャリアウェーハが選択された場合、劈開によるダイ単一化のために利用可能な簡便な立方晶の劈開面系ができる。この実施形態において、ダイ単一化はキャリアウェーハ材料領域のみにおいて発生するため、劈開をエピタキシー材料へ移動させる必要は無い。
本発明の別の実施形態において、バーおよびダイの単一化は、ソーイングプロセスによって達成される。ソーイングは、良好に確立されたプロセスであり、LEDおよび他の半導体デバイスの単一化のために用いられる。例えば、DISCOソーを用いることができる。DISCOのダイシングソーは、複数の半導体ウェーハ(Si、GaAsなど)、ガラス、セラミック、および他の多様な材料を、マイクロメートルの精度で切断する。
本発明の別の実施形態において、上記プロセスフローのうちいずれかを、ウェーハタイリングと組み合わせて用いることができる。一例として、約7.5mm×約18mmの基板を約2インチのキャリアウェーハ上にタイリングして、上側処理および選択領域接合をさらなるコスト節減のために複数のエピタキシー基板上において並列に行うことができる。
本発明の別の実施形態において、選択領域接合ステップの後、再度の平坦化および表面作製手順を通じて基板ウェーハを再生させる。エピタキシーウェーハは、任意の実際的な回数だけ再利用することが可能である。
一実施例において、本発明は、所与のエピタキシャル表面領域から製造することが可能なガリウムおよび窒素を含有するレーザダイオードデバイスの数を増加させる方法を提供する。ガリウムおよび窒素を含有するエピタキシャル層は、ガリウムおよび窒素を含有する基板を被覆する。エピタキシャル材料は、少なくとも以下の層を含む:バンドギャップ選択的PECエッチングを用いて選択的にエッチングすることが可能な犠牲領域、n型クラッディング領域、n型クラッディング領域を被覆する1つ以上の活性層を含む活性領域、および活性層領域を被覆するp型クラッディング領域。ガリウムおよび窒素を含有するエピタキシャル材料は、第1のダイピッチでパターニングされて、ダイとなる。ガリウムおよび窒素を含有するエピタキシャル材料からのダイは第1のピッチでキャリアウェーハへ移動されて、第2のダイピッチをキャリアウェーハ上に形成する。第2のダイピッチは、第1のダイピッチよりも大きい。
一実施例において、各エピタキシャルダイは、幅約1μm〜約10μmまたは幅約10ミクロン〜約50ミクロンおよび長さ約50〜約3000μmのピッチでエッチングされたメサである。一実施例において、キャリアウェーハ上の第2のダイピッチは、約100ミクロン〜約200ミクロンまたは約200ミクロン〜約300ミクロンである。一実施例において、キャリアウェーハ上の第2のダイピッチは、エピタキシーウェーハ上のダイピッチよりも約2倍〜約50倍大きい。一実施例において、エピタキシャル移動後、半導体レーザデバイスがキャリアウェーハ上に作製される。一実施例において、半導体デバイスは、GaN、AIN、InN、InGaN、AlGaN、InAlNおよび/またはInAlGaNを含む。一例において、ガリウムおよび窒素を含有する材料は、極性c面、m面といった非極性面、または{50−55}、{30−35}、{20−21}、{30−32}、{50−5−1}、{30−3−1}、{20−2−1}、{30−3−2}といった半極性面上において、または+/−10°内においてc方向および/またはa方向に向かってこれらの配向のオフカットにおいて成長される。一例において、1つまたは複数のレーザダイオードキャビティが、エピタキシャル材料の各ダイ上に製造される。一実施例において、エピタキシー材料を必要としないデバイスコンポーネントがエピタキシー間の空間内に配置される。
本発明の別の実施形態において、キャリアウェーハは、別の半導体材料、金属材料またはセラミック材料である。いくつかの可能な候補を挙げると、シリコン、ガリウムヒ素、サファイア、炭化ケイ素、ダイヤモンド、窒化ガリウム、AlN、多結晶AlN、リン化インジウム、ゲルマニウム、石英、銅、金、銀、アルミニウム、ステンレススチールまたは鉄がある。
TOキャニスターのような普通のレーザパッケージにおいて、レーザデバイスは、パッケージの本体に間接的に取り付けられる。このパッケージそのものは、はんだ付けされるか、または他の方法で高熱伝導率が得られる方法でヒートシンクへ取り付けられる。パッケージに対するレーザダイオードの短絡を回避するため、サブマウントをレーザダイオード材料とパッケージとの間に設ける。サブマウントは、良好な熱伝導体でありかつ電気的絶縁体である材料の薄い層である。サブマウント材料としては、熱伝導率は高いが導電率が低いアルミニウム窒化物、サファイア(A1)、酸化ベリリウムおよび化学蒸着ダイヤモンドを挙げることができる。
本発明の別の実施形態において、III族窒化物と同様の熱拡張特性、高熱伝導率を有し、かつ標準的な半導体デバイスの製造プロセスに適合する大領域ウェーハとして利用可能なように、キャリアウェーハ材料を選択する。その後、キャリアウェーハを、レーザデバイスのためのサブマウントとして機能することを可能にする構造と共に処理する。いくつかの実施形態において、レーザデバイスのファセットは、レーザダイスを容易に劈開するキャリアウェーハに接合することにより、形成され得る。ファセットの意図する面が単結晶キャリアウェーハの容易劈開面と共面となるように、レーザダイスをアライメントさせることにより、上記したような機械的またはレーザスクライブを用いることが可能になり、キャリアウェーハ中の劈開を、レーザダイおよびキャリアウェーハパターンに対して適切に配置されるようにして、誘導および開始させることができる。閃亜鉛鉱、立方晶およびダイヤモンド格子結晶は、複数組の直角劈開面(例えば、[110]、[001]など)と共に劈開キャリアに対して良好に機能する。キャリアウェーハを単一化して個々のダイにすることは、ソーまたは劈開によって達成可能である。単一化を、劈開を用いて行う場合、同じ劈開面および技術をファセット形成について述べたように用いることができる。この実施形態の場合、複数の利点がある。キャリアウェーハおよびサブマウントの機能を組み合わせることにより、パッケージングされたデバイスの構築に必要なコンポーネントおよび動作の数が低減し、これにより、最終レーザデバイスのコストが大幅に低下する。(例えば約150K/mWを超える)高熱伝導率を有するキャリアウェーハを選択することにより、熱抵抗が低いキャリアウェーハの厚さ全体(例えば、>約300ミクロン)を利用することが可能になるため、キャリアウェーハの薄膜化が不要になる。本発明の別の実施形態において、バーおよびダイの単一化が、ソーイングプロセスによって達成される。ソーイングは、LEDおよび他の半導体デバイスの単一化に用いられる良好に確立されたプロセスである。
一例において、SiCは、キャリアとしてもサブマウントとしても用いられる。SiCは、直径約150mmまでのウェーハにおいて複数のベンダーから利用可能であり、結晶多形および不純物に応じて高熱伝導性は、約360〜490W/mKである。図12は、キャリアウェーハとしてもサブマウントとしても用いられるSiCウェーハ402の断面の概略図である。レーザデバイス材料の移動の前に、レーザデバイスパッケージへ取り付けられるSiCウェーハが接合層401と共に作製される。SiCウェーハの反対面は、薄い電気的絶縁層403、電気的導電性トレースおよびワイヤ接合パッド405および導電性接合媒体108と共に製造される。その後、レーザデバイス材料を上記したプロセスを介してキャリアへ移動させる。電気的分離層408は、標準的なリソグラフィックプロセスを用いてウェーハ上に製造され、電気接点およびワイヤ接合パッド407がレーザデバイスの上側に構成される。電気的分離層は、典型的にはレーザシステムの残りの部分に接地されたレーザパッケージまたはヒートシンクからレーザデバイスを確実に電気的に分離させるために重要である。パッシベーション層は、キャリアと、エピタキシャルダイとの間、またはパッケージまたはヒートシンクへ接合されたキャリアウェーハ側上に配置され得る。個々のダイスをSiCウェーハから単一化し、パッケージングすることができる。SiCウェーハは、六方晶4Hおよび6Hおよび立方晶3Cなどの多数の結晶多形において利用可能である。高熱伝導率のSiCにより、市販のSiCウェーハを薄膜化無しにサブマウントとして用いることが可能になる。いくつかの実施形態において、絶縁層403は、SiC基板402と、接合層401との間に配置される。これにより、ダイに電気的にアクセスするため、または図15および図17に示すように多数のダイのための共通電極として機能させるためにSiCウェーハを用いることが可能になる。
一実施形態において、ダイ間距離が横方向(すなわち、レーザリッジ方向に対して垂直方向)かつレーザキャビティに対して平行に拡張するように、レーザダイスをキャリアウェーハへ移動させる。これは、図13に示すように、キャリアウェーハ上の接合パッドのスペーシングを基板上のレーザダイのスペーシングよりも大きなピッチで行うことにより、達成することができる。このような構成において劈開ファセットを用いることは技術的に可能であるが、エッチされたファセットの方がより実装が簡単なプロセスである点に留意されたい。これは、移動されたダイを全方向において有限の長さとする必要があるので、劈開ファセットは、劈開プロセス時において除去されるべきダイの前方の拡張領域となるためである。
本発明の別の実施形態において、キャリアウェーハ上の各設計幅が複数のエピタキシャルウェーハからのダイスを含むように、複数のエピタキシャルウェーハからのレーザダイスをキャリアウェーハへ移動させる。ダイを複数のエピタキシャルウェーハから近接した間隔で移動させる場合、エピタキシャルウェーハ上の移動していないダイがキャリアウェーハへ既に移動したダイと、不用意に接触および接合しないことが重要である。これを達成するために、第1のエピタキシャルウェーハからのダイを、上記した方法を用いてキャリアウェーハへ移動させる。その後、第2の1組の接合パッドを、キャリアウェーハ上に堆積し、第2のパッドの接合表面が第1の1組の移動されたダイの上面よりも高くなるような厚さに構成される。これは、第2のエピタキシャルウェーハからのダイの接合のための適切な隙間が得られるようにして行われる。その後、異なる色、寸法、材料などのこのような差を含み得る第2の基板を用いて、第2の1組のダイをキャリアへ移動させる。最後に、レーザリッジを製造し、パッシベーション層を堆積させた後、各ダイスを個別に駆動させることを可能にする電気接続層を形成する。第1の基板および第2の基板から移動されたダイは、キャリアウェーハの第2のピッチよりも小さなピッチで間隔を空けて配置される。このプロセスは、任意の数の基板からのダイを移動させることと、各基板からのダイス毎の任意の数のレーザデバイスを移動させることとに用いることができる。
いくつかの実施形態において、1つ以上のレーザストライプ領域に対応する複数のエピタキシャルメサダイスは、単一のキャリアウェーハへ移動され、相互の近隣に配置される。レーザダイオード領域および電気的接続領域を形成するための後続処理ステップの後、キャリアウェーハをダイシングして個々のレーザデバイスにする。個々のレーザデバイスは、マルチエミッタレーザデバイスを形成するためのレーザストライプ領域を含む複数のエピタキシャルメサ領域を含む。付近のエピタキシャルメサダイス領域は、好適には相互に1ミリメートル以内にあり、より好適には相互に約200マイクロメートル以内にあり、最も好適には相互に約50ミクロン以内にある。ダイ接合は、レーザキャビティおよびファセットが製造される際に出射レーザビームの光学軸が相互に約5°未満までアライメントされ、より好適には約1°未満までアライメントされ、最も好適には約0.5°までアライメントされるように、行われる。このようにすると、いくつかのレーザダイス上に製造されたレーザデバイスからのレーザ光を同じシステム要素(例えば、レンズ、光ファイバーケーブル)に結合するために必要な光学要素を、簡素化できるという利点が得られる。
本発明のマルチエミッタレーザデバイスの一実施形態において、マルチエミッタレーザストライプ領域が実質的に類似の波長を出射するレーザバーが形成される。一例において、マルチエミッタレーザデバイスは、350〜450nmの範囲、450〜550nmの範囲、600〜700nmの範囲、600〜800nmの範囲、800〜900nmの範囲、900〜1000nmの範囲、1000〜1300nmの範囲、または1300〜1600nmの範囲で放出し得る。レーザバー構成において、デバイスは、極めて高出力が必要な用途に適している。例えば、マルチエミッタレーザデバイスは、5Wを超える光出力、50Wを超える光出力、100Wを超える光出力、500Wを超える光出力、または1kWを超える光出力を放出するように構成され得る。
図30は、本発明による高出力マルチエミッタレーザデバイスの一実施形態の概略的断面図である。ここで、複数のレーザストライプ103は、共通キャリア106上に配置された別個の隣接する移動されたエピタキシャルメサ領域内に形成される。一実施例において、複数のレーザストライプは、共通n金属層101および共通p金属層105を通じて電気的に接続され、絶縁層104によって分離され、その結果、並列電気トポロジーが得られる。隣接するエピタキシャルメサ領域内のレーザストライプ領域は、電気的に並列接続される。共通キャリアウェーハは導電性であるかまたは電気的に絶縁性であり得、あるいは、任意選択の絶縁層108を共通n金属層の前にキャリアウェーハへ付加する。多重ストライプレーザの金属パッドへの電気接点は、共通n金属層および共通p金属層上それぞれの上の1つ以上の位置107において、ワイヤ接合を通じてまたは取り外し可能な接続(例えば、ポゴピン、ばねクリップなど)を介して行われ得る。
別の実施形態において、隣接するエピタキシャルメサ領域内のレーザストライプ領域は、電気的に直列接続される。図32は、本発明の直列接続実施形態の概略的断面図であり、ここで、共通キャリア506上に配置された移動された隣接するエピタキシャルメサ領域上に形成された複数のレーザストライプ103が、直列電気トポロジーにおいて電気的に接続される。共通キャリアウェーハを電気的に絶縁してもよいし、あるいは、任意選択の絶縁層108を共通n金属層の前にキャリアウェーハへ付加してもよい。多重ストライプレーザの金属パッドへの電気接点は、共通n金属層および共通p金属層それぞれの上の1つ以上の位置107において、ワイヤ接合を通じて行われるかまたは取り外し可能な接続(例えば、ポゴピン、ばねクリップ)を介して行われる。
図36は、キャリアウェーハへの移動前のエピタキシャルウェーハ上の個々のレーザストライプと、ダイ移動後のキャリアウェーハ上の複数のレーザストライプ間の所望のスペーシングとの間の幾何学的関係の概略図である。単一のマルチストライプレーザ上の隣接するレーザストライプ間のピッチであるピッチ2は、エピタキシャルウェーハ上の隣接するレーザストライプ間のピッチであるピッチ1の整数倍Nでなくてはならず、ここで、N>1である。共通キャリアウェーハ上の隣接する多重ストライプレーザ間のピッチであるピッチ3は、エピタキシャルウェーハ上の隣接するレーザストライプ間のピッチであるピッチ1のの整数倍Nでなくてはならず、ここで、M>Nである。
図37は、選択領域接合プロセスの概略的な上面図であり、選択領域接合を介したダイ拡張プロセスにより得られたマルチストライプレーザを示す。オリジナルガリウムおよび窒素を含有するエピタキシャルウェーハ201は、エピタキシャル材料の個々のダイおよび処理を通じて規定された剥離層を有していた。個々のエピタキシャル材料ダイを参照符号202によって示し、ピッチ1で間隔を空けて配置される。円形のキャリアウェーハ200は、パターニングされた接合パッド203によって作製された。これらの接合パッドは、ピッチ2において間隔を空けて配置される。ピッチ1の偶数倍は、選択された複数組のエピタキシャルダイを選択領域接合プロセスの各反復において接合することができるように、ピッチ1の偶数倍である。全エピタキシャルダイがキャリアウェーハ204へ移動されるまで、選択領域接合プロセスの反復を継続する。その後、キャリアウェーハをピッチ3で単一化し、その結果複数の多重ストライプレーザが得られる。ここで、ガリウムおよび窒素を含有するエピタキシー基板201を任意選択的に再利用のために作製することができる。
高出力レーザシステムの最も高コストでありかつ複雑な特徴の1つとして、放出レーザストライプ領域からの出力のコリメートおよび結合に関連する光学結合および光学要素がある。本発明による主な利点として、共通光学要素を用いるだけ充分に近接しているかまたは既成の低コストの従来の光学素子に適合するピッチで設けられた隣接エピタキシャルメサ領域内に形成されたレーザストライプ領域を配置する点がある。図38は、多重ストライプレーザ301が光学要素302の共有が可能なように充分に近密に間隔を空けて配置されて、多数の出力レーザ光ビーム303の光学結合を簡潔化でき、その結果レーザアレイの実行コストを低減することができる実施形態の概略図である。
マルチエミッタレーザデバイスの実施例の別の実施例として、(600〜700nmの波長および好適には620〜670nmの波長で出射する)赤色出射AllnGaAsPレーザデバイスウェーハ、(500〜600nmの波長および好適には510〜550nmの波長で出射する)緑色出射GaNレーザデバイスウェーハ、および(400〜500nmの波長および好適には430〜470nmの波長で出射する)青色出射GaNレーザデバイスウェーハからのレーザダイを単一のキャリアウェーハへ移動させることができる。レーザキャビティ、ミラーおよび電気接点は、標準的なリソグラフィックプロセスを上記したものと同様の構造と共に用いてダイおよびキャリアウェーハ上において処理することができ、これにより、各ダイス上のレーザデバイスが個別にアドレス可能となり、別個に駆動することができる。ファセットは、ドライエッチプロセス(例えば、RIE、ICPまたはCAIBE)を用いて、またはキャリアウェーハの劈開によって製造される。単一化後、その結果得られたレーザチップの有効エミッタサイズは、標準的なレーザダイオードデバイスと同様(すなわち、約200ミクロン未満)であり、赤色−緑色−青色の混合を可能にする。このようなRGBレーザチップにより、プロジェクションおよび表示用途のためのレーザ光源の設計および製造が大幅に簡素化される。レーザデバイスは全て、相互に密な間隔で配置され(すなわち、約10〜100ミクロン以内)、これにより、別個の光学要素(例えば、レンズ)を設ける必要性および全エミッタをシステム光学素子と共に別個にアライメントする必要性をどちらとも排除することにより、製造コストが低下する。
別の実施形態において、複数のエピタキシャルウェーハからの複数のダイを、オーバーレイされたレーザダイと共に同じキャリアウェーハへ移動させる。図43は、これを達成するプロセスにおける多様なステップにおけるキャリアウェーハの概略的断面図である。上記した方法を用いて、第1のエピタキシャルウェーハからのダイ502をキャリアウェーハ106へ移動させる。レーザリッジ、パッシベーション層104およびリッジ電気接点105がダイ上に製造される。その後、接合パッド503がリッジ電気接点上にオーバーレイした状態で堆積される。その後、異なる色、寸法、材料および他のこのような差のダイを含み得る第2の基板506を用いて、第2の1組のダイ507を第1の1組のダイと同じピッチでキャリアへ移動させる。その後、レーザリッジ、パッシベーション層およびリッジ電気接点を第2の1組のダイ上に製造することができる。ダイ接合およびレーザデバイス製造の後、図42中の断面図に示すように任意の数のレーザダイおよびデバイスからなるマルチターミナルデバイスを効率的に生成するためのサイクルを実行することができる。
一実施例として、図44は、各ダイス上に作製されたレーザデバイスを独立的に動作させることが可能なように、同じ基板または異なる基板からの3つのダイスを個別に電気的にアドレス指定可能にする多様な方法を示す。図44(A)および図44(B)は、キャリアウェーハ上の単一の反復単位(ここでは、「チップ」と呼ぶ)の平面図および断面図である。3つの導電性接合パッド602が、1つ以上の基板から接合ダイスのために提供される。これらの接合パッドは、導電性キャリアウェーハを介して共通電極へ電気的に接続される。共通電極は、サブマウント、ヒートシンク中へのはんだ付けのための接合パッドあるいは他の場合のシステム中への統合としても機能する。上側電気接点が堆積され、レーザダイスからチップのうちレーザダイスと接触していない領域内に配置されたワイヤ接合パッド603へと延長される。金属トレースおよびパッドが、絶縁層606によってキャリアウェーハから絶縁される。図44(C)および図44(D)は、底側電気接点がチップの前部上に堆積される導電性層604から構成されている類似のチップを示す。本例において、上側電気接点およびチップが絶縁層606によって相互に絶縁され、キャリアウェーハおよびチップ底部上の接合パッドのみが取り付けおよび良好な熱伝導率の提供の目的のために用いられる。図26(E)および図26(F)は、レーザダイスがその底部側上の共通電極へキャリアウェーハを介して接続された類似のチップを示す。この構成において、キャリアウェーハへの電気アクセスが、キャリアウェーハの底側ではなく上側ワイヤ接合パッド604を通じて行われる。
一実施例として、図46は、キャリアウェーハへ移動された類似の構成の複数のレーザダイスを示す。図46(A)は、レーザダイス801の移動後の1つのレーザチップの断面図である。本例において、レーザダイスは、境界808および809によりレーザチップよりも長くなる。電気接続層807(図46(B)に示す)は、電気接続層の短絡を回避するための電気的絶縁層806と共に標準的なリソグラフィック技術により堆積される。レーザスクライブまたは機械的スクライブを上記したように用いて、劈開を開始させかつ誘導するスクライブマーク810を生成する。本図において、スクライブマークは、レーザスクライビングツールと共に形成された「スキップスクライブマーク」である。他の実施形態において、スクライブは、機械的に形成され得、スキップまたは連続的スクライビングを用いてキャリアウェーハの後側上に形成され得る。その後、レーザキャビティの前方ファセットおよび後方ファセットを同時に形成しつつ、レーザチップを劈開させて方向808に沿ってバーにする。その後、劈開、ソーイング、スルーウェーハレーザスクライビングまたは他のいくつかの類似の方法を用いてこれらのレーザチップを方向809に沿って単一化する。
一実施形態において、複数のレーザダイスを、絶縁材料からなりかつおよび金属充填貫通ビアを含むキャリアウェーハへ接合する。図45は、この構成の概略図である。レーザダイスの下側の貫通ビアを薄い絶縁層705によりダイスから電気的に絶縁させる。標準的なリソグラフィック技術を用いて堆積およびパターニングされた類似の1組の導電性層および絶縁層を介して電気接点を構成する。この実施形態により、熱検討事項がそれほど重要ではない低出力部分のための表面取り付けプロセスを介してパッケージへ取り付けることが可能なチップを生成することが可能になり、その結果、レーザチップをプリント回路基板上に直接集積することが可能になる。
いくつかの実施形態において、複数のレーザダイを単一のキャリアウェーハへ移動させ、相互に密接させて配置する。密接したダイスは好適には、相互に1ミリメートル以内にあり、より好適には相互に200マイクロメートル以内にあり、最も好適には相互に50ミクロン以内にある。ダイの接合については、また、レーザキャビティおよびファセットの製造の際に出射レーザビームの光学軸が5°未満、より好適には1°未満、最も好適には0.5°未満で相互にアライメントされるように行われる。このようにすることで、いくつかのレーザダイス上に作製されたレーザデバイスからのレーザ光を同じシステム要素(例えば、MEMSミラーアレイ、光ファイバーケーブル)中に結合させるために必要な光学要素を簡素化できる利点が得られる。
一実施例として、レーザスペックルは、表面上に投射されたレーザスポットの輝度の空間的変動を発生させる現象である。レーザ光はコヒーレントであるため、プロジェクションスクリーンなどの粗い表面から反射した場合、スクリーン表面の高さの変化が、空間的に変動する増強および減衰する干渉がレーザ光中に発生し得る。このような特性は、レーザ光の直接的投射によって形成された画像の画像品質が低下する、レーザベースプロジェクターのようなシステムにおいては望ましくない。いくつかのレーザデバイスを組み合わせて単一源とすることにより、レーザスペックルを低減させることができる。このようにすることは、レーザのスペクトル幅が狭い単一のモードデバイスにおいて特に有利である。類似の波長(すなわち、50nmと大きい波長差および1nmと小さい波長差)を放出するいくつかのレーザデバイスを、キャリアウェーハ上の同じレーザチップへ移動させることができる。レーザダイを異なる基板から移動させ、キャリアウェーハ上において近接して(10〜100ミクロン以内に)配置することができるため、レーザデバイス(単一のレーザエミッタと同様に機能するレーザチップ)を保持しつつ、移動されたダイの波長が所望の量だけが異なるように、基板を選択することができる。例えば、6個のレーザダイからなるRGBチップを作製することができる。ダイのうち2個は、ピーク波長515および525nmにおいて緑色光を発光するレーザである。ダイのうち2個は、ピーク波長645および655nmにおいて赤色光を発光するレーザである。当業者にとって公知のように、波長対の選択は、赤色レーザ対、緑色レーザ対および青色レーザ対それぞれの外観の色を変化させかつスペックル低減量も変化させ、また波長の分離増加によりレーザスペックルの低減増加に繋がるように、行われる。
一実施例として、赤色出射AllnGaAsPレーザデバイスウェーハ、緑色発光GaNレーザデバイスウェーハおよび青色発光GaNレーザデバイスウェーハからのレーザダイを単一のキャリアウェーハへ移動させることができる。各ダイス上のレーザデバイスが個別にアドレス可能でありかつ別個に駆動可能なように、上記において図40および図41に記載および図示したものと同様の構造の標準的なリソグラフィックプロセスを用いて、レーザキャビティ、ミラーおよび電気接点をダイおよびキャリアウェーハ上において処理することができる。ファセットは、ドライエッチプロセス(例えば、RIE、TCPまたはCAJBE)またはキャリアウェーハの劈開によって製造される。単一化後、その結果得られたレーザチップは、標準的なレーザダイオードデバイス(すなわち、200ミクロン未満)に類似の有効なエミッタサイズを有し、赤色−緑色−青色の色混合を可能にする。各色のための複数のレーザダイを複数の基板から移動させて、各色のスペックルの処理が可能になる。このようなRGBレーザチップにより、投映およびディスプレイ用途のためのレーザ光源の設計および作製が大幅に簡素化される。レーザデバイスは近接している(すなわち、10〜100ミクロン以内)ため、必要な光学素子も小型になる。レーザデバイスは全て相互にアライメントされるため、全エミッタをシステム光学素子と別個に整列させる必要が無くなるため、製造コストが低下する。
この種の赤色、緑色および青色光を放出する光電子工学デバイスの一実施例を、レーザダイについて図40中に示す。このRGBレーザチップは、複数の異なる材料から構成され得るキャリアウェーハ310からなる。キャリアへ接合されているのは、3つのレーザダイ316である。これら3つのレーザダイ316それぞれの内部には、単一のレーザデバイス構造が製造されている。レーザダイは、キャリアp側へ下方に接合され、接合パッドは、共通p電極314を形成する。電気的なパッシベーション層(例えば、二酸化ケイ素、シリコン窒化物など)を、リソグラフィックプロセスを用いて選択的に堆積させ、別個のn電極355、312および353をその後堆積させる。図40は、単一化後の単一のレーザチップを示すが、接合プロセスの性質に起因して、多数のレーザチップを任意のサイズのキャリアウェーハ上に並列的に製造することができる。キャリアウェーハ材料の選択は、用途によって異なる。レーザデバイスの光出力が低い(100mW未満である)いくつかの実施形態において、大直径かつ低コストのSiウェーハが利用可能であるため、Siがキャリアウェーハとして選択され得る。放出出力が大きい(例えば、1Wを超え)かつ高効率の確保のためにデバイスの熱抵抗を低く保持する必要がある実施形態において、SiCの熱抵抗は高いため、SiCが適切なキャリアウェーハ材料となる。
いくつかの実施形態において、光電子工学ダイを相互に部分的または全体的にオーバーレイするように接合することにより、RGBレーザまたはSLEDチップが形成される。このような構成をレーザダイについて図41に示す。ここで、リッジ側電気接点は、次のレーザダイのための接合層の一部または全体も形成する。二酸化ケイ素などのパッシベーション層を設けることにより、シリコン窒化物など電流をリッジのみを通過させることが可能になる。このレーザチップ構成は、レーザデバイス間の電流マッチング無しに、マルチターミナルデバイスとして動作させることが可能である。この構成の場合、レーザリッジを極めて密な間隔を空けて横方向に配置することが可能であり、また、図40中ではリッジは重複していないが、リッジが相互に重複している構成などの他の構成も可能であるため、有利である。例えば、低出力のデバイスが幅2ミクロンのリッジであり、リソグラフィックプロセスの横方向アライメントが5ミクロン公差である場合、エミッタを、16ミクロン未満の全横方向距離または典型的なGaNレーザダイのおよそ10%に広げることが可能である。エピダイ厚さが2ミクロンであり接合層厚さが1ミクロンである同じ低出力デバイスにおいて、RGBエミッタの垂直範囲は、全体で僅か8ミクロンである。最上側ダイから熱抽出を効率的に行うことは困難であるため、この構成が高出力部分に用いられる可能性は低い。
本発明の実施形態によれば、レーザデバイスを従来の製造方法と比較して極めて低コストで製造することを容易にする。図14は、従来のレーザダイオード製造プロセスにおけるプロセスフローおよび材料投入を示す。基板を設ける。この基板上に、レーザデバイスをエピタキシャル的に成長させる。その後、ウェーハを両側(すなわち、前面側および背面側)にエピタキシャル処理して、レーザダイオードリッジおよび電気接点を生成する。その後、ウェーハを薄くして、劈開を容易にする。この薄くするプロセスによって基板のうち大部分が消費され、スラリーとなる。その後、薄くされたウェーハをレーザリッジに対して垂直方向に劈開させて、前方ファセットおよび後方ファセットを生成し、その後、その結果得られたレーザデバイスの直線アレイまたは「バー」に対して品質保証目的のための試験を行うことができ、レーザ用途に応じて高屈折率または反射防止コーティングでコーティングするために複数のバーをスタックすることができる。最後に、レーザデバイスをバーから単一化させ、サブマウントへ取り付け、これにより、ダイを載置するための電気的絶縁プラットフォームが得られ、レーザデバイスの基板側への電気アクセスが得られ、また、レーザパッケージングまたはヒートシンクへはんだ付けされるかまたは他の場合、接着される。
従来のワークフローにおいては、レーザデバイスは、レーザリッジのサイズによってではなくデバイスのハンドリングおよび電気的接続に必要な材料の領域によって決定される密度でエピタキシャルウェーハ上において処理される。その結果、特に、小型になりがちな市販のGaN基板上のウェーハ毎のデバイス数は少数であるため、デバイスあたりの処理コストが比較的高くなる。さらに、レーザデバイスの単一化後、連続的なピックアンドプレースプロセスに続く接合プロセスを2回行う必要がある;1回目はレーザダイをサブマウントへ接合するため、2回目はサブマウントをレーザパッケージへ接合するためである。
本発明によって可能となる向上した作製プロセスを図15に示す。基板が設けられる。この基板は、バージン基板であってもよいし、あるいは既に使用された後に再生されたものであってもよい。エピタキシャル層を基板上に成長させ、処理して移動のためにダイにする。ダイは基板上よりも大きなピッチでキャリアへ接合することが可能であるため、基板上に作製することが可能なダイ数が極めて多数になる。その結果、ダイあたりの処理コストが低下する。図16は、多様な寸法の基板上で処理することが可能なデバイス数を示す。リッジ長さを1mmとするものとすると、リッジ間のピッチは約50〜約3000ミクロンの範囲で変動する。実際には、ダイはワイヤ接合をハンドリングおよび支持できるだけの充分な大きさを持つ必要があるため、ピッチを約100〜150ミクロンよりもはるかに小さくすることはできない。一例として、直径1インチの基板上において、標準的なワークフローを用いて、約550ミクロンのオーダーのダイピッチでおよそ3400個のデバイスを構成することができる。このエピ移動プロセスダイピッチは、約50ミクロン以下まで縮小させ得、ダイ幅はレーザリッジ幅によって決定される。一例として、直径1インチの基板の場合、エピ移動ワークフローを用いて、約50ミクロンのオーダーのダイピッチで10000個を超えるダイを、ウェーハ毎に構成することができる。これにより、プロセスにおけるダイあたりのコストおよびエピタキシャルプロセスおよび基板あたりのコストが低減する。
ダイがキャリアウェーハへ移動されると、一定の割合のダイが各接合ステップにおいて移動される。この割合は、基板上のダイのピッチの相対的サイズ(すなわち、第1のピッチ)およびキャリア上のピッチ(すなわち、第2のピッチ)によって決定される。図17は、直径100mmの円形のキャリアウェーハ上の小型基板の接合構成のいくつかの例を示す。これは、キャリアウェーハ全体にダイが装着されていない接合構成の一実施例であるが、キャリアをより完全に充填することが可能である。例えば、基板の限定された領域からのダイをキャリア縁部において接合することができ、基板の未接合領域はキャリア縁部から延びる。別の例として、キャリアは、メサに部分的に装着され得、その後、第2の1組の接合パッドを第1の1組の接合パッドよりも厚いキャリア上にパターニングすることができ、これにより、オリジナル接合間の非占有位置で接合のためのクリアランスが提供される。
これにより、処理コストにも良い影響が得られる。図18は、直径100mmのキャリアウェーハへ移動させることが可能なデバイス数の表を示す。基板上のダイピッチは約50ミクロンであり、キャリア上のダイピッチ(すなわち、第2のピッチ)は変化すると仮定する。第2のピッチが150ミクロンであるとき、直径1インチのウェーハから移動されたときの直径100mmのキャリア上において並列的に処理することが可能なデバイス数はおよそ30000個であるとことが分かる。これは、直径1インチの基板上において約150ミクロンのピッチで処理することが可能なデバイス数よりも10倍も高い。本例において、第2のピッチは第1のピッチよりも約3倍も大きいため、基板からキャリアへの移動を3回行うことが可能になる。本例において、1つよりも多くの基板からのダイ全てをキャリアへ移動させることができる。いくつかの実施形態において、第2のピッチは約1mm以上であるため、キャリア上において利用可能な位置よりも多数回の移動が必要になる。別の実施形態において、第1のピッチおよび第2のピッチは、接合対象の基板上において利用可能な位置数も、基板上のメサ数に等しい。
キャリアウェーハにダイを装着した後、ウェーハレベル処理を用いてダイをレーザデバイス中に作製することができる。例えば、多数の実施形態において、接合媒体およびダイの全厚さは約10ミクロン未満であるため、標準的なフォトレジスト、フォトレジスト分配技術および接触および投影リソグラフィツールおよび技術を用いてウェーハをパターニングすることができる。フィーチャのアスペクト比は、蒸着装置、スパッタおよびCVD堆積ツールを用いた、例えば金属層および誘電体層といった薄膜の堆積に適合する。いくつかの実施形態において、エポキシがレーザダイおよびキャリアチップをオーバーレイして分配されている間に前方ファセットを厚い誘電体層で保護し、これにより、レーザデバイスが封入され、性能劣化の原因となり得る汚染物質および環境要素を密封する。その後、ここで、真のチップスケールレーザパッケージが標準的な半導体製造技術および装置を用いてウェーハレベル上に製造され、キャリアウェーハから単一化された後、レーザ光システム中へ据え付ける準備が整う。
さらに、ラップ仕上げ、研磨および化学機械的研磨のうち1つ以上の組み合わせを用いてエピレディ状態に再調整することにより、基板のリサイクルが可能になる。基板リサイクルにおいては、移動プロセスから残留しているウェーハ高さの変動を全て除去することが必要になる。この除去は、ウェーハ表面を研磨スラリーによりラップ仕上げすることにより、達成される。研磨媒体は、シリカ、アルミナ、炭化ケイ素またはダイヤモンドのうち1つ以上である。先ず、粒子サイズを徐々に小さくしながら用いてウェーハ表面の平坦化させた後、初期除去プロセスに起因して発生した結晶の表面下損傷を除去する。初期粒子サイズとしては、先ず約1〜10ミクロンの範囲のものを用いた後、約0.1〜100ミクロンのものを用いる。最終ステップは化学機械的研磨(CMP)であり、典型的には水溶液中に懸濁されたコロイドシリカを含む。このCMPステップにより、典型的には低密度の結晶欠陥および低RMS(<約10nm)の粗さによって特徴付けられる「エピレディ」表面が回復する。最終清浄ステップは、残留スラリー除去のための界面活性剤の使用および汚染物質除去のための洗浄剤の使用(例えば、酸性溶液(例えばHCl:HNO、HF)および溶媒(例えば、イソプロパノール、メタノールおよびアセトン)への暴露を含み得る。我々は、厚さの大幅変更無く基板10回を超えた回数だけリサイクルすることが可能であると推定する。いくつかの実施形態において、エピタキシャル層は、厚いバッファを含む。これらの厚いバッファは、その後リサイクルプロセスによって除去されて、基板の正味の厚さは不変となる。
一例として、処理および材料コストについての基本的な仮定(例えば、基板リサイクルを10回行い、大領域(すなわち、2cmを超える)Ga基板が利用可能である)を用いれば、)ダイを4.5cmGaN基板から200mmSiCキャリアへ移動させることにより、コストが光ワットあたり$0.50を下回る青色発光GaNベースレーザデバイスを、光ワットあたり$0.10にまで低減することが可能であることを示すことができる。この価格は、現行技術の発光ダイオードに対して高い競争力を持ち、一般照明などのLEDなどの現行の市場にレーザ光源を広く浸透させることを可能にする。
一実施例において、本発明は、固体照明源から期待される高エネルギー効率および長寿命の利点を維持しつつLED源を超える光源輝度レベルを生成することが可能な、高効率の低コスト青色レーザダイオードおよび高密度波長変換器の集積型のアレイに基づいた集積型の低コストレーザベース光源を開示する。さらに、LED製品を超える製品性能を提供する、集積型で低コストのレーザベース光源に基づいた照明システムが開示される。
一実施例において、我々は、単位立体角あたりの光密度として定義される、光源輝度に起因して従来のGaNベース固体照明光源および製品が制限されることを見出した。光学的概念であるエテンデュという検討事項について、光学アセンブリにおいて輝度を増加させることができないことが周知であり、そのため、照明システムの輝度または強度は光源輝度によって限定される。GaNLED光源の場合、入力電力密度の上昇と共にエネルギー効率が急低下する「ドループ」として周知の現象がある。LED(自然発光)とレーザダイオード(刺激発光)との間においてはキャリア再結合機構において差違があるため、この効率ドループ現象はGaNレーザダイオードにおいてはみられない。これを図40中に示す。図40において、GaNベースLEDおよびレーザダイオードについてエネルギー変換効率を模式的に示す。高出力密度で動作した場合、レーザダイオードは、LEDよりもずっと高い変換効率を達成することができることが明らかである。さらに、LEDからの光発光パターンはデバイス表面上において等方性である一方、レーザダイオードの場合、良好に規定されたコヒーレントビーム中の微細な放出ファセットから光が放出される。レーザダイオードの放出領域は数倍も小さいため、光源輝度がLEDよりも数倍高くなる。この光源輝度における利点は、光学系(例えば、電球または器具)を通じて維持することができ、これにより、レーザダイオードの固有の利点が得られる。
一実施例において、以下、蛍光体などの波長変換材料の簡単な概要について、レーザダイオードを参照しつつ説明する。LEDの場合、蛍光体の大きさはLED源と同様またはそれ以上である。レーザダイオードモジュールの場合、蛍光体サイズは、ダイサイズから独立しており、いくつかのレーザダイオード源からポンプすることができる。LEDの場合、蛍光体は、円形のダイ上またはその周囲に配置される。熱放散は、小さいかまたはLEDダイを通じて直接行われる。レーザダイオードの場合、蛍光体はダイに隣接しているかまたはダイから離隔位置にあるため、良好なヒートシンクになることができ、高い入力電力密度が可能になる。LEDの場合、蛍光体はLEDダイ中へ再度発光するため、効率/コスト間のトレードオフが大きくなる。レーザダイオードモジュールの場合、蛍光体環境を個別に調整することが可能であるため、追加コストをほとんど費やさずに高効率が可能になる。レーザダイオードモジュールのための蛍光体最適化は、透明度の高い非散乱性のセラミック蛍光プレートを含み得る。温度感度の低下は、ドーピングレベルによって決定することができる。セラミック蛍光体の背面側に反射器を付加することにより、損失を低減することができる。蛍光体は、インカプリングの増加および後方反射の低減が可能なよう形状に形成することができる。もちろん、さらなる変更例、改変例などがあり得る。
一実施例において、本発明は、1つ以上の低コストレーザダイオードと、1つ以上の波長変換要素と、レーザダイオードと波長変換要素との間の電気および熱接続を提供する共通基板とを含むレーザベース光モジュールを提供する。一例において、低コストレーザダイオードは、Al、InN、InGaN、AlGaN、InAlGaN、AlInGaN、その組み合わせなどを含むエピタキシャル材料から構成される。一例において、低コストレーザダイオードの発光波長は、例えば200nm〜520nmの範囲内である。
一実施例において、低コストレーザダイオードの好適な発光波長は、440nm〜460nmの範囲内である。一例において、波長変換要素は蛍光材料である。一例において、波長変換要素は、ガーネットホスト材料およびドーピング要素を含む蛍光体である。一例において、波長変換要素は、イットリウムアルミニウム、ガーネットホスト材料および希土類ドーピング要素などを含む蛍光体である。一例において、波長変換要素は、Ce、Nd、Er、Yb、Ho、Tm、DyおよびSm、その組み合わせなどのうち1つ以上から選択された希土類ドーピング要素を含む蛍光体である。一例において、波長変換要素は高密度蛍光要素である。一例において、波長変換要素は、密度が高純度ホスト結晶の90%を超える高密度蛍光要素である。
一実施例において、1つ以上の低コストレーザダイオードから放出された光は、波長変換要素によって部分的に変換される。一実施例において、波長変換要素内で生成され放出された部分的に変換された光は、外観が白色である色ポイントを与える。
一実施例において、白色光の色ポイントは、プランクの黒体上に配置される。一例において、白色光の色ポイントは、プランクの黒体の位置で0.010未満のdu‘v’内に配置される。一実施例において、白色光の色ポイントは好適には、プランクの黒体の位置から0.03未満のdu‘v’内に配置される。
一実施例において、共通基板は、熱伝導率が100W/m−Kを超える固体材料である。一実施例において、共通基板は好適には、熱伝導率が200W/m−Kを超える固体材料である。一実施例において、共通基板は好適には、熱伝導率が400W/m−Kを超える固体材料である。一実施例において、共通基板は好適には、電気抵抗率がl×10^6ohm−cmを超える電気絶縁体を含む固体材料である。一例において、共通基板は好適には、電気l×10^6ohm−cmを提供する薄膜材料を含む固体材料である。一実施例において、共通基板は、Al、AlN、SiC、BeOおよびダイヤモンドのうち1つ以上から選択される。一実施例において、共通基板は好適には結晶SiCを含む。一例において、共通基板は好適には、Siの薄膜が上面上に堆積された結晶SiCを含む。一実施例において、共通基板は、1つ以上の低コストレーザダイオード間の導電性接続を提供する金属トレースを含む。一例において、共通基板は、1つ以上の低コストレーザダイオードと共通基板との間に熱伝導接続を提供する金属トレースを含む。
一実施例において、1つ以上の低コストレーザダイオードは、共通基板上の金属トレースへはんだ材料により取り付けられる。一実施例において、1つ以上の低コストレーザダイオードは、好適にはAuSn、AgCuSn、PbSnまたはInのうち1つ以上から選択されたはんだ材料により、共通基板上の金属トレースへ取り付けられる。
一実施例において、波長変換材料は、共通基板上の金属トレースへはんだ材料により取り付けられる。一例において、波長変換材料は、好適にはAuSn、AgCuSn、PbSnまたはInのうち1つ以上から選択されたはんだ材料により、共通基板上の金属トレースへ取り付けられる。
一実施例において、低コストレーザダイオードおよび波長変換材料のうち1つ以上が、好適にはAuSn、AgCuSn、PbSnまたはInのうち1つ以上から選択された類似のはんだ材料により、共通基板上の金属トレースへ取り付けられる。一例において、2つ以上の低コストレーザダイオードが、電気的に直列に配置されたダイオードにより、共通基板へ取り付けられる。一実施例において、波長変換要素は、波長変換要素と、共通基板上の金属トレースへの熱伝導性接続との間に介在する光反射材料を含む。
一実施例において、波長変換要素と、共通基板上の金属トレースへの熱伝導性接続との間に介在する光反射材料は、50%を超える反射値を有する。
一実施例において、波長変換要素と、共通基板上の金属トレースへの熱伝導性接続との間に介在する光反射材料は、80%を超える反射値を有する。一実施例において、波長変換要素と、共通基板上の金属トレースへの熱伝導性接続との間に介在する光反射材料は、90%を超える反射値を有する。一実施例において、光ビーム成形要素は、低コストレーザダイオードと波長変換要素との間に配置される。
一実施例において、波長変換要素は、1つ以上の低コストレーザダイオードそれぞれに対してアライメントされた幾何学的構造を含む。一実施例において、波長変換要素は、共通基板および1つ以上の低コストレーザダイオードに対して垂直な縁部の主要部位上に光反射材料をさらに含み、低コストレーザダイオードそれぞれに対してアライメントされた幾何学的構造は、光反射材料を含まない。一実施例において、共通基板は、光学的に透明である。一実施例において、波長変換要素は、透明な共通基板へ部分的に取り付けられる。一実施例において、波長変換光は、共通基板を通じて方向付けられる。一実施例において、波長変換器は、少なくとも上面上に光反射材料を含む。一実施例において、1つ以上の低コストレーザダイオードおよび波長変換要素は、周囲環境への露出を低減するための密封要素内に含まれる。一実施例において、1つ以上の低コストレーザダイオードおよび波長変換要素は、周囲環境への露出を低減するための密封要素内に含まれる。
一実施例において、少なくともレーザベース光モジュールを含む固体照明要素は、ビーム成形要素を有する。一実施例において、ビーム成形要素が提供する光ビームにおいて、出射光のうち80%を超える部分が、30°の放出角度以内に含まれる。一実施例において、ビーム成形要素が提供する光ビームにおいて、出射光のうち80%を超える部分は好適には、10°の放出角度以内に含まれる。一実施例において、この形態は、既存のMR、PARおよびARlllランプに共通して受容される標準的な形状およびサイズ内にある。一実施例において、固体照明要素は、レーザベース光モジュールを電気的に励起する集積型の電子電源をさらに含む。一実施例において、固体照明要素は、共通して受容される基準内の入力電力を用いた集積型の電子電源をさらに含む。もちろん、他の変更例、改変例などもあり得る。
本明細書中用いられるように、用語「GaN基板」は、GaN、InGaN、AlGaNを含むIII族窒化物材料、または他のIII族含有合金、または出発材料として再利用される組成と関連付けられる。このような出発材料は、極性GaN基板を含む(すなわち、最大領域表面が名目的に(hk1)面であり、h=k=Qであり、1は非ゼロである基板)。
本明細書中用いられるように、用語「基板」は、GaN基板と、GaN、InGaN、AlGaNまたは他のIII族含有合金または出発材料として再利用される組成を表面上にエピタキシャル的に成長させることが可能な基板の両方に付随される。このような基板としては、例えばSiC、サファイア、シリコンおよびゲルマニウムを挙げることができる。基板は、GaAs、AlAs、InAs、GaP、A1P、InPまたは出発材料として再利用される他の類似のIII族含有合金または組成を表面上にエピタキシャル的に成長させることが可能な基板も指す。このような基板としては、例えばGaAs、GaP、GeおよびSiを挙げることができる。
本明細書中用いられる、用語「キャリア」または「キャリアウェーハ」は、エピタキシャルデバイス材料の移動先となるウェーハを指す。キャリアは、単一の材料によって構成してもよいし、あるいは単一の結晶または多結晶によって構成してもよい。キャリアは、複数の材料の複合材料であってもよい。例えば、キャリアは、標準的な寸法のシリコンウェーハであってもよいし、あるいは多結晶AINによって構成してもよい。
本明細書中用いられる、用語「サブマウント」は、パッケージング、ヒートシンクへの接合および電気接点を促進するためにレーザデバイスが接合される材料対象を指す。サブマウントは、基板、キャリアウェーハおよびパッケージまたはヒートシンクと別個である。
図示のように、本デバイスは、適切なパッケージ中に封入され得る。このようなパッケージは、TO−38およびTO−56ヘッダなどを含み得る。TO−9または光ファイバー結合が必要であり、さらに非標準的なパッケージングが用いられるフラットパックといった、他の適切なパッケージ設計および方法も存在し得る。特定の実施形態において、本デバイスは、コパッケージング構成で実行され得る。
他の実施形態において、本レーザデバイスは、多様な用途において構成することができる。このような用途を挙げると、レーザディスプレイ、計測、通信、ヘルスケアおよび外科手術、情報技術などがある。一実施例として、本レーザデバイスは、米国仮出願番号第61/182,105号(出願日:2009年5月29日)および米国仮出願番号第61/182,106号(出願日:2009年5月29日)への優先権を主張する米国出願号第12/789,303号(出願日:2010年5月27日)に記載のようなレーザディスプレイ中に設けられ得る。同文献それぞれは、参照として本明細書に含まれる。
上記において特定の実施形態について詳細に述べたが、多様な改変例、別の構成例および均等物が用いられ得る。一実施例として、パッケージングしてデバイスは、上記した要素および本明細書外のものの任意の組み合わせを含み得る。本明細書中で用いられるように、用語「基板」は、バルク基板を意味する場合もあれば、例えば、ガリウムおよび窒素含有エピタキシャル領域、またはn型GaNなどの機能領域、組み合わせといった被覆成長構造を含んでもよい。さらに、これらの例において、垂直構成における2つの導波路構造を例示しているが、例えば、他の角度および偏光といった変更例があり得る。
1. Holder, C, Speck, j. S., DenBaars, S. P., Nakamura, S. & Feezell, D. Demonstration of Nonpolar GaN-Based Vertical-Cavity Surface- Emitting Lasers. Appl. Phys. Express 5, 092104 (2012).
2. Tamboli, A. Photoelectrochemical etching of gallium nitride for high quality optical devices. (2009). at http:/7aclsabs.haiTard.edu/abs/2009PhDT 68T.
3. Yang, B. MICROM ACHINI G OF GaN USING PHOTOELECTROCHEMICAL ETCHING. (2005).
4. Sink, R. Cieaved-Facet Group-Ill Nitride Lasers. (2000). at
http://siliconphotonicsxce.ucsb.edu/sites/default/files/publicati Cleaved-Faced Group- Ill Nitride Lasers.PDF.
5. Bowers, J., Sink, R. & Denbaars, S. Method for making cleaved facets for lasers fabricated with gallium nitride and other noncubic materials. US Pat. 5,985,687 (1999). at http:/Avww.google.corn/patents?hl=en&lr=&vid= USPA I 598368 I &id =no8XAAAAEBAJ&oi=fnd& dq=Method+for+making+cleaved+facets+for+lasers+ fabricatcd+with+gallium+nitric+and+other+noncubic+materials&printsec=abstract
6. Holder, C. O., Feezell, D. F., Denbaars, S. P. & Nakamura, S. Method for the reuse of gallium nitride epitaxial substrates. (2012).
7. Hjort,K. Jour. Micromech. Microeng. 6 (1996) 370-375.

Claims (54)

  1. レーザダイオードデバイスを製造する方法であって、
    表面領域を有する基板を提供することと、
    前記表面領域を被覆するエピタキシャル材料を形成することであって、前記エピタキシャル材料は、n型クラッディング領域、前記n型クラッディング領域を被覆する1つ以上の活性層を含む活性領域、および前記活性層の領域を被覆するp型クラッディング領域を含む、ことと、
    前記エピタキシャル材料をパターニングして複数のダイスを形成することであって、前記ダイスはそれぞれ、1つ以上のレーザダイオードデバイスに対応する、ことと、
    前記複数のダイスそれぞれを1つ以上のキャリア基板へ移動させることと、
    前記複数のダイスのうち1つ以上を前記キャリア基板のうち1つ以上の上で処理することと、
    前記ダイを前記基板と共にパッケージングして、モジュールデバイスを構成すること。
    を含む、方法。
  2. 各ダイは、一対のダイス間の第1のピッチによって特徴付けられ、前記第1のピッチは設計幅未満であり、各対のダイスが各対のダイス間の第2のピッチで構成されるように、前記複数のダイスそれぞれを1つ以上のキャリア基板へ移動させ、前記第2のピッチは、前記設計幅に対応する前記第1のピッチよりも大きく、前記キャリア基板は、モジュールデバイスとなるように構成される、請求項1の方法。
  3. 各ダイはメサとして形状づけられ、各対のダイは、1μm〜10μmまたは10ミクロン〜50ミクロンの幅、または50μm〜100μmであり、長さが50μm〜3000μmである前記第1のピッチを有し、前記パターニングはエッチングプロセスを含む、請求項1の方法。
  4. 前記キャリア基板上の前記第2のピッチは、50ミクロン〜200ミクロンまたは200ミクロン〜500ミクロン、または500ミクロン〜1000ミクロン、または1000ミクロンを超える、請求項1の方法。
  5. 前記移動後に前記ダイそれぞれを処理して1つ以上のレーザデバイスを各ダイ上に形成することをさらに含むか、または1つまたは複数のレーザダイオードキャビティをエピタキシャル材料の各ダイ上に形成することをさらに含む、請求項1の方法。
  6. 前記キャリア基板を被覆する各対のダイスは、前記第2のピッチによって規定され、前記第2のピッチによって規定された空間を被覆する1つ以上のコンポーネントを形成することをさらに含み、前記1つ以上のコンポーネントは、接触領域または接合パッドから選択される、請求項1の方法。
  7. 前記レーザダイオードデバイスはそれぞれ、劈開プロセスまたはエッチングプロセスから構成された一対のファセットを含み、前記エッチングプロセスは、誘導結合プラズマエッチング、化学的促進型イオンビームエッチングまたは反応性イオンビームエッチングから選択される、請求項1の方法。
  8. 前記エピタキシャル材料は、GaN、AlN、InN、InGaN、AlGaN、InAlN、InAlGaN、AlAs、GaAs、GaP、InP、AlP、AlGaAs、AlInAs、InGaAs、AlGaP、AlInP、InGaP、AlInGaP、AlInGaAsまたはAlInGaAsPのうち1つ以上を含む、請求項1の方法。
  9. 前記エピタキシャル材料は、ガリウムおよび窒素を含有し、極性、非極性または半極性面上に成長される、請求項1の方法。
  10. 前記移動させることは、1つ以上のダイを前記キャリア基板へ選択的に接合させることを含み、前記ダイはそれぞれ、前記キャリア基板上の接合パッドへ構成され、前記キャリア基板は、前記基板よりも大きな直径を有し、前記接合はそれぞれ、金属−金属対、酸化物−酸化物対、スピンオンガラス、はんだ付け合金、ポリマー、フォトレジストまたはワックスのうち1つ以上であり、前記エピタキシャル材料の一部をそのまま保持しつつ、各ダイと関連付けられた剥離領域を分離させることにより、前記ダイそれぞれを解放することをさらに含む、請求項1の方法。
  11. 前記エピタキシャル材料は、GaN、AlN、InN、InGaN、AlGaN、InAlN、InAlGaNのうち1つ以上を含み、前記分離させることは、エピタキシャル材料の一部を含む前記剥離領域を、バンドギャップ選択的光電化学(PEC)エッチングを用いた選択的エッチングすることを含む、請求項8の方法。
  12. 前記エピタキシャル材料は、AlAs、GaAs、GaP、InP、A1P、AlGaAs、AlInAs、InGaAs、AlGaP、AlInP、InGaP、AlInGaP、AlInGaAsまたはAlInGaAsPのうち1つ以上を含み、前記分離させることは、エピタキシャル材料の一部を含む前記剥離領域を組成的に選択的なウェットエッチングを用いて選択的にエッチングすることを含む、請求項8の方法。
  13. 前記剥離領域は、AlGaAs、InGaAs、InGaAP、GaAs、InAlAs、InGaAlAs、InP、AlSb、GaAsSb、AlInP、AlInGaAs、AlInGaPまたはAlInGaAsPのうち1つ以上を含み、前記選択的エッチ化学的性質は、HF、HF:HO、HCl:HO、NHOH:H、コハク酸、NHOH、C:H、:HOまたはHF:HOO:HOのうち1つ以上を含む、請求項12の方法。
  14. 前記選択的エッチングは、一部をそのまま保持しつつ前記剥離領域のうち実質的に全てを選択的に除去して構造を提供した後、前記1つ以上のダイを選択的に接合させ、前記1つ以上のダイの接合後に分離するように構成される、請求項8の方法。
  15. 前記選択的エッチングは、前記ダイを支持するようにアンカー領域を無傷のまま放置しつつ、前記剥離領域を選択的に除去し、その後、前記1つ以上のダイの前記選択的接合が行われ、前記アンカー領域は、前記1つ以上のダイの前記選択的接合の後に分離する、請求項8の方法。
  16. 移動前に、露出した1つ以上のアンカー領域を放置しつつ、前記1つ以上のダイを被覆する金属材料を形成することをさらに含み、前記露出した1つ以上のアンカー領域は、選択的接合後に選択的に破壊され、前記ダイそれぞれから分離されるように構成される、請求項8の方法。
  17. 前記ダイはそれぞれ、1つ以上のコンポーネントを含み、前記1つ以上のコンポーネントは、電気接点、電流が流れる領域、光学的クラッディング領域、レーザリッジ、レーザリッジパッシベーション、または一対のファセットのうち1つ以上から選択されたものを単独で任意の組み合わせで含む、請求項1の方法。
  18. 前記基板は、前記複数のダイスそれぞれを1つ以上のキャリア基板へ移動させた後に再利用できるよう、再生および製造される、請求項1の方法。
  19. 前記レーザダイオードデバイスは、ガリウムおよび窒素を含有する、紫色、青色または緑色を放出するレーザダイオードデバイスであるか、または前記レーザダイオードデバイスは、ガリウムおよびヒ素を含有する、レーザダイオードデバイスである、請求項1の方法。
  20. 前記レーザダイオードデバイスは、赤色レーザダイオード、緑色レーザダイオードおよび青色レーザダイオードを含むRGBデバイスである、請求項1の方法。
  21. 前記レーザダイオードデバイスは、レーザダイオードのアレイを含む、請求項1の方法。
  22. レーザダイオードデバイスであって、
    キャリア基板から単一化されたキャリアチップと、
    基板から前記キャリア基板へ移動された1つ以上のエピタキシャル材料ダイであって、前記エピタキシャル材料は、n型クラッディング領域、前記n型クラッディング領域を被覆する1つ以上の活性層を含む活性領域、および前記活性層領域を被覆するp型クラッディング領域を含む、エピタキシャル材料ダイと、
    前記エピタキシャル材料ダイに形成された1つ以上のレーザダイオードストライプ領域と、
    を含む、デバイス。
  23. 前記レーザダイオードデバイスは、劈開プロセスまたはエッチングプロセスから構成された一対のファセットを含み、前記エッチングプロセスは、誘導結合プラズマエッチング、化学的促進型イオンビームエッチングまたは反応性イオンビームエッチングから選択される、請求項22のデバイス。
  24. 前記エピタキシャル材料は、GaN、AlN、InN、InGaN、AlGaN、InAlN、InAlGaNのうち1つ以上、またはAlAs、GaAs、GaP、InP、A1P、AlGaAs、AlInAs、InGaAs、AlGaP、AlInP、InGaP、AlInGaP、AlInGaAsおよびAlInGaAsPのうち1つ以上を含む、請求項22のデバイス。
  25. 前記キャリア基板の熱伝導率は、約150K/mWを超える、請求項22のデバイス。
  26. 前記キャリア基板は、炭化ケイ素、アルミニウム窒化物、酸化ベリリウム、金、銀、銅またはグラファイト、カーボンナノチューブまたはグラフェンまたはそれらの複合材料のうち1つ以上を含む、請求項22のデバイス。
  27. 前記キャリア基板は、単結晶シリコン、多結晶シリコン、サファイアまたは多結晶アルミニウム窒化物のうち1つ以上を含む、請求項22のデバイス。
  28. 電気的絶縁層は、前記キャリア基板をオーバーレイした状態で配置される、請求項22のデバイス。
  29. 前記ダイはそれぞれ、1つ以上のコンポーネントを含み、前記1つ以上のコンポーネントは、電気接点、電流が流れる領域、光学的クラッディング領域、レーザリッジ、レーザリッジパッシベーション、または一対のファセットのうち1つ以上をから選択されたものを単独で任意の組み合わせで含む、請求項22のデバイス。
  30. 接合パッドは、前記接合されたエピタキシャル材料と反対側の前記キャリアの側に配置され、前記レーザダイオードデバイスは、約1Wを超える光出力において動作することが可能な1つ以上のガリウムおよび窒素を含有する、紫色または青色発光レーザダイオードを含み、前記キャリア基板から得られた前記キャリアチップは、約150/mWを超える熱伝導率を有し、かつ炭化ケイ素、アルミニウム窒化物、酸化ベリリウム、金、銀、銅、またはグラファイト、カーボンナノチューブまたはグラフェンまたはそれらの複合材料のうち1つ以上を含み、前記光出力は、蛍光材料といった波長変換材料を励起するように構成される、請求項22のデバイス。
  31. 前記レーザダイオードデバイスは、1つ以上のガリウムおよび窒素を含有する、青色および緑色を放出するレーザダイオードと、1つ以上のガリウムおよびヒ素を含有する、赤色を放出するレーザダイオードとを含むRGBデバイスであり、前記青色、緑色および赤色を放出するレーザダイオードはそれぞれ、100mW未満の出力、50mW未満の出力および10mW未満の出力で動作可能であり、前記キャリア基板から得られた前記キャリアチップはシリコンを含む、請求項22のデバイス。
  32. 前記キャリアチップは、前記パッケージへ直接接合するように構成される、請求項22のデバイス。
  33. レーザダイオードデバイスを含む照明デバイスを製造する方法であって、
    表面領域を有する基板を提供することと、
    前記表面領域を被覆するエピタキシャル材料を形成することであって、前記エピタキシャル材料は、n型クラッディング領域、前記n型クラッディング領域を被覆する1つ以上の活性層を含む活性領域、および前記活性層の領域を被覆するp型クラッディング領域を含む、ことと、
    前記エピタキシャル材料をパターニングして複数のダイスを形成することであって、前記ダイスはそれぞれ、1つ以上のレーザダイオードデバイスに対応する、ことと、
    前記複数のダイスそれぞれを1つ以上のキャリア基板へ移動させることと、
    前記複数のダイスのうち1つ以上を前記キャリア基板のうち1つ以上の上で処理することと、
    前記ダイを前記キャリア基板と共にパッケージングすることと、
    白色光スペクトルの電磁放射を出射するように、前記ダイを前記ダイに光学的に結合された波長変換要素と共に構成することであって、前記電磁放射は、前記波長変換要素によって部分的に変換されるかまたは前記波長変換要素によって完全に変換される、ことと、
    を含む、方法。
  34. 前記複数のダイスそれぞれを移動させることは、第1のピッチから第2のピッチの空間スペーシングを前記1つ以上のキャリア基板上の少なくとも一対のダイス間に構成する、請求項33の方法。
  35. 前記ダイは、前記キャリア基板上のアレイ内に配置された複数のダイのうち1つであり、前記ダイは、440nm〜460nmの波長を放出するように構成され、前記波長変換要素は蛍光材料である、請求項33の方法。
  36. 前記波長変換要素は、蛍光体、ガーネットホスト材料およびドーピング要素を含む、請求項33の方法。
  37. 前記波長変換要素は、蛍光体、イットリウムアルミニウムガーネットホスト材料、および希土類ドーピング要素を含む、請求項33の方法。
  38. 前記波長変換要素は、蛍光体と、Ce、Nd、Er、Yb、Ho、Tm、DyおよびSmおよびそれらの組み合わせのうち1つ以上から選択された希土類ドーピング要素とを含む、請求項33の方法。
  39. 前記波長変換要素は、高純度ホスト結晶の90%を超える密度を有する高密度蛍光要素を含む、請求項33の方法。
  40. 前記波長変換要素は、前記ダイに対して整列された幾何学的フィーチャと共に構成され、基板部材の近傍に光反射材料をさらに含み、前記基板部材は、電磁放射の透過のために光学的に透明である、請求項33の方法。
  41. 前記パッケージングは、周囲環境への露出から前記ダイを封入するための密封要素を含む、請求項33の方法。
  42. 光ビームを提供するように構成されたビーム成形要素へ前記ダイを結合させることをさらに含み、放出光のうち80%を超える部分は、30°の放出角度内に含まれ、または、前記ビーム成形要素は、放出光のうち80%が好適には10°の放出角度内に含まれる光ビームを提供する、請求項33の方法。
  43. 前記パッケージングは、MR型、PAR型またはAR111型のランプのために構成され、前記ダイを集積型の電子電源へ構成することをさらに含む、請求項33の方法。
  44. 光学装置であって、
    サブマウントデバイスの表面領域上の界面領域でサブマウントデバイスへ接合されたエピタキシャル成長材料であって、前記エピタキシャル成長材料は、10ミクロン未満かつ0.5ミクロンを超える厚さによって特徴付けられ、前記エピタキシャル材料が表面上に成長された基板から除去される、エピタキシャル成長材料と、
    前記エピタキシャル成長材料から構成された1つ以上のレーザデバイスであって、前記1つのレーザデバイスは、前記エピタキシャル成長材料に製造されたレーザリッジを含む、1つ以上のレーザデバイスと、
    単一化されたキャリアから前記サブマウントデバイスを提供するように構成された前記サブマウントデバイスの周辺領域であって、前記周辺領域は、ソーイング、スクライビングおよび破壊または劈開プロセスから構成される、周辺領域と、
    少なくとも一対の接合パッドであって、前記少なくとも一対の接合パッドは、前記レーザデバイスへ電気的に接続するように前記サブマウントデバイス上に構成され、かつ前記レーザデバイス中へ電流を注入するように構成される、少なくとも一対の接合パッドと、
    を含む、装置。
  45. 前記レーザデバイスと共に構成された用途をさらに含み、前記用途は、電球、ディスプレイまたは別の用途から選択される、請求項44の装置。
  46. 前記エピタキシャル成長材料は、ガリウムおよび窒素を含有する材料を含み、前記界面領域は、接合材料の接合領域を含む、請求項44の装置。
  47. 前記エピタキシャル成長材料、レーザデバイスおよびサブマウントは、モジュールデバイス内に構成される、請求項44の装置。
  48. 48.光学装置であって、
    表面領域を含む共通キャリア部材と、
    ガリウムおよびヒ素を含有する基板部材上に構成され、前記表面領域の第1の部分に移動された赤色を放出するAlInGaAsPエピタキシャルレーザ構造(赤色)または前記共通キャリア部材の前記表面領域に形成された赤色を放出するAlInGaAsPエピタキシャルレーザ構造と、
    ガリウムおよび窒素を含有する基板部材上に構成され、前記表面領域の第2の部分に移動された緑色を放出する、ガリウムおよび窒素を含有するレーザエピタキシャル構造(緑色)と、
    ガリウムおよび窒素を含有する基板部材上に構成され、前記表面領域の第3の部分に移動された青色を放出する、ガリウムおよび窒素を含有するレーザエピタキシャル構造(青色)と、
    導波路領域、ファセット領域および接触領域を形成するように、前記RED、緑色および青色の処理を介して前記RED、緑色および青色からそれぞれ構成された赤色レーザデバイス(REDレーザ)、緑色レーザデバイス(緑色レーザ)および青色レーザデバイス(青色レーザ)と、
    を含む、装置。
  49. 前記REDレーザの構成後に前記共通キャリア部材上に処置された第1の接続構造と、
    前記GREENレーザの構成および移動後に前記共通キャリア部材上に処理された第2の接続構造と、
    前記青色レーザの構成および移動後に前記共通キャリア部材上に処理された第3の接続構造と、
    前記緑色レーザ、青色レーザ、およびREDレーザ(総合して「RGBレーザ」)を収容するように構成されたモジュールハウジングと、
    をさらに含む、請求項48の装置。
  50. 前記モジュールハウジングは、プロジェクションディスプレイ装置またはレーザディスプレイと共に構成される、請求項48の装置。
  51. 前記レーザデバイスはそれぞれ、10〜100ミクロンのピッチ内にある、請求項48の装置。
  52. 少なくとも前記青色および緑色は、p側下方構成を用いて前記共通キャリア部材へ接合され、前記レーザデバイスはそれぞれ、前記共通キャリア部材に設けられた共通p型電極を用いて相互に接合され、さらに分離したn型電極のための領域を露出させつつ前記レーザデバイスそれぞれを被覆する電気的なパッシベーション材料をさらに含む、請求項48の装置。
  53. 前記レーザデバイスはそれぞれ、レーザスペックルを低減させるように構成され、前記RGBレーザはそれぞれ、個別にアドレス可能である、請求項48の装置。
  54. 前記RGBレーザはそれぞれ、共通光学要素へ接続される、請求項48の装置。

JP2016551207A 2014-02-10 2015-02-05 製造可能なレーザダイオード Active JP6783659B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US14/176,403 US9362715B2 (en) 2014-02-10 2014-02-10 Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US14/176,403 2014-02-10
US14/312,427 US9379525B2 (en) 2014-02-10 2014-06-23 Manufacturable laser diode
US14/312,427 2014-06-23
US14/600,506 2015-01-20
US14/600,506 US9520697B2 (en) 2014-02-10 2015-01-20 Manufacturable multi-emitter laser diode
PCT/US2015/014567 WO2015120118A1 (en) 2014-02-10 2015-02-05 Manufacturable laser diode

Publications (3)

Publication Number Publication Date
JP2017506824A true JP2017506824A (ja) 2017-03-09
JP2017506824A5 JP2017506824A5 (ja) 2018-07-26
JP6783659B2 JP6783659B2 (ja) 2020-11-11

Family

ID=53775778

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016551207A Active JP6783659B2 (ja) 2014-02-10 2015-02-05 製造可能なレーザダイオード

Country Status (6)

Country Link
US (4) US9520697B2 (ja)
EP (1) EP3105829B1 (ja)
JP (1) JP6783659B2 (ja)
KR (1) KR102300094B1 (ja)
CN (1) CN106165218B (ja)
WO (1) WO2015120118A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020013886A (ja) * 2018-07-18 2020-01-23 株式会社東芝 発電素子、発電モジュール、発電装置及び発電システム
JP2021502711A (ja) * 2017-12-15 2021-01-28 オスラム オーエルイーディー ゲゼルシャフト ミット ベシュレンクテル ハフツングOSRAM OLED GmbH オプトエレクトロニクス半導体部品を製造する方法およびオプトエレクトロニクス半導体部品
JPWO2021215431A1 (ja) * 2020-04-24 2021-10-28
WO2023153358A1 (ja) * 2022-02-10 2023-08-17 京セラ株式会社 レーザ素子の製造方法および製造装置
WO2023238923A1 (ja) * 2022-06-09 2023-12-14 京セラ株式会社 半導体レーザデバイスの製造方法および製造装置
US11990728B2 (en) 2020-05-26 2024-05-21 Nichia Corporation Light emitting device

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013134432A1 (en) * 2012-03-06 2013-09-12 Soraa, Inc. Light emitting diodes with low refractive index material layers to reduce light guiding effects
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
JP6176069B2 (ja) * 2013-11-13 2017-08-09 住友電気工業株式会社 Iii族窒化物複合基板およびその製造方法、積層iii族窒化物複合基板、ならびにiii族窒化物半導体デバイスおよびその製造方法
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US20160218246A1 (en) * 2015-01-28 2016-07-28 Nthdegree Technologies Worldwide Inc. EPITAXIAL TRANSPARENT CONDUCTIVE OXIDE ELECTRODES FOR GaN LEDS
US10950747B2 (en) 2015-07-01 2021-03-16 Sensor Electronic Technology, Inc. Heterostructure for an optoelectronic device
KR102066928B1 (ko) * 2015-07-01 2020-01-16 센서 일렉트로닉 테크놀로지, 인크 기판 구조체 제거
US9966260B1 (en) * 2015-09-25 2018-05-08 Apple Inc. Surface modification process for laser application
US9997391B2 (en) * 2015-10-19 2018-06-12 QROMIS, Inc. Lift off process for chip scale package solid state devices on engineered substrate
US10120133B2 (en) * 2015-12-18 2018-11-06 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
DE102016100565B4 (de) * 2016-01-14 2022-08-11 Infineon Technologies Ag Verfahren zum herstellen einer halbleitervorrichtung
EP3455911A4 (en) * 2016-05-11 2020-04-15 Skorpios Technologies, Inc. PREPARATION AND INTEGRATION OF III-V CHIPS IN A SILICON PHOTONIC
KR101908915B1 (ko) * 2016-06-10 2018-10-18 크루셜머신즈 주식회사 릴-투-릴 레이저 리플로우 방법
US10903319B2 (en) * 2016-06-15 2021-01-26 Nanomedical Diagnostics, Inc. Patterning graphene with a hard mask coating
TWI609540B (zh) * 2016-07-18 2017-12-21 可提升使用效能的面射型雷射
US10134950B2 (en) 2016-08-18 2018-11-20 Genesis Photonics Inc. Micro light emitting diode and manufacturing method thereof
US10177113B2 (en) * 2016-08-18 2019-01-08 Genesis Photonics Inc. Method of mass transferring electronic device
WO2018098075A1 (en) * 2016-11-22 2018-05-31 Veeco Instruments Inc. Thickness uniformity control for epitaxially-grown structures in a chemical vapor deposition system
US10395164B2 (en) * 2016-12-15 2019-08-27 Fingerprint Cards Ab Fingerprint sensing module and method for manufacturing the fingerprint sensing module
US11610429B2 (en) * 2016-12-15 2023-03-21 Fingerprint Cards Anacatum Ip Ab Fingerprint sensing module and method for manufacturing the fingerprint sensing module
US11023702B2 (en) * 2016-12-15 2021-06-01 Fingerprint Cards Ab Fingerprint sensing module and method for manufacturing the fingerprint sensing module
DE102016125430A1 (de) * 2016-12-22 2018-06-28 Osram Opto Semiconductors Gmbh Oberflächenmontierbarer Halbleiterlaser, Anordnung mit einem solchen Halbleiterlaser und Betriebsverfahren hierfür
JP7088937B2 (ja) 2016-12-30 2022-06-21 イノビュージョン インコーポレイテッド 多波長ライダー設計
US10593843B2 (en) * 2017-02-28 2020-03-17 Nichia Corporation Method of manufacturing optical component
US10771155B2 (en) * 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
WO2019066936A1 (en) * 2017-09-29 2019-04-04 Intel Corporation LIGHT-EMITTING DEVICES HAVING QUANTUM WELL STRUCTURES ON SEMI-POLAR OR NON-POLAR CRYSTALLINE PLANS
WO2019066955A1 (en) 2017-09-29 2019-04-04 Intel Corporation LATERAL EPITAXIAL OVERCROWTH IN MULTIPLE STEPS OF III-N FILMS WITH LOW DENSITY OF DEFECTS
WO2019066977A1 (en) 2017-09-29 2019-04-04 Intel Corporation FIRST-LEVEL THIN-LEVEL INTERCONNECTIONS DEFINED BY AUTOCATALYTIC METAL FOR LITHOGRAPHIC INTERCONNECTION HOLES
US20200026080A1 (en) * 2017-11-28 2020-01-23 North Inc. Wavelength combiner photonic integrated circuit with edge coupling of lasers
TWD191816S (zh) 2017-12-12 2018-07-21 新世紀光電股份有限公司 發光二極體晶片
CN108266651A (zh) * 2017-12-25 2018-07-10 浙江中宙光电股份有限公司 一种多功能led灯泡
WO2019164961A1 (en) 2018-02-21 2019-08-29 Innovusion Ireland Limited Lidar systems with fiber optic coupling
US20190265339A1 (en) * 2018-02-23 2019-08-29 Innovusion Ireland Limited Distributed lidar systems
US11387212B2 (en) 2018-03-14 2022-07-12 Boe Technology Group Co., Ltd. Method of transferring a plurality of micro light emitting diodes to a target substrate, array substrate and display apparatus thereof
US11231569B2 (en) * 2018-06-13 2022-01-25 Panasonic Corporation Light-emitting device and illumination device
TWI780167B (zh) * 2018-06-26 2022-10-11 晶元光電股份有限公司 半導體基底以及半導體元件
TWI785106B (zh) * 2018-08-28 2022-12-01 晶元光電股份有限公司 半導體裝置
CN112912995A (zh) * 2018-10-19 2021-06-04 康宁股份有限公司 包括通孔的装置及用于制造通孔的方法和材料
US11152553B2 (en) * 2019-01-15 2021-10-19 Seoul Viosys Co., Ltd. Light emitting device package and display device having the same
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
US11195973B1 (en) * 2019-05-17 2021-12-07 Facebook Technologies, Llc III-nitride micro-LEDs on semi-polar oriented GaN
US11175447B1 (en) 2019-08-13 2021-11-16 Facebook Technologies, Llc Waveguide in-coupling using polarized light emitting diodes
EP4038221A4 (en) * 2019-10-04 2023-09-20 Alliance for Sustainable Energy, LLC <SUP2/>? <SUB2/>?X?ALGAE GROWTH<NS1:SUB>1-X</NS1:SUB>?AS, AL <NS2:SUB>0.5</NS2:SUB>?IN <NS3:SUB>0.5</NS3: SUB>?P, AND AL <NS4:SUB>X</NS4:SUB>? GA <NS5:SUB>(0.5-X</NS5:SUB>?)IN <NS6:SUB>0.5</NS6:SUB>?P BY HYDRIDE VAPOR PHASE EPITAXY USING AN EXTERNAL ALCL <NS7:SUB >3
KR102360325B1 (ko) * 2019-11-14 2022-02-10 (주)라이타이저 디스플레이 장치의 제조 방법 및 그 방법에 의해 제조되는 디스플레이 장치
CN113295306B (zh) * 2021-04-27 2022-12-30 西安交通大学 一种压阻梁应力集中微压传感器芯片及其制备方法
US11899211B2 (en) 2021-06-24 2024-02-13 Microsoft Technology Licensing, Llc Pulse-modulated laser-based near-eye display
WO2022271329A1 (en) * 2021-06-24 2022-12-29 Microsoft Technology Licensing, Llc Spectrally diverse laser-based near-eye display
US11656467B2 (en) 2021-06-24 2023-05-23 Microsoft Technology Licensing, Llc Compact laser-based near-eye display
CN113410375B (zh) * 2021-06-30 2022-04-29 深圳可思美科技有限公司 一种用于脱毛的led光源模组与led脱毛仪

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710057A (en) * 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
JPH11135891A (ja) * 1997-10-31 1999-05-21 Matsushita Electric Ind Co Ltd 半導体発光素子およびその製造方法、発光素子付き光ファイバ、光通信モジュールならびに光通信システム
JP2000228565A (ja) * 1999-02-08 2000-08-15 Toshiba Corp 窒化物系半導体レーザ装置及びその製造方法
JP2002015965A (ja) * 2000-06-27 2002-01-18 Kyocera Corp 半導体基板の製造方法および半導体基板
JP2007200932A (ja) * 2006-01-23 2007-08-09 Rohm Co Ltd 窒化物半導体素子の製造方法
JP2008135418A (ja) * 2006-10-27 2008-06-12 Canon Inc 発光素子の形成方法
JP2008252069A (ja) * 2007-03-06 2008-10-16 Sanyo Electric Co Ltd 半導体レーザ素子の製造方法および半導体レーザ素子
JP2009123939A (ja) * 2007-11-15 2009-06-04 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
JP2011009521A (ja) * 2009-06-26 2011-01-13 Fujitsu Ltd 半導体装置及びその製造方法
JP2011204983A (ja) * 2010-03-26 2011-10-13 Sanyo Electric Co Ltd 集積型半導体レーザ装置の製造方法
US20130214284A1 (en) * 2012-02-17 2013-08-22 The Regents Of The University Of California Method for the reuse of gallium nitride epitaxial substrates

Family Cites Families (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPH0351287Y2 (ja) 1986-03-05 1991-11-01
FR2596070A1 (fr) 1986-03-21 1987-09-25 Labo Electronique Physique Dispositif comprenant un suscepteur plan tournant parallelement a un plan de reference autour d'un axe perpendiculaire a ce plan
US4911102A (en) 1987-01-31 1990-03-27 Toyoda Gosei Co., Ltd. Process of vapor growth of gallium nitride and its apparatus
US5334277A (en) 1990-10-25 1994-08-02 Nichia Kagaky Kogyo K.K. Method of vapor-growing semiconductor crystal and apparatus for vapor-growing the same
US5157466A (en) 1991-03-19 1992-10-20 Conductus, Inc. Grain boundary junctions in high temperature superconductor films
JP3148004B2 (ja) 1992-07-06 2001-03-19 株式会社東芝 光cvd装置及びこれを用いた半導体装置の製造方法
US5474021A (en) 1992-09-24 1995-12-12 Sumitomo Electric Industries, Ltd. Epitaxial growth of diamond from vapor phase
US5578839A (en) 1992-11-20 1996-11-26 Nichia Chemical Industries, Ltd. Light-emitting gallium nitride-based compound semiconductor device
US5331654A (en) 1993-03-05 1994-07-19 Photonics Research Incorporated Polarized surface-emitting laser
JPH06267846A (ja) 1993-03-10 1994-09-22 Canon Inc ダイヤモンド電子装置およびその製造法
WO1995004652A1 (en) 1993-08-06 1995-02-16 Minnesota Mining And Manufacturing Company Multilayered tubing
JP3623001B2 (ja) 1994-02-25 2005-02-23 住友電気工業株式会社 単結晶性薄膜の形成方法
JPH07254732A (ja) 1994-03-15 1995-10-03 Toshiba Corp 半導体発光装置
US5821555A (en) 1995-03-27 1998-10-13 Kabushiki Kaisha Toshiba Semicoductor device having a hetero interface with a lowered barrier
US5985687A (en) 1996-04-12 1999-11-16 The Regents Of The University Of California Method for making cleaved facets for lasers fabricated with gallium nitride and other noncubic materials
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5951923A (en) 1996-05-23 1999-09-14 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5760484A (en) 1997-02-11 1998-06-02 Mosel Vitelic Inc. Alignment mark pattern for semiconductor process
DE69834415T2 (de) 1997-03-07 2006-11-16 Sharp K.K. Lichtemittierendes galliumnitridhalbleiterelement mit einer aktiven schicht mit multiplexquantentrogstruktur und halbleiterlaserlichtquellenvorrichtung
US6069394A (en) 1997-04-09 2000-05-30 Matsushita Electronics Corporation Semiconductor substrate, semiconductor device and method of manufacturing the same
ATE550461T1 (de) 1997-04-11 2012-04-15 Nichia Corp Wachstumsmethode für einen nitrid-halbleiter
US5926493A (en) 1997-05-20 1999-07-20 Sdl, Inc. Optical semiconductor device with diffraction grating structure
JP3653169B2 (ja) 1998-01-26 2005-05-25 シャープ株式会社 窒化ガリウム系半導体レーザ素子
US20030135414A1 (en) 1998-02-19 2003-07-17 Tai Roland D. Promotion processor and management system
US6147953A (en) 1998-03-25 2000-11-14 Duncan Technologies, Inc. Optical signal transmission apparatus
US6108937A (en) 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6785447B2 (en) 1998-10-09 2004-08-31 Fujitsu Limited Single and multilayer waveguides and fabrication process
JP2000138168A (ja) 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
JP2000209705A (ja) 1999-01-13 2000-07-28 Shinko Electric Co Ltd 無人搬送車システム
DE60043536D1 (de) 1999-03-04 2010-01-28 Nichia Corp Nitridhalbleiterlaserelement
US6239454B1 (en) 1999-05-10 2001-05-29 Lucent Technologies Inc. Net strain reduction in integrated laser-modulator
EP1215730B9 (en) 1999-09-07 2007-08-01 Sixon Inc. SiC WAFER, SiC SEMICONDUCTOR DEVICE AND PRODUCTION METHOD OF SiC WAFER
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
AU2001247240A1 (en) 2000-03-01 2001-09-12 Heraeus Amersil, Inc. Method, apparatus, and article of manufacture for determining an amount of energy needed to bring a quartz workpiece to a fusion weldable condition
EP1306944B1 (en) 2000-06-08 2007-07-04 Nichia Corporation Semiconductor laser device, and method of manufacturing the same
US6586762B2 (en) 2000-07-07 2003-07-01 Nichia Corporation Nitride semiconductor device with improved lifetime and high output power
US6680959B2 (en) 2000-07-18 2004-01-20 Rohm Co., Ltd. Semiconductor light emitting device and semiconductor laser
US7053413B2 (en) 2000-10-23 2006-05-30 General Electric Company Homoepitaxial gallium-nitride-based light emitting device and method for producing
US6635904B2 (en) 2001-03-29 2003-10-21 Lumileds Lighting U.S., Llc Indium gallium nitride smoothing structures for III-nitride devices
US6489636B1 (en) 2001-03-29 2002-12-03 Lumileds Lighting U.S., Llc Indium gallium nitride smoothing structures for III-nitride devices
US6872635B2 (en) 2001-04-11 2005-03-29 Sony Corporation Device transferring method, and device arraying method and image display unit fabricating method using the same
US6939730B2 (en) 2001-04-24 2005-09-06 Sony Corporation Nitride semiconductor, semiconductor device, and method of manufacturing the same
US6734530B2 (en) 2001-06-06 2004-05-11 Matsushita Electric Industries Co., Ltd. GaN-based compound semiconductor EPI-wafer and semiconductor element using the same
JP3639807B2 (ja) 2001-06-27 2005-04-20 キヤノン株式会社 光学素子及び製造方法
US6379985B1 (en) 2001-08-01 2002-04-30 Xerox Corporation Methods for cleaving facets in III-V nitrides grown on c-face sapphire substrates
JP3785970B2 (ja) 2001-09-03 2006-06-14 日本電気株式会社 Iii族窒化物半導体素子の製造方法
US7023019B2 (en) 2001-09-03 2006-04-04 Matsushita Electric Industrial Co., Ltd. Light-emitting semiconductor device, light-emitting system and method for fabricating light-emitting semiconductor device
US7303630B2 (en) 2003-11-05 2007-12-04 Sumitomo Electric Industries, Ltd. Method of growing GaN crystal, method of producing single crystal GaN substrate, and single crystal GaN substrate
US8133322B2 (en) 2001-09-29 2012-03-13 Cree, Inc. Apparatus for inverted multi-wafer MOCVD fabrication
US6833564B2 (en) 2001-11-02 2004-12-21 Lumileds Lighting U.S., Llc Indium gallium nitride separate confinement heterostructure light emitting devices
JPWO2003058726A1 (ja) 2001-12-28 2005-05-19 サンケン電気株式会社 半導体発光素子、発光表示体、半導体発光素子の製造方法及び発光表示体の製造方法
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
JP2003218034A (ja) 2002-01-17 2003-07-31 Sony Corp 選択成長方法、半導体発光素子及びその製造方法
JP2003273464A (ja) 2002-03-19 2003-09-26 Mitsubishi Electric Corp リッジ導波路型半導体レーザ装置
JP3898537B2 (ja) 2002-03-19 2007-03-28 日本電信電話株式会社 窒化物半導体の薄膜形成方法および窒化物半導体発光素子
US7063741B2 (en) 2002-03-27 2006-06-20 General Electric Company High pressure high temperature growth of crystalline group III metal nitrides
JP4250904B2 (ja) 2002-04-08 2009-04-08 パナソニック株式会社 半導体の製造方法
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US6927382B2 (en) 2002-05-22 2005-08-09 Agilent Technologies Optical excitation/detection device and method for making same using fluidic self-assembly techniques
US6995032B2 (en) 2002-07-19 2006-02-07 Cree, Inc. Trench cut light emitting diodes and methods of fabricating same
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
TW560120B (en) 2002-09-20 2003-11-01 Chung Shan Inst Of Science Nitride based semiconductor laser diode device including a selective growth mask
US6809781B2 (en) 2002-09-24 2004-10-26 General Electric Company Phosphor blends and backlight sources for liquid crystal displays
US7009199B2 (en) 2002-10-22 2006-03-07 Cree, Inc. Electronic devices having a header and antiparallel connected light emitting diodes for producing light from AC current
TWI233154B (en) 2002-12-06 2005-05-21 Soitec Silicon On Insulator Method for recycling a substrate
JP2004241570A (ja) 2003-02-05 2004-08-26 Fujitsu Ltd 半導体レーザ
US7068905B2 (en) 2003-03-12 2006-06-27 Daryoosh Vakhshoori Extended optical bandwidth semiconductor source
WO2004084275A2 (en) 2003-03-18 2004-09-30 Crystal Photonics, Incorporated Method for making group iii nitride devices and devices produced thereby
JP2004304111A (ja) 2003-04-01 2004-10-28 Sharp Corp 多波長レーザ装置
US7187185B2 (en) 2004-09-29 2007-03-06 Loadstar Sensors Inc Area-change sensing through capacitive techniques
US7118781B1 (en) 2003-04-16 2006-10-10 Cree, Inc. Methods for controlling formation of deposits in a deposition system and deposition methods including the same
WO2004111297A1 (ja) 2003-06-10 2004-12-23 Tokyo Electron Limited 処理ガス供給機構、成膜装置および成膜方法
US6913985B2 (en) 2003-06-20 2005-07-05 Oki Data Corporation Method of manufacturing a semiconductor device
JP4229005B2 (ja) 2003-06-26 2009-02-25 住友電気工業株式会社 GaN基板及びその製造方法、並びに窒化物半導体素子
JP4011569B2 (ja) 2003-08-20 2007-11-21 株式会社東芝 半導体発光素子
US7009215B2 (en) 2003-10-24 2006-03-07 General Electric Company Group III-nitride based resonant cavity light emitting devices fabricated on single crystal gallium nitride substrates
US7128849B2 (en) 2003-10-31 2006-10-31 General Electric Company Phosphors containing boron and metals of Group IIIA and IIIB
US7384481B2 (en) 2003-12-29 2008-06-10 Translucent Photonics, Inc. Method of forming a rare-earth dielectric layer
JP4279698B2 (ja) 2004-01-30 2009-06-17 シャープ株式会社 Led素子の駆動方法及び駆動装置、照明装置並びに表示装置
US20050285128A1 (en) 2004-02-10 2005-12-29 California Institute Of Technology Surface plasmon light emitter structure and method of manufacture
JP4830315B2 (ja) 2004-03-05 2011-12-07 日亜化学工業株式会社 半導体レーザ素子
US7408201B2 (en) 2004-03-19 2008-08-05 Philips Lumileds Lighting Company, Llc Polarized semiconductor light emitting device
US20070242716A1 (en) 2004-03-19 2007-10-18 Arizona Board Of Regents, A Body Corporation Acting On Behalf Of Arizona State University High Power Vcsels With Transverse Mode Control
JP4671617B2 (ja) 2004-03-30 2011-04-20 三洋電機株式会社 集積型半導体レーザ素子
CN2689539Y (zh) 2004-04-02 2005-03-30 华中科技大学 一种脊型波导偏振无关半导体光放大器
US8035113B2 (en) 2004-04-15 2011-10-11 The Trustees Of Boston University Optical devices featuring textured semiconductor layers
DE102004021233A1 (de) 2004-04-30 2005-12-01 Osram Opto Semiconductors Gmbh Leuchtdiodenanordnung
US7846757B2 (en) 2005-06-01 2010-12-07 The Regents Of The University Of California Technique for the growth and fabrication of semipolar (Ga,A1,In,B)N thin films, heterostructures, and devices
EP1598681A3 (de) 2004-05-17 2006-03-01 Carl Zeiss SMT AG Optische Komponente mit gekrümmter Oberfläche und Mehrlagenbeschichtung
US20080149949A1 (en) 2006-12-11 2008-06-26 The Regents Of The University Of California Lead frame for transparent and mirrorless light emitting diodes
US8227820B2 (en) 2005-02-09 2012-07-24 The Regents Of The University Of California Semiconductor light-emitting device
US7858996B2 (en) 2006-02-17 2010-12-28 The Regents Of The University Of California Method for growth of semipolar (Al,In,Ga,B)N optoelectronic devices
US7361938B2 (en) 2004-06-03 2008-04-22 Philips Lumileds Lighting Company Llc Luminescent ceramic for a light emitting device
WO2005124859A2 (en) 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US7288679B2 (en) 2004-08-06 2007-10-30 Agfa-Gevaert Device provided with a dedicated dye compound
TWI241036B (en) 2004-08-18 2005-10-01 Formosa Epitaxy Inc GaN LED structure with enhanced light emitting luminance
JP2006108435A (ja) 2004-10-06 2006-04-20 Sumitomo Electric Ind Ltd 窒化物半導体ウエハ
US7550395B2 (en) 2004-11-02 2009-06-23 The Regents Of The University Of California Control of photoelectrochemical (PEC) etching by modification of the local electrochemical potential of the semiconductor structure relative to the electrolyte
US7858408B2 (en) 2004-11-15 2010-12-28 Koninklijke Philips Electronics N.V. LED with phosphor tile and overmolded phosphor in lens
US7751455B2 (en) 2004-12-14 2010-07-06 Palo Alto Research Center Incorporated Blue and green laser diodes with gallium nitride or indium gallium nitride cladding laser structure
US7358542B2 (en) 2005-02-02 2008-04-15 Lumination Llc Red emitting phosphor materials for use in LED and LCD applications
US7932111B2 (en) 2005-02-23 2011-04-26 Cree, Inc. Substrate removal process for high light extraction LEDs
JP2006270028A (ja) 2005-02-25 2006-10-05 Mitsubishi Electric Corp 半導体発光素子
EP2315253A1 (en) 2005-03-10 2011-04-27 The Regents of the University of California Technique for the growth of planar semi-polar gallium nitride
WO2006099211A2 (en) 2005-03-11 2006-09-21 Ponce Fernando A Solid state light emitting device
US7483466B2 (en) 2005-04-28 2009-01-27 Canon Kabushiki Kaisha Vertical cavity surface emitting laser device
US7574791B2 (en) 2005-05-10 2009-08-18 Hitachi Global Storage Technologies Netherlands B.V. Method to fabricate side shields for a magnetic sensor
US7358543B2 (en) 2005-05-27 2008-04-15 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Light emitting device having a layer of photonic crystals and a region of diffusing material and method for fabricating the device
TWI377602B (en) 2005-05-31 2012-11-21 Japan Science & Tech Agency Growth of planar non-polar {1-100} m-plane gallium nitride with metalorganic chemical vapor deposition (mocvd)
US20060288928A1 (en) 2005-06-10 2006-12-28 Chang-Beom Eom Perovskite-based thin film structures on miscut semiconductor substrates
US8148713B2 (en) 2008-04-04 2012-04-03 The Regents Of The University Of California Method for fabrication of semipolar (Al, In, Ga, B)N based light emitting diodes
DE102005061828B4 (de) 2005-06-23 2017-05-24 Osram Opto Semiconductors Gmbh Wellenlängenkonvertierendes Konvertermaterial, lichtabstrahlendes optisches Bauelement und Verfahren zu dessen Herstellung
US20070081857A1 (en) 2005-10-07 2007-04-12 Yoon Jung H Four parts manhole enabling an easy install and height adjustment
US20070086916A1 (en) 2005-10-14 2007-04-19 General Electric Company Faceted structure, article, sensor device, and method
US7508466B2 (en) 2005-11-14 2009-03-24 Cree, Inc. Laser diode backlighting of LC display with at least one diode generating light beam having divergence angle and with display panel having beam spreader to increase divergence
JP4879563B2 (ja) 2005-11-16 2012-02-22 パナソニック株式会社 Iii族窒化物半導体発光装置
JP4954536B2 (ja) 2005-11-29 2012-06-20 ローム株式会社 窒化物半導体発光素子
US8435879B2 (en) 2005-12-12 2013-05-07 Kyma Technologies, Inc. Method for making group III nitride articles
JP5191650B2 (ja) 2005-12-16 2013-05-08 シャープ株式会社 窒化物半導体発光素子および窒化物半導体発光素子の製造方法
JP4534978B2 (ja) 2005-12-21 2010-09-01 トヨタ自動車株式会社 半導体薄膜製造装置
EP1965416A3 (en) 2005-12-22 2009-04-29 Freiberger Compound Materials GmbH Free-Standing III-N layers or devices obtained by selective masking of III-N layers during III-N layer growth
US8044412B2 (en) 2006-01-20 2011-10-25 Taiwan Semiconductor Manufacturing Company, Ltd Package for a light emitting element
EP1982351A4 (en) 2006-01-20 2010-10-20 Univ California PROCESS FOR IMPROVED GROWTH OF SEMIPOLARM (AL, IN, GA, B) N
JP4660400B2 (ja) 2006-03-14 2011-03-30 シャープ株式会社 窒化物半導体レーザ素子の製造方法
US7480322B2 (en) 2006-05-15 2009-01-20 The Regents Of The University Of California Electrically-pumped (Ga,In,Al)N vertical-cavity surface-emitting laser
JP4819577B2 (ja) 2006-05-31 2011-11-24 キヤノン株式会社 パターン転写方法およびパターン転写装置
US20090273005A1 (en) 2006-07-24 2009-11-05 Hung-Yi Lin Opto-electronic package structure having silicon-substrate and method of forming the same
US7374960B1 (en) 2006-08-23 2008-05-20 Applied Materials, Inc. Stress measurement and stress balance in films
JP2008109066A (ja) 2006-09-29 2008-05-08 Rohm Co Ltd 発光素子
US7642122B2 (en) 2006-10-08 2010-01-05 Momentive Performance Materials Inc. Method for forming nitride crystals
EP2100990A1 (en) 2006-10-16 2009-09-16 Mitsubishi Chemical Corporation Process for producing nitride semiconductor, crystal growth rate enhancement agent, nitride single crystal, wafer and device
KR100837404B1 (ko) 2006-10-18 2008-06-12 삼성전자주식회사 반도체 광전 소자
US7598104B2 (en) 2006-11-24 2009-10-06 Agency For Science, Technology And Research Method of forming a metal contact and passivation of a semiconductor feature
JP2008141118A (ja) 2006-12-05 2008-06-19 Rohm Co Ltd 半導体白色発光装置
TW201448263A (zh) 2006-12-11 2014-12-16 Univ California 透明發光二極體
US8338273B2 (en) 2006-12-15 2012-12-25 University Of South Carolina Pulsed selective area lateral epitaxy for growth of III-nitride materials over non-polar and semi-polar substrates
US20080217745A1 (en) 2006-12-19 2008-09-11 Sumitomo Electric Industries, Ltd. Nitride Semiconductor Wafer
CN101600820B (zh) 2006-12-28 2012-08-15 圣戈本陶瓷及塑料股份有限公司 蓝宝石基材及其制备方法
CN102174693B (zh) 2007-01-12 2014-10-29 威科仪器有限公司 气体处理系统
WO2008100505A1 (en) 2007-02-12 2008-08-21 The Regents Of The University Of California Optimization of laser bar orientation for nonpolar and semipolar (ga,ai,in,b)n diode lasers
US8541869B2 (en) 2007-02-12 2013-09-24 The Regents Of The University Of California Cleaved facet (Ga,Al,In)N edge-emitting laser diodes grown on semipolar bulk gallium nitride substrates
WO2008100502A1 (en) 2007-02-12 2008-08-21 The Regents Of The University Of California Al(x)ga(1-x)n-cladding-free nonpolar iii-nitride based laser diodes and light emitting diodes
US8085825B2 (en) 2007-03-06 2011-12-27 Sanyo Electric Co., Ltd. Method of fabricating semiconductor laser diode apparatus and semiconductor laser diode apparatus
JP5162926B2 (ja) 2007-03-07 2013-03-13 三菱電機株式会社 半導体レーザ装置の製造方法
JP2008235802A (ja) 2007-03-23 2008-10-02 Rohm Co Ltd 発光装置
US7929587B2 (en) * 2007-04-27 2011-04-19 Sanyo Electric Co., Ltd. Semiconductor laser diode element and method of manufacturing the same
JP2008311640A (ja) 2007-05-16 2008-12-25 Rohm Co Ltd 半導体レーザダイオード
US20080303033A1 (en) 2007-06-05 2008-12-11 Cree, Inc. Formation of nitride-based optoelectronic and electronic device structures on lattice-matched substrates
JP5118392B2 (ja) 2007-06-08 2013-01-16 ローム株式会社 半導体発光素子およびその製造方法
EP2003230A2 (en) 2007-06-14 2008-12-17 Sumitomo Electric Industries, Ltd. GaN substrate, substrate with an epitaxial layer, semiconductor device, and GaN substrate manufacturing method
KR101459752B1 (ko) 2007-06-22 2014-11-13 엘지이노텍 주식회사 반도체 발광소자 및 그 제조방법
JP4714712B2 (ja) 2007-07-04 2011-06-29 昭和電工株式会社 Iii族窒化物半導体発光素子及びその製造方法、並びにランプ
JP5041902B2 (ja) 2007-07-24 2012-10-03 三洋電機株式会社 半導体レーザ素子
US7733571B1 (en) 2007-07-24 2010-06-08 Rockwell Collins, Inc. Phosphor screen and displays systems
JP5212686B2 (ja) 2007-08-22 2013-06-19 ソニー株式会社 半導体レーザアレイの製造方法
JP4584293B2 (ja) 2007-08-31 2010-11-17 富士通株式会社 窒化物半導体装置、ドハティ増幅器、ドレイン電圧制御増幅器
JP2009065048A (ja) 2007-09-07 2009-03-26 Rohm Co Ltd 半導体発光素子およびその製造方法
US7727874B2 (en) 2007-09-14 2010-06-01 Kyma Technologies, Inc. Non-polar and semi-polar GaN substrates, devices, and methods for making them
JP4809308B2 (ja) 2007-09-21 2011-11-09 新光電気工業株式会社 基板の製造方法
US8750688B2 (en) 2007-09-21 2014-06-10 Echostar Technologies L.L.C. Systems and methods for selectively recording at least part of a program based on an occurrence of a video or audio characteristic in the program
JP2009141340A (ja) 2007-11-12 2009-06-25 Rohm Co Ltd 窒化物半導体レーザ素子
JP5003527B2 (ja) 2008-02-22 2012-08-15 住友電気工業株式会社 Iii族窒化物発光素子、及びiii族窒化物系半導体発光素子を作製する方法
US20090238227A1 (en) 2008-03-05 2009-09-24 Rohm Co., Ltd. Semiconductor light emitting device
US8144743B2 (en) * 2008-03-05 2012-03-27 Rohm Co., Ltd. Nitride based semiconductor device and fabrication method for the same
JP5053893B2 (ja) 2008-03-07 2012-10-24 住友電気工業株式会社 窒化物半導体レーザを作製する方法
JP4640427B2 (ja) 2008-03-14 2011-03-02 ソニー株式会社 GaN系半導体発光素子、発光素子組立体、発光装置、GaN系半導体発光素子の製造方法、GaN系半導体発光素子の駆動方法、及び、画像表示装置
JP2009283912A (ja) 2008-04-25 2009-12-03 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
KR101428719B1 (ko) 2008-05-22 2014-08-12 삼성전자 주식회사 발광 소자 및 발광 장치의 제조 방법, 상기 방법을이용하여 제조한 발광 소자 및 발광 장치
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP2009283822A (ja) 2008-05-26 2009-12-03 Mitsubishi Electric Corp 半導体レーザ及びその製造方法
JP2009286652A (ja) 2008-05-28 2009-12-10 Sumitomo Electric Ind Ltd Iii族窒化物結晶、iii族窒化物結晶基板および半導体デバイスの製造方法
US8097081B2 (en) 2008-06-05 2012-01-17 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
WO2010065163A2 (en) 2008-06-05 2010-06-10 Soraa, Inc. Highly polarized white light source by combining blue led on semipolar or nonpolar gan with yellow led on semipolar or nonpolar gan
US20090301388A1 (en) 2008-06-05 2009-12-10 Soraa Inc. Capsule for high pressure processing and method of use for supercritical fluids
US20090309127A1 (en) 2008-06-13 2009-12-17 Soraa, Inc. Selective area epitaxy growth method and structure
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8303710B2 (en) 2008-06-18 2012-11-06 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US20100006873A1 (en) 2008-06-25 2010-01-14 Soraa, Inc. HIGHLY POLARIZED WHITE LIGHT SOURCE BY COMBINING BLUE LED ON SEMIPOLAR OR NONPOLAR GaN WITH YELLOW LED ON SEMIPOLAR OR NONPOLAR GaN
CN101621101A (zh) 2008-06-30 2010-01-06 展晶科技(深圳)有限公司 发光二极管及其制造方法
WO2010005914A1 (en) 2008-07-07 2010-01-14 Soraa, Inc. High quality large area bulk non-polar or semipolar gallium based substrates and methods
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
JP2010027935A (ja) 2008-07-23 2010-02-04 Sony Corp 半導体レーザ、光ディスク装置および光ピックアップ
WO2010017148A1 (en) 2008-08-04 2010-02-11 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
WO2010017316A1 (en) 2008-08-05 2010-02-11 The Regents Of The University Of California Linearly polarized backlight source in conjunction with polarized phosphor emission screens for use in liquid crystal displays
US8979999B2 (en) 2008-08-07 2015-03-17 Soraa, Inc. Process for large-scale ammonothermal manufacturing of gallium nitride boules
JP2010067858A (ja) * 2008-09-11 2010-03-25 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
EP2323180A1 (en) 2008-09-11 2011-05-18 Sumitomo Electric Industries, Ltd. Nitride semiconductor optical device, epitaxial wafer for nitride semiconductor optical device, and method for manufacturing semiconductor light-emitting device
US8461071B2 (en) 2008-12-12 2013-06-11 Soraa, Inc. Polycrystalline group III metal nitride with getter and method of making
US7923741B1 (en) 2009-01-05 2011-04-12 Lednovation, Inc. Semiconductor lighting device with reflective remote wavelength conversion
JP2010177651A (ja) 2009-02-02 2010-08-12 Rohm Co Ltd 半導体レーザ素子
US8422525B1 (en) 2009-03-28 2013-04-16 Soraa, Inc. Optical device structure using miscut GaN substrates for laser applications
US8252662B1 (en) 2009-03-28 2012-08-28 Soraa, Inc. Method and structure for manufacture of light emitting diode devices using bulk GaN
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
DE112010001615T5 (de) 2009-04-13 2012-08-02 Soraa, Inc. Stuktur eines optischen Elements unter Verwendung von GaN-Substraten für Laseranwendungen
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8126024B1 (en) 2009-04-17 2012-02-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications of emissions of 500 nm and greater
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
JP2010267871A (ja) 2009-05-15 2010-11-25 Sony Corp 半導体レーザおよびその製造方法
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
TW201123530A (en) 2009-06-05 2011-07-01 Univ California Long wavelength nonpolar and semipolar (Al,Ga,In) N based laser diodes
US7933303B2 (en) 2009-06-17 2011-04-26 Sumitomo Electric Industries, Ltd. Group-III nitride semiconductor laser device, and method for fabricating group-III nitride semiconductor laser device
US8409888B2 (en) * 2009-06-30 2013-04-02 Joseph John Rumpler Highly integrable edge emitting active optical device and a process for manufacture of the same
US20110001126A1 (en) 2009-07-02 2011-01-06 Sharp Kabushiki Kaisha Nitride semiconductor chip, method of fabrication thereof, and semiconductor device
US8449128B2 (en) 2009-08-20 2013-05-28 Illumitex, Inc. System and method for a lens and phosphor layer
US20110056429A1 (en) 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US20110186887A1 (en) 2009-09-21 2011-08-04 Soraa, Inc. Reflection Mode Wavelength Conversion Material for Optical Devices Using Non-Polar or Semipolar Gallium Containing Materials
JP5387302B2 (ja) 2009-09-30 2014-01-15 住友電気工業株式会社 Iii族窒化物半導体レーザ素子、及びiii族窒化物半導体レーザ素子を作製する方法
US20110103418A1 (en) 2009-11-03 2011-05-05 The Regents Of The University Of California Superluminescent diodes by crystallographic etching
KR20180023028A (ko) 2009-11-05 2018-03-06 더 리전츠 오브 더 유니버시티 오브 캘리포니아 에칭된 미러들을 구비하는 반극성 {20-21} ⅲ-족 질화물 레이저 다이오드들
FR2953492B1 (fr) 2009-12-09 2012-04-06 Airbus Operations Sas Nacelle d'aeronef incorporant un dispositif de rapprochement de capots independant du mecanisme de verrouillage
US20110186874A1 (en) 2010-02-03 2011-08-04 Soraa, Inc. White Light Apparatus and Method
US20110204376A1 (en) 2010-02-23 2011-08-25 Applied Materials, Inc. Growth of multi-junction led film stacks with multi-chambered epitaxy system
CN106972346B (zh) 2010-03-04 2019-12-10 加利福尼亚大学董事会 在C-方向错切小于+/-15度的m-平面基底上的半极性III-氮化物光电子装置
JP2011199221A (ja) 2010-03-24 2011-10-06 Hitachi Cable Ltd 発光ダイオード
US20110247556A1 (en) 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US8786053B2 (en) 2011-01-24 2014-07-22 Soraa, Inc. Gallium-nitride-on-handle substrate materials and devices and method of manufacture
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
JP5803457B2 (ja) * 2011-09-08 2015-11-04 三菱電機株式会社 レーザダイオード素子の製造方法
DE202013012940U1 (de) 2012-05-04 2023-01-19 Soraa, Inc. LED-Lampen mit verbesserter Lichtqualität
US9136673B2 (en) * 2012-07-20 2015-09-15 The Regents Of The University Of California Structure and method for the fabrication of a gallium nitride vertical cavity surface emitting laser
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710057A (en) * 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
JPH11135891A (ja) * 1997-10-31 1999-05-21 Matsushita Electric Ind Co Ltd 半導体発光素子およびその製造方法、発光素子付き光ファイバ、光通信モジュールならびに光通信システム
JP2000228565A (ja) * 1999-02-08 2000-08-15 Toshiba Corp 窒化物系半導体レーザ装置及びその製造方法
JP2002015965A (ja) * 2000-06-27 2002-01-18 Kyocera Corp 半導体基板の製造方法および半導体基板
JP2007200932A (ja) * 2006-01-23 2007-08-09 Rohm Co Ltd 窒化物半導体素子の製造方法
JP2008135418A (ja) * 2006-10-27 2008-06-12 Canon Inc 発光素子の形成方法
JP2008252069A (ja) * 2007-03-06 2008-10-16 Sanyo Electric Co Ltd 半導体レーザ素子の製造方法および半導体レーザ素子
JP2009123939A (ja) * 2007-11-15 2009-06-04 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
JP2011009521A (ja) * 2009-06-26 2011-01-13 Fujitsu Ltd 半導体装置及びその製造方法
JP2011204983A (ja) * 2010-03-26 2011-10-13 Sanyo Electric Co Ltd 集積型半導体レーザ装置の製造方法
US20130214284A1 (en) * 2012-02-17 2013-08-22 The Regents Of The University Of California Method for the reuse of gallium nitride epitaxial substrates

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021502711A (ja) * 2017-12-15 2021-01-28 オスラム オーエルイーディー ゲゼルシャフト ミット ベシュレンクテル ハフツングOSRAM OLED GmbH オプトエレクトロニクス半導体部品を製造する方法およびオプトエレクトロニクス半導体部品
JP7047094B2 (ja) 2017-12-15 2022-04-04 オスラム オーエルイーディー ゲゼルシャフト ミット ベシュレンクテル ハフツング オプトエレクトロニクス半導体部品を製造する方法およびオプトエレクトロニクス半導体部品
JP2020013886A (ja) * 2018-07-18 2020-01-23 株式会社東芝 発電素子、発電モジュール、発電装置及び発電システム
JPWO2021215431A1 (ja) * 2020-04-24 2021-10-28
JP7390674B2 (ja) 2020-04-24 2023-12-04 パナソニックIpマネジメント株式会社 投影システム
US11990728B2 (en) 2020-05-26 2024-05-21 Nichia Corporation Light emitting device
WO2023153358A1 (ja) * 2022-02-10 2023-08-17 京セラ株式会社 レーザ素子の製造方法および製造装置
WO2023238923A1 (ja) * 2022-06-09 2023-12-14 京セラ株式会社 半導体レーザデバイスの製造方法および製造装置

Also Published As

Publication number Publication date
US9520697B2 (en) 2016-12-13
JP6783659B2 (ja) 2020-11-11
US20150229108A1 (en) 2015-08-13
KR20160121558A (ko) 2016-10-19
EP3105829A1 (en) 2016-12-21
US20210344164A1 (en) 2021-11-04
KR102300094B1 (ko) 2021-09-07
US20170063047A1 (en) 2017-03-02
CN106165218B (zh) 2019-05-31
EP3105829B1 (en) 2021-06-23
CN106165218A (zh) 2016-11-23
WO2015120118A1 (en) 2015-08-13
EP3105829A4 (en) 2017-08-09
US11011889B2 (en) 2021-05-18
US11658456B2 (en) 2023-05-23
US10566767B2 (en) 2020-02-18
US20200274333A1 (en) 2020-08-27

Similar Documents

Publication Publication Date Title
US11658456B2 (en) Manufacturable multi-emitter laser diode
US11569637B2 (en) Manufacturable laser diode formed on c-plane gallium and nitrogen material
US10367334B2 (en) Manufacturable laser diode
US11710944B2 (en) Manufacturable RGB laser diode source and system
US10938182B2 (en) Specialized integrated light source using a laser diode
US11949212B2 (en) Method for manufacturable large area gallium and nitrogen containing substrate
US11715927B2 (en) Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
CN218300556U (zh) 基于高光通量激光的白光源

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180511

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190910

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200929

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201022

R150 Certificate of patent or registration of utility model

Ref document number: 6783659

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250