JP2006504280A - メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ - Google Patents

メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ Download PDF

Info

Publication number
JP2006504280A
JP2006504280A JP2004548375A JP2004548375A JP2006504280A JP 2006504280 A JP2006504280 A JP 2006504280A JP 2004548375 A JP2004548375 A JP 2004548375A JP 2004548375 A JP2004548375 A JP 2004548375A JP 2006504280 A JP2006504280 A JP 2006504280A
Authority
JP
Japan
Prior art keywords
control gate
control
memory
dielectric
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004548375A
Other languages
English (en)
Other versions
JP4933048B2 (ja
Inventor
ハラリ,エリヤホウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Corp
Original Assignee
SanDisk Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk Corp filed Critical SanDisk Corp
Publication of JP2006504280A publication Critical patent/JP2006504280A/ja
Application granted granted Critical
Publication of JP4933048B2 publication Critical patent/JP4933048B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42348Gate electrodes for transistors with charge trapping gate insulator with trapping site formed by at least two separated sites, e.g. multi-particles trapping site
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Read Only Memory (AREA)

Abstract

フローティングゲートなどの電荷記憶素子のアレイのうちの個々の素子が少なくとも2つのコントロールゲートラインと容量的に結合するようになっているフラッシュNANDタイプのEEPROMシステムを開示する。コントロールゲートラインは、好ましくはフローティングゲートの側壁と結合するようにフローティングゲート間に位置している。その結果として、メモリセルの結合比が望ましく高められる。フローティングゲートの選択された行の両側の両方のコントロールゲートラインは普通同じ電圧まで高められ、その選択された行の両側の直ぐ近くの隣接するフローティングゲートの選択されていない行に結合された第2のコントロールゲートラインは低く保たれる。その選択されたフローティングゲートの領域でその電圧を選択的に高めるために、コントロールゲートラインを基板と容量的に結合させることもできる。フローティングゲートの長さとコントロールゲートラインの厚さとは、スペーサのエッチマスクを形成することによってプロセスの最小分解エレメントより小さくされ得る。

Description

本発明は、一般的にはフラッシュEEPROM(電気的に消去可能でプログラム可能な読み出し専用メモリ)タイプの不揮発性半導体メモリに関し、特にNANDタイプのメモリセルアレイの構造およびこれを操作する方法に関する。
今日、特に小形ファクタカードの形をとっていてフラッシュEEPROMセルのアレイを使用する商業的に成功した不揮発性メモリ製品が多数使われている。
1つの普及しているフラッシュEEPROMのアーキテクチャはNANDアレイを利用し、このアレイでは、個々のビットラインと基準電位との間に1つ以上の選択トランジスタを通してメモリセルの多数のストリングが接続されている。このようなアレイの一部が図2Aの平面図に示されている。BL0〜BL4はグローバルな垂直金属ビットライン(図示せず)への拡散ビットライン結線を表す。各ストリング内に4つのフローティングゲートのメモリセルが示されているが、個々のストリングは1列中に通常16個、32個或いはもっと多数のフローティングゲートなどのメモリセル電荷記憶素子を含む。WL0〜WL3というラベルが付されたコントロールゲート(ワード)ラインとストリング選択ラインDSLおよびSSLとは、複数のストリングを横断して、多くの場合ポリシリコンのフローティングゲートの行の上に伸びる(図2Aの断面A−Aに沿っての横断面図である図2BにおいてP2というラベルが付されている)。コントロールゲートラインは、通常フローティングゲート上に自己整列スタックとして形成され、図2Bに示されているように、中間の誘電体層19を通して互いに容量的に結合される。ストリングの頂部および底部は、通例、周辺から電気的に駆動されるそのアクティブなゲートとしてフローティングゲート材料(P1)を使用するトランジスタを通して、ビットラインおよび共通ソースラインに各々接続される。フローティングゲートとコントロールゲートとの間のこの容量性結合は、フローティングゲートの電圧がそれに結合されているコントロールゲート上の電圧を高めることによって高められることを可能にしている。1列の中の個々のセルは、各ストリングを流れる電流が選択されたワードラインの下のアドレス指定されたセルに蓄積されている電荷のレベルだけに主として依存するように、比較的に高い電圧をそれらの各々のワードラインに加え、かつ比較的に低い電圧を1つの選択されたワードラインに加えることによって当該ストリング中の残りのセルをしっかりとオンに転換させることによって、プログラミング中に読み出されて検証される。その電流は、通常多数のストリングについて並列に感知され、これにより1行のフローティングゲートに沿って電荷レベル状態を並列に読み出す。NANDメモリセルアレイのアーキテクチャと、メモリシステムの一部としてのそれらの動作との例が、米国特許第5,570,315号(特許文献1)、第5,774,397号(特許文献2)および第6,046,935号(特許文献3)において見出される。
現在のフラッシュEEPROMアレイの電荷記憶素子は最も一般的には導電性のフローティングゲートであり、それらは通常ドーピングされたポリシリコン材料から形成されている。フラッシュEEPROMシステムにおいて有益な他のタイプのメモリセルは、伝導性フローティングゲートに代わって不揮発的に電荷を蓄積する不伝導性誘電体材料を利用する。このようなセルはチャンらによる「真の単一トランジスタ酸化物−窒化物−酸化物EEPROMデバイス」,IEEE電子デバイスレターズ,第EDL−8巻,第3号,1987年3月,第93〜95ページ (Chan et al., "A True Single-Transistor Oxide-Nitride-Oxide EEPROM Device," IEEE Electron Device Letters, Vol. EDL-8, No.3, March 1987, pp. 93-95) (非特許文献1)に記載されている。酸化シリコン、窒化シリコンおよび酸化シリコン(“ONO”)から形成された3重層誘電体は、伝導性コントロールゲートと、メモリセルチャネルの上の半伝導性基板の表面との間に挟まれる。当該セルはセルチャネルから窒化物へ電子を注入することによりプログラムされ、ここでそれらの電子は限定された領域に捕獲され蓄積される。この蓄積された電荷はセルのチャネルの一部のしきい値電圧を検出可能に変化させる。セルは、窒化物へホットホールを注入することによって消去される。ノザキらによる「半導体ディスクの応用のためのMONOSメモリセルを有する1MbのEEPROM」,IEEE固体回路ジャーナル,第26巻,第4号,1991年4月,第497〜501ページ (Nozaki et al., "A 1-Mb EEPROM with MONOS Memory Cell for Semiconductor Disk Application," IEEE Journal of Solid-State Circuits, Vol.26, No.4, April 1991, pp.497-501) (非特許文献2)も参照されたい。ここで、ドーピングされたポリシリコンゲートがメモリセルチャネルの一部に広がって別の選択トランジスタを形成するようになっている分割ゲート構成の同様のセルについて説明している。
典型的な不揮発性フラッシュアレイのメモリセルは、一緒に消去されるセルの別々のブロックに分割される。すなわち、当該ブロックは、消去単位として同時に別々に消去可能な最少数のセルを含んでいるが、2以上のブロックを単一の消去動作で消去することができる。各ブロックは通常1ページ以上のデータを記憶し、ページはプログラミングおよび読み出しの基本単位として同時にデータプログラミングおよび読み出し操作を受ける最少数のセルとして定義されるが、1ページより多くのページが単一の操作でプログラムまたは読み出されてもよい。各ページは、通常1セクタ以上のデータを記憶し、セクタのサイズはホストシステムによって定められている。一例は、磁気ディスクドライブに関して確立された基準に従う512バイトのユーザデータと、ユーザデータおよび/またはそれが格納されるブロックに関するオーバーヘッド情報の或る数のバイトのセクタである。
殆ど全ての集積回路の用途の場合のように、ある集積回路機能を実現するために必要とされるシリコン基板面積を縮小させようとする圧力はフラッシュEEPROMアレイに関しても存在する。与えられたサイズのメモリカードおよびその他のタイプのパッケージの記憶容量を増やし、或いは容量を増やしかつ同時にサイズを小さくするために、シリコン基板の与えられた領域に格納できるデジタルデータの量を増やすことが頻繁に要望されている。データ記憶密度を高める他の1つの方法は、1メモリセル電荷記憶素子あたりに2ビット以上のデータを記憶させることである。これは記憶素子電荷レベル電圧範囲のウィンドウを3つ以上の状態に分割することにより達成される。このような状態を4つ使用すれば、各セルは2ビットのデータを記憶することができ、8状態はセルあたりに3ビットのデータを記憶する等々である。多状態フラッシュEEPROM構造および動作が、米国特許第5,043,940号(特許文献4)および第5,172,338号(特許文献5)に記載されている。
前に特定された特許および論文は、その全体が本願明細書において参照によりこの背景技術の欄で明確に援用されている。
米国特許第5,570,315号 米国特許第5,774,397号 米国特許第6,046,935号 米国特許第5,043,940号 米国特許第5,172,338号 米国特許第5,887,145号 チャンらによる「真の単一トランジスタ酸化物−窒化物−酸化物EEPROMデバイス」,IEEE電子デバイスレターズ,第EDL−8巻,第3号,1987年3月,第93〜95ページ ノザキらによる「半導体ディスクの応用のためのMONOSメモリセルを有する1MbのEEPROM」,IEEE固体回路ジャーナル,第26巻,第4号,1991年4月,第497〜501ページ
現在の不揮発性メモリセルアレイのサイズを絶えず縮小させることに関する1つの顕著な制約はフローティングゲート誘電体である。これは、漏洩と長時間のデータ保持に関する難点とを招来することなく、現在用いられている約70オングストローム(7nm)の最小の厚さより薄くすることは実際上できない。このことは、フローティングゲートにその下のメモリセルチャネルにおける伝導を制御するために加えられねばならない電圧は、種々のゲートのサイズとそれらの間の距離とが減少されるときに低下され得ないということを意味する。使用される電圧レベルの補償低下をなし得ないのであれば、種々のゲートの間の電圧の望ましくない結合が、それらの間の距離が小さくなるにつれて増大する。メモリアレイのスケーリングを将来行わなければならないのであれば、このような低下を行うことが重要である。
フローティングゲートの結合比を大きくできるのであれば、コントロールゲート電圧のレベルの低下が可能となる。結合比は、フローティングゲートおよびコントロールゲートの間の静電容量を、フローティングゲートと全ての隣接する電極(最も具体的には、良く知られているように基板)との間の静電容量で割って得られるものに等しい。これら静電容量の値は、結合される向かい合った表面領域のサイズと、それらの間の誘電体層の厚さおよび誘電率とに依存する。NANDアレイの場合、それらの積み重ねられた制御およびフローティングゲート構造の故に、結合比の減少を達成するのは困難である。フローティングゲートの基板との結合領域が縮小の一部として小さくされるとき、それは分母として結合比の増大をもたらす可能性があり、フローティングゲートとコントロールゲートとの間の結合領域も同様に減少され、これは分子を減少させる。
スケーリングの他の望ましくない効果は、伝導性アレイエレメント間の、特に隣接するフローティングゲート間の、寄生容量の増大である。フローティングゲートの状態をプログラミングするとき或いは読み出すときのエラーは、例えば、隣接するセルのフローティングゲートに蓄積されている電荷が非常に近接していることが原因となって発生し得る。この結合は、各状態のためのフローティングゲートトランジスタのしきい値電圧の許容される範囲が非常に小さい多状態動作にかなりの数のエラーを生じさせる可能性を有する。
本発明の1つの主要な態様によれば、メモリセルアレイのフローティングゲートは少なくとも2つのコントロールゲートと個々に結合され、これによりフローティングゲートと基板との間の結合領域を増大させること無くフローティングゲートとコントロールゲートとの間の総結合領域を増やし、よって結合比を大きくする。NANDアレイでは、フローティングゲートの行の上に普通積み重ねられるコントロールゲートは、メモリセルのストリングに沿ってフローティングゲート間に位置するコントロールゲートに取って代わられる。個々のフローティングゲートは、向かい合う側壁を通して、各側に1つずつ、合計2つのコントロールゲートに容量的に結合される。これらのコントロールゲートとの結合領域を広げるようにフローティングゲートの高さが大きくされる。個々のフローティングゲートのコントロールゲートとの総結合領域は、フローティングゲートと基板との間の結合領域とは無関係に大幅に増やされる。これは、コントロールゲート電圧を大幅に低くすることを可能にするけれども、フローティングゲートに結合された電圧を、与えられた厚さを有するゲート誘電体を通してメモリセルチャネルを制御するために今日使用される値まで高めるという結果を依然としてもたらす。
動作時に、フローティングゲートの1つの行の電圧は、それらがプログラミングされたり、或いは読み出される時にその行の両側のコントロールゲートの電圧を上げることによって高められる。隣接する行におけるフローティングゲートの同様の電圧上昇は、たとえそれらがその電圧が高められているコントロールゲートのうちの1つとも結合していても、フローティングゲートのこれらの隣接する行の反対側と結合しているコントロールゲートの電圧を低く保つことによって、低減される。
電気的に駆動されるコントロールゲートはフローティングゲート間の電場を遮蔽する傾向を有するので、NANDメモリセルのストリングに沿うフローティングゲート間でのコントロールゲートの位置決めも、隣接するセルのフローティングゲート間の望ましくない結合を減少させる。さらに、個々のセルのプログラミングを抑止するなどの一定の操作のための基板の電圧を上昇させるために、コントロールゲートを基板のフローティングゲート間の領域に容量的に結合させることができる。
本発明の他の1つの主要な態様によれば、与えられた数のフローティングゲート記憶素子を有するNANDストリング内の個々のトランジスタのチャネルの長さは、プロセスに使用されているリソグラフィーの最小分解能素子サイズよりかなり小さい寸法を有するスペーサを用いてフローティングゲートを形成することによって、現存する長さの殆ど半分まで大幅に短縮される。このようなスペーサは、ドーピングされたポリシリコンまたは他の伝導性材料、例えば第1の誘電体材料の伝導性材料の層の上に第2の誘電体材料のストリップの側に沿って形成される。当該第2の誘電体材料が除去されると、当該第1の誘電体材料のスペーサはマスクを形成し、そのマスクを通して、下にある伝導性フローティングゲート材料がエッチングされる。フローティングゲートと、それらの間のスペーサとのサイズは低減される。コントロールゲートは、好ましくは比較的に小さなフローティングゲートの間に設けられ、前述したのと同様に操作される。小さいNANDメモリセルのストリングは、与えられた領域内により多くの当該セルのストリングが形成され、与えられたサイズのメモリセルアレイにおけるデータ記憶密度が高まるという結果をもたらす。
本発明の付加的な態様、利点および特徴は、添付図面と関連して解釈されるべきであるその代表的な例についての以下の説明に含まれる。
メモリシステム
本発明の種々の態様を実現し得るメモリシステムの例が、図1のブロック図により例示されている。マトリックスをなすように配列された複数のメモリセルMを含むメモリセルアレイ1は、列制御回路2、行制御回路3、c−ソース制御回路4およびc−p−ウェル制御回路5によって制御される。この例では、メモリセルアレイ1は、前に背景技術の欄で説明され、かつ本願明細書において参照により援用されている参考文献において説明されるNANDタイプのメモリセルアレイである。制御回路2は、メモリセル(M)に記憶されているデータを読み出し、プログラミング動作中にメモリセル(M)の状態を判定し、プログラミングを促進し或いはプログラミングを抑止するようにビットライン(BL)の電位レベルを制御するために、メモリセルアレイ1のビットライン(BL)に接続される。行制御回路3は、ワードライン(WL)のうちの1つを選択し、読み出し電圧を印加し、列制御回路2により制御されるビットライン電位レベルと結合されるプログラム電圧を印加し、メモリセル(M)が形成されるp形領域の電圧と結合される消去電圧を印加するためにワードライン(WL)に接続される。c−ソース制御回路4は、メモリセル(M)に接続されている共通ソースライン(図1で“c−ソース”とラベルが付されている)を制御する。c−p−ウェル制御回路5はc−p−ウェル電圧を制御する。
メモリセル(M)に記憶されているデータは、列制御回路2により読み出されて、I/Oラインおよびデータ入出力バッファ6を介して外部I/Oラインへ出力される。当該メモリセルに格納されるべきプログラムデータは、外部I/Oラインを介してデータ入出力バッファ6に入力され、列制御回路2へ転送される。外部I/Oラインは、コントローラ9に接続される。コントローラ9は、種々のタイプのレジスタと、揮発性ランダムアクセスメモリ(RAM)10を含む他のメモリとを含む。
フラッシュメモリデバイスを制御するためのコマンドデータは、コントローラ9と接続されている外部コントロールラインに接続されたコマンド回路7に入力される。コマンドデータは、どんな動作が要求されているかをフラッシュメモリに知らせる。入力されたコマンドは、列制御回路2、行制御回路3、c−ソース制御回路4、c−p−ウェル制御回路5およびデータ入出力バッファ6を制御する状態マシン8へ転送される。状態マシン8は、READY/BUSY或いはPASS/FAILなどのフラッシュメモリについての状況データを出力することができる。
コントローラ9は、パーソナルコンピュータ、デジタルカメラ或いはパーソナルデジタルアシスタントなどのホストシステムと接続されるか、或いは接続可能である。メモリアレイ1にデータを格納したり、当該アレイからデータを読み出したりするなどのコマンドを開始し、このようなデータを提供したり、受け取ったりするのはホストである。当該コントローラは、このようなコマンドを、コマンド回路7により解釈され、かつ実行され得るコマンド信号に変換する。当該コントローラは、通常メモリアレイに読み書きされるユーザデータのためのバッファメモリも含む。代表的なメモリシステムは、コントローラ9を含む1つの集積回路チップ11と、メモリアレイおよび関連する制御回路、入出力回路および状態マシン回路を各々含む1つ以上の集積回路チップ12とを含む。もちろん、システムのメモリアレイおよびコントローラ回路を1つ以上の集積回路チップに集積するのが趨勢である。
図1のメモリシステムは、ホストシステムの一部として埋め込まれてもよく、或いはホストシステムの差込ソケットに取り外し可能に挿入できるメモリカードに含まれてもよい。このようなカードはメモリシステム全体を包含することができ、或いはコントローラとメモリアレイとが、関連する周辺回路を伴って別々のカードに設けられてもよい。複数のカードの実装例が、例えば米国特許第5,887,145号(特許文献6)に記載され、その全体が本願明細書において参照により明確に援用されている。
第1のNANDアレイの実施形態
NANDアレイの数個のメモリセルの主要なコンポーネントが図3に平面図で示され、その等価回路が図14に示され、ここで対応する構成要素には図3と同じ参照番号がプライム記号(’)付きで付されている。直列接続されたメモリセルの5つのストリング21〜25が含まれ、各ストリングに3つのフローティングゲート電荷記憶素子が示されている。ストリング21はフローティングゲート27,28,29を含み、ストリング22はフローティングゲート30,31,32を有し、ストリング23はフローティングゲート33,34,35を含み、ストリング24はフローティングゲート36,37,38を含み、ストリング25はフローティングゲート39,40,41を含む。説明を容易にするために、15個のメモリセルの小さな長方形アレイのみが示されている。このような1つのアレイの実際の実装例は数千個のNANDストリング中に数百万個のこのようなメモリセルを含み、各ストリングは普通16個、32個またはそれ以上のメモリセルを有する。メモリアレイの局所基板電位を共通基板電位とは無関係に電気的に制御できるように、メモリアレイは、通常共通基板内に含まれる1つ以上のウェル領域の上に配置されていることが分かる。この説明の全体にわたってトランジスタのメモリアレイに関しての“基板”という言葉の使用は、特別に言及されていない限り、このようなウェル領域への言及を含む。
NANDストリング21〜25の各々は、当該ストリングをグローバルビットラインBL0〜BL4(図14)のうちの異なる1つと基準電位Vs との間に制御可能に接続するためにストリングの各端に1つずつ、合計で2個の選択トランジスタを含む。Vs は、普通読み出し中は接地にあるけれども、プログラミング中はソース選択トランジスタを横断するリークを最小にするのを助けるために小さな正の値をとることができる。電圧VSSL は、選択トランジスタT0S〜T4Sの各々のゲート43〜47に印加されて、その各々のメモリセルのストリング21〜25の一端のVs への接続を制御する。ストリング21〜25の他端は、選択トランジスタのゲート49〜53に印加される電圧VDSL によって各々の選択トランジスタT0D〜T4D(図14)を通して各々のビットラインBL0〜BL4に接続される。列制御回路2(図1)は、書き込まれるべき具体的なデータを表す電圧を各ビットラインに印加するか、或いは読み出し動作中に電圧または電流を感知する。選択トランジスタT0S〜T4SおよびT0D〜T4D(図14)は、半導体基板77の表面79(図4、5Aおよび5B)に各々のソース領域およびドレイン領域55〜64および65〜74(図3)を包含する。
典型的な従来技術のNANDアレイは、適切な絶縁誘電体層を間に有するフローティングゲートの行の上で複数のストリングを横断して伸びるコントロールゲート(ワード)ラインを含む。結合されたフローティングゲートを、それらの状態をプログラミングし、かつ読み出すために必要な電圧レベルまで高めるために必要とされるコントロールゲート電圧をなるべく低くするために、前述したようにコントロールゲートとフローティングゲートとの間の密結合が望ましい。フローティングゲートの各行のために1つのコントロールゲート(ワード)ラインが使用される。フローティングゲートおよびコントロールゲートがy方向に(NANDストリングの縦に沿って)自己整列したアレイを作るために、コントロールゲートは通常フローティングゲートを形成するためのマスクとして使用され、それらはy方向にコントロールゲートと同じ寸法を有する。このアーキテクチャでは、将来のスケーリングされた技術に適する低いコントロールゲート電圧での動作を可能にするために前述した結合比を高めるために、コントロールゲートとフローティングゲートとの間の結合の領域を増大させる機会は限られている。
従って、図3〜5に示されているNANDアレイでは、コントロールゲート(ワード)ライン81〜84は、フローティングゲートの上にではなくてフローティングゲートの間に配置される。各々のコントロールゲートラインは、メモリセルの複数のストリングを横断して伸び、多層酸化物−窒化物−酸化物(ONO)などの適切な絶縁誘電体を通して両側のフローティングゲートに容量的に結合される。フローティングゲートの両側の側壁領域を用いることによって付加的な結合領域が得られる。この結合領域を大きくするためにフローティングゲートを普通よりも厚く(高く)することができ、その場合、それらの間のコントロールゲートは、追加された結合領域を利用するために少なくともフローティングゲートと同じ厚さにされる。1つの利点は、この結合領域をフローティングゲートおよび基板の結合領域とは大幅に別に制御することができ、結果としてフローティングゲートの基板との結合領域が将来の縮小の際に減じられたとしても望ましい高さの結合比がもたらされることである。
これらのコントロールゲートラインのうちの2つが通常の従来技術のNANDアレイの単一のワードラインに取って代わる。例えば、従来のアレイにおけるフローティングゲート27,30,33,36および39の行を横断して延びるワードラインは、2つのコントロールゲートライン81および82(WL0およびWL1)により取って代わられる。同様に、普通はフローティングゲート28,31,34,37および40の行を横断して伸びる1つのワードラインは、2つのコントロールゲートライン82および83(WL1およびWL2)により取って代わられる。コントロ─ルライン81〜84は、アレイをx方向に横断して伸ばされ、y方向には間に入っているフローティングゲートの長さとそれらの間の誘電体層の厚さとにより分離されている。メモリのフローティングゲートのサイズは通常フォトリソグラフィがxおよびyの両方向に許容する小ささにされるけれども、選択トランジスタ43〜47および49〜53のチャネル長さ(y寸法)は、最高電圧がその両端間に印加されたときに漏洩を含む全ての伝導を効果的に抑止し得ることを保証する最小特徴サイズより通常は少し大きい。
図3のアレイと、当該アレイの付加的特徴とを形成する方法は、主として図4(メモリセルの1つのストリングを通る図3のy方向の断面A−A)、図5A(複数のストリングを横断して伸びるメモリセルの行に沿う図3のx方向の断面B−B)および図5B(ワードラインに沿う図3のx方向の断面C−C)の直交横断面図を参照して説明され得る。通常は1つ以上のウェルの形成を含む基板77のドーピング後に、トンネル酸化ケイ素(SiO2 )の層91が基板77の表面79上に約8nmの厚さまで成長させられる。その後、通常は低圧化学蒸着(LPCVD)によって少なくとも当該アレイの領域の上にドーピングされたポリシリコンの第1の層が50から200nmまでの厚さに形成され、後にこれからフローティングゲートが形成される。これは、従来技術のNANDデバイスの普通の第1のポリシリコン層より厚くて、後に形成されるフローティングゲートが従来より厚いという結果をもたらす。次いで、二酸化ケイ素の薄いパッド93が当該ポリシリコン層上に形成され、続いて窒化ケイ素(Si34 )の層95が通常100および300nmの間の厚さまで堆積される。次いで、露出している窒化物、酸化物パッド、ポリシリコンおよびトンネル酸化物をエッチングして、基板全体にわたってy方向に伸びると共にx方向にマスク形成プロセスにより分解可能な最小間隔寸法だけ分離された積み重ねストリップを残すために、窒化物層の上にマスクが形成される。これらのストリップの幅は、好ましくはそれらの間隔に等しくされる。当該エッチングは異方性であり、これらのストリップの間の基板77の表面79を露出させる。
次の一連のステップは、得られたフローティングゲートの列同士の間にシャロウトレンチアイソレーション(Shallow Trench Isolation(STI))による電気的絶縁を提供する。その後、y方向に伸びていてx方向においてポリシリコン/誘電体が積み重ねられているストリップ同士の間に位置するトレンチ97〜100(図5A)を形成するように、露出された基板表面が異方的にエッチングされる。これらのトレンチは、好ましくは100〜300nmの深さまでエッチングされる。露出されたシリコン表面領域に、必要な場合にフィールド酸化物しきい値電圧を局所的に高めるために軽いホウ素ドーズを添加することができる。その後、これらのトレンチと、ポリシリコン/誘電体が積み重ねられているストリップ間のスペースとを完全に埋めるようにアレイ領域全体の上に厚い酸化物層が堆積される。当該積み重ねられているストリップの上の余分な酸化物は、化学機械研磨(Chemical Mechanical Polishing (CMP))によって、ストップとして使われる窒化物層95まで、除去される。すると、窒化物ストリップ95および厚い酸化物(図5Aの領域97〜100)の上全体に割合に平らな表面が存在することになる。当該技術分野で周知なように、シリコン絶縁トレンチ内の機械的ストレスを軽減し、かつこれらのトレンチ内の厚い酸化物の密度を高めるために高温アニーリングを用いることができる。例えばシリコンにエッチングされたトレンチの中にではなくてシリコン表面の上に厚い絶縁誘電体を形成することによって、シャロウトレンチアイソレーションを使用せずにアレイを形成することも可能である。
次のステップで、形成されたばかりのポリシリコン/誘電体ストリップに対して垂直にx方向に伸びるストリップでマスクが形成され、その間のポリシリコン/誘電体ストリップは異方性エッチングによってトンネル誘電体層91まで除去される。当該マスクのストリップとそれらの間のスペースとの幅の合計、当該プロセスのピッチ、はできる限り小さくされる。実際のマスクは、窒化物または酸化物の他の堆積された層の上のフォトレジストであってよく、それはx方向にマスキングストリップを形成するようにマスクされてエッチングされ、続いてこのようにして露出された下の第1のポリシリコン層と露出されたフィールド酸化物領域の一部とをエッチングする。これにより、第1のポリシリコン層の残りのストリップが分離されて個々のフローティングゲートとなる。エッチングプロセスは始めに露出されたフィールド酸化物を約100〜200nm除去し、その後、下にあるトンネル酸化物で停止しながら、露出された第1のポリシリコン層全体を選択的に除去するように化学作用が変更される。フローティングゲートを形成することに加えて、図に示されているように選択トランジスタゲート45および51を形成するためにも第1のポリシリコン層を使うことができる。
このエッチングの後、x方向の長さを持って第1のポリシリコンのストリップと並んでトレンチが形成される。アクティブ領域の上ではこれらのトレンチはフローティングゲートの全ての高さに加えて、マスキング層93および95の厚さにわたって伸び、フィールド領域の上ではそれらは前述した第1のポリシリコンを分離するステップ中に形成されたときと同じく100〜200nmにわたって伸びる。これらのトレンチ内に、コントロールゲートライン81〜84、選択ゲートライン80および85、並びにソースライン接点およびビットライン接点が形成される。しかし、これらのコントロールゲートラインを形成する前に、図3の平面図の軽く点が付されている領域に記されている領域においてトレンチにイオンが注入される。図4の横断面図は、このようなメモリトランジスタおよび選択ゲート注入ソース並びにドレイン領域67,72,105,106,62および57を示す。N+イオンは、通常5E13〜1E15の範囲内の量で注入されてよい。次いで、誘電体層103が、新たに形成されたトレンチの側壁および底面に従うことを含んで、当該構造の露出した表面の上に形成される。層103は、好ましくはONOであるが、より高い誘電率を有する材料であってもよい。
その後、トレンチを完全に埋めると共に誘電体層103を接触させることを含んで、ドーピングされたポリシリコンの第2の層がアレイ領域上に堆積される。その後、このポリシリコンは、ストップとして使われる窒化物層95まで(或いは、その代わりに、ONO層103の層95と直接接触している部分まで)CMPによって当該構造の頂部から除去され、続いて当該ポリシリコンが当該トレンチの中へ小さな距離だけ制御エッチングされる。このポリシリコンも、周囲およびアレイのソースおよびドレイン領域への接点が望まれる領域からもマスキングステップを用いて除去される。コントロールゲートライン81〜84、SSLライン80およびDSLライン85はその結果である。これらのラインは、少なくともそれらが誘電体層103を通して容量的に結合されるフローティングゲートと同じ高さまで伸ばされる。
これらの細いゲートラインは通常一端から駆動され、メモリアレイの相当の部分に渡って伸びるので、これらの直列抵抗は重要である。従って、この重要事項に対処するために、ドーピングされたポリシリコンを種々の材料と交換したり、或いは種々の材料で補うことができる。コントロールゲートラインの頂部をシリサイドすることができ、またそれらが化学エッチングではなくてCMPによって画定されるので、普通よりも厚い層をこの用途に用いることができる。或いは、ドーピングされた第2のポリシリコンの代わりに、タングステンやモリブデンなどの他の伝導性材料を用いてもよい。さらに他の実施形態では、コントロールゲートは、低抵抗率金属相互配線が頂部にかぶせられたポリシリコンのハイブリッドとして形成され得る。これは、例えば、第2のポリシリコンコントロールゲートライン81〜84の露出された頂部表面が部分的に下へエッチングされ、次いで障壁金属の薄いスパッタリングされた層で被覆され、続いてタングステンやモリブデンなどの金属層の堆積が行われるときに、達成され得る。この複合層は、その後、窒化物マスキング層をエッチストップとして用いるCMPを採用してエッチバックされる。得られた相互接続構造は、x方向に伸び、トレンチ内の下にある第2のポリシリコンと電気的に接触し、フローティングゲートの上にあるマスキング誘電体層95によって隣接する類似のワードラインから絶縁されている細い低抵抗率金属ワードラインのストリップを提供する。図5Bは、プロセスのこの段階での図3の断面C−Cの横断面図を示す。
次いで、全ての周辺回路とトランジスタとが形成され、NANDストリングのアレイは誘電体絶縁層(図示せず)で覆われ、標準的なバイア/金属化(アルミニウムまたは銅の相互配線)の1つ以上の層が続いて全てのビットライン、ソースライン、ワードラインおよびアクセストランジスタを提供する。これらの金属化層は、きわめて細くなり、従って大きなメモリアレイの全体にわたって非常に抵抗的となる可能性のある長いワードラインの抵抗率を下げるために局所的な或いはグローバルな相互配線として使用され得る。
選択ゲートを相互接続し、ソース接点およびドレイン接点を実現する方法が幾つかある。1つのこのような方法が図3〜5に例示され、ここでSSLライン80およびDSLライン85はP2(ワードラインと同じ材料)から形成される。選択ゲートトランジスタはP1をそれらのゲート材料として使用するが、それは直接に接触させられるべきであり、浮動状態のままにされるべきではない。これを達成する1つの方法は、水平P2ラインと各P1ゲートとの間の直接接触である。第1のP2の厚さが堆積された後、SSL選択ゲートの共通ソースライン接点に最も近い側とDSL選択ゲートのビットライン接点に最も近い側とにおいてのみ選択的にONO層103を除去するためにマスクが使用される。その後、前述したCMP研磨およびエッチバックの後のP2の複合された厚さが図4に示されているようになるように第2のP2層が堆積される。この第2のP2の堆積は、第1のP2の堆積およびP1ゲート材料の両方とのオーム接触を生じさせ、またP2SSLおよびDSLラインが各々の選択トランジスタのP1ゲートに直接接触することを可能にする。多数のNANDストリングに共通のソース選択トランジスタのソース領域への接点を水平金属ライン(図3のM0 79)を用いて生じさせることができ、またドレイン選択トランジスタのドレイン領域への接点をNANDストリングの各列について1つずつの垂直金属ライン(図示せず)へ生じさせることができる。これらの結線は、通常周辺領域の相互接続と同時に作られる。選択ゲートへの接点を作る代わりの方法(図示せず)は、通常この場合にも周辺回路および相互接続の形成の際に、各ゲートの頂部に接点領域を開き、これらの領域を選択トランジスタゲートの直ぐ上に位置する水平のポリまたは金属ラインと相互接続することである。
コントロールゲートがフローティングゲートと並んで形成されるので、図3〜5の構造は普通のNANDアレイより平らな微細構成を有する。この構造の主な利点は、フローティングゲートとコントロールゲートとの間の結合領域が増大していることであり、これは容量結合比の改善につながり、それは当該メモリセルアレイの動作のときにより低いコントロールゲート電圧を使用することを可能にする。さらに、ストリングにおいてフローティングゲート間にコントロールゲートラインが位置しているので、当該フローティングゲートが互いに遮蔽されることになり、これにより隣り合うフローティングゲート同士の列方向の望ましくない結合が大幅に低減されるか、或いは無くなる。また、図4に最もよく示されているように、コントロールゲートライン81〜84は、誘電体層91および103を通して基板77のイオン注入されたソースおよびドレイン領域と容量的に結合され得るので、これらの領域における基板表面79の電圧を高める(上昇させる)ために使用され得る。イオン注入のレベルは、コントロールゲートラインがそれらの下の注入された領域を通る伝導のレベルを制御するために使用されるならば、普通より低くすることができ、これをこの構造は可能にする。
さらに、おそらく最も重要なことに、フローティングゲート酸化物層の厚さが小さくされないとしても、フローティングゲートおよびその他のエレメントのサイズ、並びにそれらの間のスペースを小さくするために将来のプロセスのピッチの減少を利用することができる。フローティングゲート酸化物の厚さが小さくされなければ、フローティングゲートの電圧を下げることはできない。しかし、フローティングゲートとコントロールゲートとの結合比または結合領域を大きくできるならば、プロセス縮小の要件と矛盾無くコントロールゲート電圧を下げることができる。個々のフローティングゲートの相対する側壁に結合された二重のコントロールゲートを使用すると共にフローティングゲートの厚さを大きくすれば、この結合領域の拡大が提供される。
第2のNANDアレイの実施形態
図3〜5のメモリセルアレイの変化形が図6〜10に示され、これらは連続する処理ステップが実行された後のy方向にNANDストリングに沿う横断面図である。第1および第2の実施形態の相当する形成段階の図4および10は、NANDストリングの同じ長さに含まれるメモリセルのフローティングゲートの数が図10では図4より遥かに多くて、殆ど2倍であることを示す。これらの構造はx方向には同じであるように見える。この第2の実施形態の構造は、第1の実施形態について前述したのと同じ特徴および利点を有し、またy方向にかなり小さなメモリセルサイズを有する。これは、使用されるプロセスの最小のリソグラフィ的に分解可能な素子サイズより小さなエレメントを形成するためのアンダーカッティングとスペーサの使用との新規な組み合わせによって達成される。
図6は、第2の実施形態によるSTIフィールド酸化物により分離されたポリシリコンP1の垂直ストリップ(後にフローティングゲートになる)を形成する第1の系列の処理ステップの後の図3のアレイのx方向に沿う横断面B−Bを示す。図6の中間構造を形成する初期ステップは、プロセスの相当する段階で図5Aに示されている第1の実施形態について前述したものと同じである。基板111は1つ以上のウェルを含むように適切にドーピングされ、トンネル酸化物の層115が当該基板の表面113上に成長させられる。その後、当該酸化物の上にドーピングされたポリシリコンの層が堆積され、酸化物パッドがその上に形成され、その上に窒化物層が形成され、次いで当該ポリシリコン/誘電体の層はエッチングされてy方向に伸びるストリップになる。STIトレンチはその後、それらのポリシリコンのストリップ間に形成されて酸化物で埋められる。余分な酸化物は、ストップとして使われる窒化物層までCMPによって除去される。第1の実施形態との1つの差異は、図5Aの95に対応する窒化物層が、例えばウェットエッチを用いて酸化物パッド119から除去されていることである。
次いで、高密度化された二酸化ケイ素などの割合に厚い(50〜200nm)誘電体層121が図7に示されているように酸化物パッド119の上に堆積される。次いで、x方向に伸び、リソグラフィ的に分解可能な最小素子サイズにより決まるy方向の幅および間隔を有するストリップを伴ってフォトレジストマスク123がこの誘電体層の上に形成される。次いで、このマスクを通して誘電体層121および119がエッチングされる。得られたストリップの幅は、横方向のアンダーカッティングまたはオーバーエッチングによってマスクストリップの幅より小さくされ得る。得られた割合に厚い誘電体ストリップ121はポリシリコンのストリップとそれらの間の絶縁酸化物とを横断してx方向に伸び、マスクストリップ123を通して形成された当該ストリップ121は、当該マスクストリップ123より細い。酸化物パッド119も、このエッチングステップの結果として除去される。このエッチングステップは、ポリシリコンのストリップ(図6の領域97〜100)間の絶縁酸化物を過度に除去しないように制御される。
次の系列のステップを図8に示す。マスク123が除去された後、ポリシリコンのストリップの表面上に薄い(約5nmの厚さの)酸化物パッド125が再形成される。これに続いて、通常はLPCVDプロセスを用いてアレイ上に窒化ケイ素を堆積し、次いで酸化物ストリップ121の両側で壁に沿ってスペーサ127を残すように当該窒化物を異方的にエッチングする。堆積された窒化物の厚さはスペーサの長さLを主として決定し、これは(後述するように)フローティングゲートの長さを決定し、それは当該構造を形成するために使用されるプロセスの最小幅よりかなり小さい。アンダーカットされた酸化物ストリップの幅Wとスペーサの長さL(図8)とは、好ましくはポリシリコンのストリップ117の長さに沿ってのスペーサ127の間隔が実質的に等しくなるように選択される。というのは(後述するように)これが、結果として得られるフローティングゲートのy方向の間隔を決定するからである。ストリップ121およびスペーサ127の材料は交換されてもよく、ストリップ121が窒化物であり、スペーサ127が酸化物であってもよいが、使用される材料の重要性はスペーサ127を完全に残すと同時にストリップ121をエッチングによって除去し得るようにすることであることにも留意されたい。
この除去と他のステップとを図8および9により例示する。窒化物スペーサ127(図8)の間のギャップは始めに酸化物で埋められるので、酸化物ストリップ121をエッチング除去しても、NANDストリング間に露出されたフィールド絶縁酸化物を過度にエッチングする結果にはならない。CMPは、CMPストップとして使われる窒化物スペーサ127の頂部まで、余分な酸化物を除去する。スペーサ127間のこの酸化物と酸化物ストリップ121とは、その後ポリシリコン層117の上の表面まで逆戻りに一緒に異方的にエッチングされ、それがこの酸化物エッチングを終わらせる終点検出として使用され得る。代わりに、メモリセルのストリング間の露出した絶縁酸化物を保護するために、酸化物ストリップ121が除去されるときにエッチングされない材料でこの絶縁酸化物をマスクすることができ、このマスキング材料は、酸化物ストリップ121が除去された後に除去される。
次のステップは、残っている窒化物スペーサ127をマスクとして用いて、ストリップ117などのポリシリコンストリップを分離し、絶縁されたフローティングゲートのアイランドにすることである。ポリシリコンを異方的にエッチングすることにより、フローティングゲート131〜138が残される。その後、当該フローティングゲートと、被覆窒化物スペーサとをマスクとして用いて、ソースおよびドレインのイオン注入が行われる。N+イオン注入量は5E13から1E15までの範囲内であってよい。フローティングゲート間の注入された領域141〜147はその結果である。フローティングゲート構造131〜138が極めて細長く高いけれども、隣接する絶縁酸化物の壁からの支えのおかげで機械的に安定していることに留意すべきである。
図10を参照すると、次のステップは、図9に示されている段階で存在するメモリアレイの外面に従う誘電体層151の形成である。誘電体151は、好ましくはONOで100および200nmの間の厚さに作られる。次いで、誘電体層151に接触するフローティングゲート間のギャップを完全に埋めるように、ドーピングされたポリシリコンの第2の層がLPCVDによりアレイ上に堆積される。その後、逆戻りしてONO層151の窒化物層材料まで、或いはONOが使われていなければ当該構造の一部として残っている窒化物スペーサ127の頂部まで、余分なポリシリコン材料がCMPによって除去される。窒化物スペーサ127を横断して残存しているストリンガを除去するために、付加的なポリシリコンエッチングステップが望ましい。その結果は別々のコントロールゲートライン153〜159である。これらの導電率を高めるために、第1の実施形態に関して説明した変形例のいずれかを用いてこれらを形成して処理することができる。当該構造の露出した表面はその後にパッシベーション誘電体層により覆われ、続いて金属伝導ラインと、当該ラインをメモリセルのストリングの端のソースおよびドレイン領域と結合させるバイアと、その長さに沿うコントロールゲートラインとを形成する。
第2の実施形態が第1の実施形態について前述した全ての利点を有することに加えて、NANDストリングに沿ってフローティングゲートのより高い密度を有することが図10から分かる。この追加された利点は、フローティングゲートの長さLとそれらの間のスペースWとを限定可能な最小リソグラフィの特徴サイズより小さくすることで結果として得られる。
他の特徴
図11を参照すると、第1の実施形態および第2の実施形態のいずれかによるアレイの動作における付加的な利点が示されている。典型的なNANDストリングでは、さらに縮小されるとき、161および163のところに示されているようにフローティングゲートの側端の酸化物における電子のエッジトラッピングがさらに厳しい問題になり始める。長期にわたる循環(プログラミングおよび消去)の後に、或る程度のトンネリングした電子が、チャネル領域の上でトンネル酸化物に直に隣接する酸化物の比較的に厚い部分に捉えられたままとなる。この捉えられた電荷はメモリセルトランジスタの伝導状態に寄与し、捉えられた電子が多いほど、読み出し中のしきい値電圧が高くなる。しかし、プログラミング後に当該デバイスを割合に高い温度(例えば、125℃)で貯蔵しておけば、この酸化物捕獲されていた電荷を基板へ逆戻りに追い出すことができる。これは、“リラクゼーション”と称され、プログラミング直後のしきい値電圧より0.3v〜0.7v低いしきい値電圧をもたらす可能性がある。このリラクゼーションは、特にフローティングゲートあたりに2より多い記憶状態(“多状態”または“MLC”)動作で動作するときに重大な問題となり得る。それは、前に大量にプログラムされていた全てのセルでデータが失われるという結果をもたらし得る。
このリラクゼーション効果は、前述した実施形態のいずれにおいても、コントロールゲートライン(CG1およびCG2)がフローティングゲート(FG)の端のトンネル誘電体の端の直ぐ近くに存在していることと、プログラミング中にこれらのコントロールゲートに高電圧が印加されるということによって、部分的に或いは完全に除去される。その結果として、チャネル領域の外側で、酸化物絶縁体内の遥かに深くまで存在し、従って高温での貯蔵後のリラクゼーションの影響を遥かに受けにくいトラッピングサイトに電子が捕獲されることになる。
図12は、前述した実施形態のいずれにも行える改変を、NANDメモリセルのストリングに沿って描かれた横断面図で示す。プロセスの流れは、NAND直列ストリングにおいて隣接するフローティングゲートトランジスタの間のアクティブなシリコンに浅い空洞またはトレンチを導入するように改変され得る。このような2つのトレンチ165および167が図12に示されている。これらトレンチは、基板に対して20nmから50nmの深さまでエッチングを行うことにより形成され、フローティングゲートが形成されてそれらの間の露出されたトンネル誘電体が除去された後に実行される。それからコントロールゲートラインが形成される第2のポリシリコン層の堆積の前に、ソースおよびドレイン領域169および171を形成するために、これらの浅いトレンチのシリコンに燐または砒素が、通常5E13および1E15の間の量まで低エネルギーで注入される。
代わりに、通常は処理の初期段階で行われる基板のメモリセルチャネル領域のp形ドーピングは、上に存するコントロールゲートが僅かに正の電圧(VCG>0.5V)に保たれるときに、これらのトレンチのシリコン表面が反転されるように充分に低く行われてよい。NANDストリングの隣接するトランジスタ間のソースおよびドレイン領域におけるフィールド誘起反転のこの代替の実施形態では、当該トレンチの表面に沿って反転層を誘起し、従ってNANDストリングに沿って隣接するフローティングゲートトランジスタ間の伝導性を許容するように、コントロールゲート電圧は充分に正の電圧にセットされる。この代替実施形態では、NANDストリングに沿うシリコンのソースおよびドレイン領域へのN+ 注入は、おそらく1E13と5E13との間の非常に低い用量で行われるか、或いは全く省略される。たとえ低用量のN+ 注入であっても(これは、現在は必要であるが、これによって避けられる)フローティングゲートの端のトンネル誘電体を損傷させる可能性があり、従って優先的に避けられるので、フローティングゲートトランジスタの外側の直列NANDストリング全体にわたっての電子伝導を容易にするフィールド誘起された反転層の使用はプログラミングおよび消去サイクルの耐久性をさらに改善することができる。いずれの場合にも、このトレンチ内のシリコンがN+ に注入されてもされなくても、コントロールゲートラインと基板との間のブースティング静電容量 (boosting capacitance) は、隣接するフローティングゲート間の横方向間隔Wが非常に小さくても、この非常に浅いトレンチのおかげで大幅に増やされる。
NANDアレイの実施形態の動作
前述した両方の実施形態の新しいNANDセル構造の基本的要素は、従来のようにフローティングゲートの上にコントロールゲートを積み重ねるのではなくて、各フローティングゲートのために1つではなくて2つのコントロールゲートを形成することである。このことの概略的表示が、ゲート間の結合を示すように図13に示されている。フローティングゲートFGの両側壁と当該フローティングゲートの両側の各々隣接するコントロールゲートCG1およびCG2との間に静電容量結合CCF1 およびCCF2 が存在する。この結合は、これらのゲートの間に位置するONOまたは他のインターポリ誘電体(図示せず)を通しての結合である。また、フローティングゲートFGと基板との間の静電容量結合CFSは、トンネル誘電体(図示せず)を通しての結合である。どのコントロールゲートも、従来の構造で普通行われているようにはフローティングゲートにそれらの頂部表面から必ずしも結合していない。各トランジスタの2つのコントロールゲートとフローティングゲートとの結合の殆どは、それらが共有する垂直壁に沿っている。
新しい構成におけるフローティングゲートトランジスタの静電容量結合比は、フローティングゲートと、対向するコントロールゲートとの大きくされた物理的高さから大幅に改善され得る。図13を参照すると、結合比はおおよそ以下のとおりである。
γ=(CCF1 +CCF2 )/(CCF1 +CCF2 +CFS) (1)
通常、コンデンサCFSのトンネル誘電体は7および9ナノメートルの間の厚さのSiO2 フィルムを含み、コンデンサCCF1 およびCCF2 の誘電体は、通常14および18ナノメートルの間の酸化物等価の電気的厚さを有するサンドイッチONO誘電体である。従って、フローティングゲートの2つの垂直壁の各々に沿う容量結合の領域がチャネル結合の領域の2倍であるならば、結合比は約0.66に等しく、これは適切なデバイス動作のために全く充分である。最大プログラム電圧および最大消去電圧をさらに下げることができるようにより高い値が望まれるならば、全てのフローティングゲートをより大きな厚みに形成することによって容易に達成され得る。これは、フローティングゲートと基板との結合領域を増やすことなく、隣接するコントロールゲートとの結合領域を増大させる。新しい構造は、結合比γを減少させず、また高くスケーリングされたNANDデバイスにおいて非常に高いプログラム電圧および消去電圧を保つことを必要とすることなく、最小フローティングゲートトランジスタの特徴サイズを縮小する方法を提供する。
二重のコントロールゲートは基板に物理的に近接しているので、コントロールゲートCG1およびCG2の各々と、下にあるソースおよびドレインN+拡散との間の容量結合CCS1 およびCCS2 は、フローティングゲートの頂部にコントロールゲートを有する標準的なNANDに比べて顕著に大きくされる。実際上、これら二重のコントロールゲートは、フローティングゲートおよびコントロールゲートに加えて包含されるように他の人たちにより提案されたブースタプレートの機能のためにも役立つ。本願明細書に記載されているNAND構造のコントロールゲートは、プログラム抑止モードのときにチャネルのブースティングに関して同じ有益な効果を有し、しかも付随する問題を有する独立のブースタプレートを必要とせずにこのような有益な効果を有する。
前述した二重のゲートNANDの実施形態の、消去、プログラミング、プログラム抑止および読み出しのための基本動作原理は、NANDトランジスタの選択された行にまたがる2つのコントロールゲートに両側から1つずつ特定のコントロールゲート(ワード)ライン電圧を印加する必要があることを除いて、標準的なNAND構造と全く同様である。さらに、選択されたコントロールゲートの各々はNANDトランジスタのフローティングゲートのフローティングゲートにその他方の側で容量的に結合されてもいるので、プログラム妨害状態或いは読み出し抑止状態を防止するために隣接するワードラインの適切な電圧との容量結合を用いなければならない。
前述したNANDの実施形態におけるこれらの操作を実行する代表的な電圧のセットが図15のテーブルにより示されている。一例として、フローティングゲート28,31,34,37および40(図3および14)の行がプログラミングのためにアクセスされることを考察する。2つのコントロールゲートと容量的に結合する単一のフローティングゲートは等価コンデンサ分割器回路をもたらす。説明を目的として、コントロールゲート82、コントロールゲート83および基板とのフローティングゲートの各々の3つの静電容量(図13のCCF1 ,CCF2 およびCFS)の各々が等しいと仮定する。コントロールゲートライン82および83の各々に20ボルト(VCG1 およびVCG2 )が印加され、0ボルトが基板に印加されたとすると、当該行内の各フローティングゲートの電圧は、これら3つの電圧の合計(40ボルト)を3で割った値、すなわち13.3ボルトとなる。従って、フローティングゲートを基板チャネル領域から分離するトンネル誘電体層で13.3ボルトの電圧降下がある。これは、電子を基板からゲート誘電体を通ってフローティングゲートへトンネリングさせる極めて高い電場を生じさせる(ファウラー−ノルトハイムのトンネリング)。この議論では、いずれかのフローティングゲート上の前の消去またはプログラミングの動作からの正味の負または正の電荷に由来する電圧寄与が含まれていないことに留意しなければならない。
プログラミング電圧は通常パルス列で印加され、代表的なパルス持続時間は数マイクロ秒である。フローティングゲート28,31,34,37および40の行がプログラミングされるのと同時に、この行の両側の選択されていない行に影響が及んではならない。フローティングゲート27,30,33,36および39はこれらの隣接する行のうちの一方の中にあり、フローティングゲート29,32,35,38および41は他方の中にある(図3および14)。しかし、これらのフローティングゲートの各々の一方の側は、この例では20ボルトのコントロールゲート82および83のうちの1つに容量的に結合されている。しかし、これらのフローティングゲートの各々の反対側は、同時にコントロールゲート81または84のうちのいずれかと容量的に結合されている。これらのコントロールゲートの電圧が2ボルトにセットされ、基板が0ボルトであるとすれば、これらの選択されていない行におけるフローティングゲート電圧は約7.3ボルトである。フローティングゲート酸化物の両端間のこの電圧は、プログラミングパルス中に当該酸化物を通して基板チャネルから電子をトンネリングさせるには不十分である。
図15のテーブルから、コントロールゲートの選択された行に沿っていないコントロールゲートに印加される電圧が、その行がプログラミングされるか、または読み出される行の下にあるか上にあるかによって、異なる電圧にセットされることに留意されたい。これは、VSに接続されているアレイの側から順番に始まる行がプログラムされるタイプのNANDを想定している。従って、図3および14の実施形態では、選択された行の下のフローティングゲートの前の行が既にプログラムされていることが分かっている。同様に、プログラミング動作に関して、選択された行の上の後の行が消去された状態にあることも分かっている。適切なプログラミングのために、ビットライン電圧(0V)がプログラムされるフローティングゲートトランジスタのチャネルに印加されることが必要である。これは、プログラムされるセルとビットラインとの間にあるNANDチェーン中の全ての直列トランジスタがこのプログラミング中にオンに転換されることを必要とする。これは、対応するコントロールゲート電圧が0Vより高いことを、通常は1Vから2Vであることを必要とする。さらに、この議論は図3および14のアレイを参照し、これらは第2のものよりも前述した第1の実施形態の方に特に関連しているけれども、第2の実施形態によるアレイも同様に操作される。
適切な読み出し感知が行われるためには、選択された行内にある各ストリング中の1つの選択されたメモリセルトランジスタの適切な問合せを許容するようにNAND中の選択されていないトランジスタの全てが伝導しているべきであり、すなわち“オン”の状態であるべきである。最高しきい値状態にプログラムされたメモリトランジスタの伝導を保証するためにフローティングゲートで最低で3.3ボルトが必要であり、かつフローティングゲートと基板との静電容量およびフローティングゲートと隣接するコントロールゲートの静電容量が全て等しいとすれば、2つの隣接するコントロールゲート電圧の合計は最低で10ボルトであるべきである。図16は、簡略化のために、8個のトランジスタ(T0〜T7)と9個のワードライン(WL0〜WL8)から成る1つのNANDストリングを示しているが、実際のアレイは多数の並列NANDストリングから成り、その各々が図3および14に関して前述したように、16個、32個またはそれ以上のトランジスタを有することが想定される。多状態トランジスタT4が読み出しのために選択され、所望の読み出し手順がビットライン伝導が認められるまでのフローティングゲート電圧の逐次上昇であるとすると、フローティングゲートの電圧は、セルに存する状態と殆ど同数のステップで低い値から高い値(3.3v)まで順次高められるべきである。例えば、セルに4つの状態が記憶されるとすれば、その4状態を区別するために少なくとも3つの電圧ステップが必要である。
この条件を満たす方法はいろいろある。1つの可能なアプローチは、選択されたトランジスタ(T4)の直ぐ隣のワードライン(WL4およびWL5)の両方にVR0ボルトを加え、この選択されたセルの上および下の両方の次の隣のワードライン(WL3およびWL6)に10−VR0ボルトを加え、そして全てのワードラインに電圧が印加されるまで、選択されたトランジスタの上および下の両方で外側に作用する残りのワードラインの全てにVR0ボルトおよび10−VR0ボルトのこの交互パターンを続行する。VR0は、隣接するコントロールゲートの両方に印加されたときに最低しきい値状態(消去)を最低のプログラムされた電荷記憶状態(“1”)から区別するコントロールゲート電圧として選択される。代表的な値は0と1ボルトとの間にある。伝導の有無を判定するためにビットライン電流が感知される。これらの電圧条件は、全ての選択されていないトランジスタがそれらの隣のコントロールゲートの合計で10ボルトの電圧を有するという結果をもたらし、さらにその結果として、フローティングゲート電圧は、最高の可能なフローティングゲート状態より高く、かつ全ての選択されていないトランジスタの伝導を保証する3.3ボルトになる。選択されたセルの次の状態を読み出すためにVR0ボルトの全てのワードラインが新しい電圧VR1にセットされ、10−VR0ボルトの全てのワードラインは新しい電圧10−VR1にセットされ、ビットライン電流の有無が感知される。VR0と同様に、VR1は最低のプログラムされた状態(“1”)と次に高いプログラムされた状態(“2”)とを区別するために選択される。このプロセスは、全ての可能なプログラムされた状態が感知されるまで続行される。このアプローチは、各フローティングゲートにおいて一定の最低必要電圧を維持し、全てのフローティングゲートの電荷状態に対する読み出し妨害条件の可能性を最小にするのに役立つ。
選択されたフローティングゲート状態を読み出す1つの代わりのアプローチは、選択されたトランジスタ(T4)の直ぐ隣のワードライン(WL4およびWL5)の両方にVR0ボルトを加え、選択されたセルの上および下の両方の次の隣のワードライン(WL3およびWL6)に10−VR0ボルトを加え、全ての残りのワードラインに5ボルトを加えることである。選択されたワードラインの電圧が高められてゆくとき、2つの隣接するワードラインの電圧を同量だけ低くすることができる。このアプローチは、最大4つのコントロールゲートがスイッチングされるという利点を有するが、選択されたトランジスタに隣接するトランジスタのフローティングゲート電圧が前のアプローチの場合の3.3ボルトではなくて5ボルトに保たれるので、これらの隣接するトランジスタに頻繁に必要以上にストレスがかかるという欠点を有する。
ブロックごとの消去は、従来技術のNANDブロックと同様に実行される。1つのブロック内の全てのコントロールゲートは0v(消去されたブロック)または浮動(消去されていないブロック)であり、アレイ全体のための局所基板(p−ウェルおよび下のn−ウェル)は〜20vに高められる。
図15のテーブルに示されている電圧は単なる例示に過ぎず、他の電圧でも同じく或いはもっと良く作用するであろうことが理解されよう。例えば、スパイキングおよび過度の高電圧を回避するために、二重のコントロールゲートに印加されて一定のフローティングゲートをアドレス指定する電圧を順序付けするのが好ましい。さらに、全てのコントロールゲートのワードラインとそれに隣接する構造との間に存在する容量結合に依存して前記コントロールゲート上の浮動電圧を動的に維持するように、アドレス指定されたNANDストリング内の任意のコントロールゲートの特定の電圧を一定の電圧レベルにセットしてからそれを後の読み出し動作、書き込み動作または消去動作のときにその電圧レベルで浮動させるのが有利であり得る。このコンセプトは、グローバルワードラインを用いて2つ以上のローカルコントロールゲート(ワード)ラインの電圧にアクセスして選択的にセットするために採用され得る。さらに、各フローティングゲートに隣接する種々の誘電体層の厚さが将来の世代で縮小されるとき、付随する短絡および破壊的誘電体ブレークダウンの可能性を伴う過度に高い電場を避けるために全ての電圧を対応的に低下させるべきである。
結論
本発明の種々の態様をその代表的な実施形態に関して説明してきたが、本発明が添付の特許請求の範囲全体の中でその権利が保護されるべきであることが理解できよう。
本発明のメモリセルアレイと動作の改善とを実現し得る1つのタイプのメモリシステムのブロック図である。 従来技術のNANDアレイの平面図である。 図2Aの従来技術のNANDアレイの断面A−Aに沿って描かれた横断面図である。 NAND構成のメモリセルアレイの例の平面図である。 図3のアレイの断面A−Aにおいて描かれた横断面図である。 図3のアレイの断面B−Bにおいて描かれた横断面図である。 図3のアレイの断面C−Cにおいて描かれた横断面図である。 図3〜5のアレイの改変された変形例の第1の実施形態の図5Aに対応するプロセス段階において断面B−Bにおいて描かれた横断面図である。 図3〜5のアレイの第2の実施形態の順次に形成された構造の図3の断面A−Aにおいて描かれた横断面図である。 図3〜5のアレイの第2の実施形態の順次に形成された構造の図3の断面A−Aにおいて描かれた横断面図である。 図3〜5のアレイの第2の実施形態の順次に形成された構造の図3の断面A−Aにおいて描かれた横断面図である。 図3〜5のアレイの第2の実施形態の順次に形成された構造の図3の断面A−Aにおいて描かれた横断面図である。 図3〜5または図7〜10のいずれかの実施形態のメモリセルの拡大横断面図であり、その利点を示す。 図3〜5または図7〜10のいずれかの実施形態において実現され得る代わりのメモリセル構造の横断面図である。 図3〜5または図7〜10のいずれかの実施形態のゲートエレメントと基板との間の容量性結合を示す。 図3〜5または図7〜10のいずれかの実施形態によるメモリセルアレイの等価回路図である。 図14の回路図を参照するメモリセルアレイの動作条件の例のテーブルである。 NANDメモリを読み出す方法を説明するために使用される1つのNANDストリングの回路図である。

Claims (37)

  1. 基板上でソースとドレイン領域との間に位置する電荷記憶素子のアレイを含む不揮発性メモリで、前記記憶素子のうちの指定された記憶素子の電荷状態を変更し或いは判定する方法において、
    前記指定された記憶素子と両方とも容量的に結合される少なくとも第1および第2のコントロールゲートに第1の電圧レベルを印加して結合させて、前記指定された記憶素子の電荷状態を変更し或いは判定するステップと、
    同時に、前記第1または第2のコントロールゲートのうちの1つと結合する指定されていない記憶素子の第1のグループと容量的に結合する少なくとも第3のコントロールゲートに第2の電圧レベルを印加して結合させて、前記指定されていない記憶素子の第1のグループの電荷状態を変更も判定も行わせないようにするステップと、
    同時に、他の指定されていない記憶素子が容量的に結合する付加的なコントロールゲートに第3の電圧レベルを印加してそのうちの少なくとも2つを結合させて、前記他の指定されていない記憶素子の電荷状態を変更も判定も行わせないようにするステップと、
    を含むことを特徴とする方法。
  2. 少なくとも前記第1および第2のコントロールゲートに印加される前記第1の電圧レベルは実質的に同じであり、前記第2および第3の電圧レベルは前記第1の電圧レベルとは著しく異なることを特徴とする請求項1記載の方法。
  3. 前記第1の電圧レベルを印加するステップは、前記第1の電圧レベルを1つの範囲の値にわたってインクリメントするステップを含むことを特徴とする請求項1記載の方法。
  4. 前記第1の電圧レベルを印加するステップは、前記第1の電圧レベルのパルスを印加するステップを含むことを特徴とする請求項1記載の方法。
  5. 基板表面中に位置する電荷記憶素子のアレイを含む不揮発性メモリで、前記記憶素子のうちの指定された記憶素子に記憶されるデータを表すそれらの電荷記憶状態を同時にプログラムまたは読み出すために前記指定された記憶素子に電圧を加える方法において、その両側の複数のコントロールゲートのうちの一対と容量的に結合する電荷記憶素子の間で前記アレイを横断して伸びる長さを有する複数のコントロールゲートにいろいろな電圧を印加するステップを含み、前記複数のコントロールゲートのうちの前記指定された記憶素子に隣接するコントロールゲートに第1の電圧レベルを加え、かつ前記複数のコントロールゲートのうちの前記指定された記憶素子に隣接していないコントロールゲートに第2の電圧レベルを加えるステップを含み、前記第1および第2の電圧は著しく異なることを特徴とする方法。
  6. 前記複数のコントロールゲートのうちの前記指定された記憶素子に隣接するコントロールゲートに加えられる前記第1の電圧レベルは実質的に同じであることを特徴とする請求項5記載の方法。
  7. 前記第1の電圧レベルを加えるステップは、前記指定された記憶素子の電荷記憶状態を読み出すために、1つの範囲の値にわたって前記第1の電圧レベルをインクリメントするステップを含むことを特徴とする請求項5記載の方法。
  8. 前記第1の電圧レベルを加えるステップは、前記指定された記憶素子を所望の記憶状態にプログラムするために、前記指定された記憶素子の両側のコントロールゲートに前記第1の電圧レベルでパルスするステップを含むことを特徴とする請求項5記載の方法。
  9. データをプログラムし、かつ読み出すための不揮発性メモリにおいて、
    半導体基板中に位置する電荷記憶素子のアレイと、
    個々の電荷記憶素子の対向する側壁がコントロールゲートラインのうちの少なくとも2つと容量的に結合するように前記アレイを横断して伸びる複数のコントロールゲートラインと、
    前記コントロールゲートラインに接続されて、前記コントロールゲートラインと容量的に結合される前記電荷記憶素子にデータをプログラムし、そこからデータを読み出すときに、制御された電圧を印加するデコーダおよび電圧供給部と、
    を含むことを特徴とするメモリ。
  10. 前記コントロールゲートラインは、電荷記憶素子間の領域で前記基板と付加的に容量的に結合されることを特徴とする請求項9記載のメモリ。
  11. 前記コントロールラインの経路において前記電荷記憶素子間で前記基板に形成されたトレンチを付加的に含み、前記コントロールゲートラインは、前記コントロールラインと前記基板との間に誘電体層を伴って前記トレンチ内に伸び込むことを特徴とする請求項10記載のメモリ。
  12. 前記メモリセルはメモリセルの複数の直列接続されたストリングを成して向けられ、前記コントロールゲートは前記電荷記憶素子間でメモリセルの複数のストリングを横切って伸びることを特徴とする請求項9記載のメモリ。
  13. 前記メモリセルの複数のストリング間で前記基板に誘電体で埋められた絶縁トレンチをさらに含むことを特徴とする請求項12記載のメモリ。
  14. 前記コントロールゲートは、前記コントロールゲートの高さの底部分としてのドーピングされたポリシリコンと、これに接触する前記コントロールゲートの高さの上部分としての金属またはシリサイド材料との組み合わせを含むことを特徴とする請求項12記載のメモリ。
  15. 半導体基板を横断して第1の方向に伸びる直列接続されたメモリセルの複数のストリングを含む不揮発性メモリセルアレイにおいて、前記メモリセルは電荷記憶素子を含み、前記アレイは前記メモリセルのストリングを横断して第2の方向に伸びるコントロールラインを含むと共にその電荷記憶素子に隣接するコントロールゲートを含み、前記第1および第2の方向は互いに直交し、さらに前記コントロールゲートが、前記コントロールゲートの両側で前記メモリセルのストリングの隣接する記憶素子の側壁と容量的に結合するように前記メモリのストリングの隣接する記憶素子の間に位置することを特徴とするメモリセルアレイ。
  16. 前記コントロールラインは、前記記憶素子間の基板領域とさらに容量的に結合されることを特徴とする請求項15記載のメモリセルアレイ。
  17. 前記コントロールラインは、前記基板領域に形成されたトレンチの中に伸び込み、これらの間に誘電体の層があることを特徴とする請求項16記載のメモリセルアレイ。
  18. 前記基板領域との前記コントロールラインの容量結合は、前記コントロールラインに加えられた電圧に応じて前記基板領域の導電率を高めることを特徴とすることを特徴とする請求項11、16または17のうちのいずれか記載のメモリセルアレイ。
  19. 前記電荷記憶素子は、その幅より大きい距離だけ前記基板の上に伸びる高さを個々に有する伝導性フローティングゲートを含み、前記コントロールラインは、前記コントロールゲートが容量的に結合されるフローティングゲートの高さと少なくとも同じだけ前記基板の上に伸びることを特徴とする請求項15記載のメモリセルアレイ。
  20. 前記コントロールラインの底部分はドーピングされたポリシリコン材料を含み、前記コントロールラインの上部分は前記ドーピングされたポリシリコン材料と接触する金属またはシリサイド材料を含むことを特徴とする請求項19記載のメモリセルアレイ。
  21. 前記コントロールラインの各々は、互いに電気的に絶縁されるように前記フローティングゲートのうちの隣接するフローティングゲートの間の間隔の中に位置することを特徴とする請求項14または20のうちのいずれか記載のメモリセルアレイ。
  22. 不揮発性メモリシステムにおいて、
    メモリセルアレイであって、
    半導体基板を横断して第1の方向に伸び、かつ第2の方向に間隔を置く直列接続されたメモリセルの複数のストリングであって、前記第1および第2の方向は垂直であり、前記メモリセルは個々に電荷記憶素子を含む複数のストリングと、
    複数のメモリセルのストリングを横断して第2の方向に伸び、かつ前記第1の方向においては隣接する電荷記憶素子間に位置するコントロールゲートラインであって、個々の電荷記憶素子の両側壁はその両側の前記コントロールゲートの両方と容量的に結合されるコントロールゲートラインと、
    前記コントロールゲートラインに接続された電圧供給回路であって、前記電圧供給回路は、(a)少なくとも記憶素子の第1の行の電圧レベルをそれらの電荷状態を変更し或いは判定するために充分なレベルまで高めるために、複数のストリングを横断して少なくとも記憶素子の第1の行の両側の一対のコントロールゲートラインに第1の電圧を供給し、同時に、(b)前記少なくとも第1の行の両側の第2および第3の行の電荷記憶素子の電圧レベルをそれらの状態を変更し或いは判定するためには不十分なレベルに保つために、前記第1の方向においてその両側の前記一対のコントロールゲートラインに隣接する2つのコントロールゲートラインの各々に前記第1の電圧とは異なる第2の電圧を供給する電圧供給回路と、を含むメモリセルアレイと、
    を含むことを特徴とする不揮発性メモリシステム。
  23. 前記電荷状態数は、2より多く、これにより各メモリセルに2ビット以上のデータを記憶させることを可能にすることを特徴とする請求項22記載のメモリシステム。
  24. 前記メモリセルアレイは、NANDアレイであることを特徴とする請求項22記載のメモリシステム。
  25. 半導体基板上にメモリセルアレイを作る方法において、
    その間の誘電体の第1の層と共に前記基板の表面領域にフローティングゲートの列および行の長方形アレイを形成するステップと、
    前記基板上のフローティングゲートの列間に絶縁を設けるステップと、
    前記フローティングゲートの両側壁が誘電体の第2の層を通してその両側のコントロールゲートの壁と容量的に結合し、かつ前記コントロールゲートの底面が誘電体の第3の層を通してウェル上の前記基板の表面と容量的に結合するように、前記フローティングゲートの行間で前記列に垂直に前記基板領域を横断して伸びるコントロールゲートを形成するステップと、
    を含むことを特徴とする方法。
  26. 前記フローティングゲートを形成するステップは、
    前記基板の前記表面領域で誘電体の前記第1の層の上に伝導性フローティングゲート材料の層を堆積するステップと、
    前記フローティングゲート材料層の上に第1のタイプの誘電体材料を堆積するステップと、
    前記列の方向に伸び、最小分解可能素子サイズに応じて前記行の方向に幅とそれらの間の間隔とを有するストリップを残すように前記第1のタイプの誘電体材料の部分を除去するステップと、
    前記列の方向においてスペーサ間に前記最小分解可能素子サイズより小さなスペースを残すように、前記第1のタイプの誘電体材料のストリップの側壁に沿って第2のタイプの誘電体のスペーサを形成するステップと、
    前記第1のタイプの誘電体材料の部分と前記スペーサ間のフローティングゲート材料層の部分とを除去し、これにより前記列の方向に長さと前記最小分解可能素子サイズより小さいそれらの間のスペースとを有するフローティングゲートを画定するステップと、
    を含むことを特徴とする請求項25記載の方法。
  27. 前記第1のタイプの誘電体材料の部分を除去するステップは、ストリップの幅と、それらの間の前記最小分解可能素子サイズに応じたスペースとを有するマスクをその上に形成し、その後、前記マスクを通して、前記マスクストリップの下の前記第1のタイプの誘電体材料を部分的に除去するように前記第1のタイプの誘電体を等方的に横方向にエッチングし、これにより前記最小分解可能素子サイズより小さな幅を有する前記第1のタイプの誘電体材料のストリップを形成するステップを含むことを特徴とする請求項26記載の方法。
  28. コントロールゲートを形成する前に、前記列の方向において前記フローティングゲート間で前記基板表面にトレンチを形成するステップをさらに含み、前記コントロールゲートを形成するステップは前記トレンチ内に間に電気絶縁を有して伸び込むように前記コントロールゲートを形成するステップを含むことを特徴とする請求項25または26のうちのいずれか記載の方法。
  29. 前記コントロールゲートを形成するステップは、前記コントロールゲートの底部分をドーピングされたポリシリコン材料から形成し、その後、前記コントロールゲートの上部分を前記ドーピングされたポリシリコン材料と接触する金属またはシリサイド材料から形成するステップを含むことを特徴とする請求項25または26のうちのいずれか記載の方法。
  30. 前記コントロールゲートの上部分を形成するステップは、前記アレイ上に金属またはシリサイドの連続的な層を形成し、その後、前記コントロールゲートの上部分を前記トレンチ内に互いに絶縁された状態で残すだけの前記連続的な層のある量を除去するように化学機械研磨操作を実行するステップを含むことを特徴とする請求項29記載の方法。
  31. 不揮発性メモリセルアレイを半導体基板上に形成する方法において、
    前記基板に伝導性材料の層を、その間に誘電体の第1の層を介在させ、かつその上に第1のタイプの誘電体の第2の層を置いて形成するステップと、
    第1の方向の幅を有すると共に前記基板を横断して第2の方向に伸びる長さを有するストリップをなすように少なくとも前記伝導性材料と誘電体の第2の層との部分を除去するステップであって、前記第1および第2の方向は互いに垂直である除去するステップと、
    マスキング素子間で誘電体の前記第2の層に溝を形成するために前記第2の方向に最小分解可能素子サイズに応じた寸法を有するマスキング素子を通して誘電体の前記第2の層の部分を除去するステップであって、誘電体の前記第2の層の残ったアイランドの前記第2の方向におけるその対向する側壁間の寸法を減少させるように前記マスキング素子の下の誘電体の前記第2の層の部分を除去することを含む除去するステップと、
    前記第2の方向においてスペーサ間にギャップの第1のセットが存在するように誘電体の前記第2の層の前記アイランドの前記側壁に沿って第2のタイプの誘電体のスペーサを形成するステップと、
    誘電体の前記第2の層の残りの部分を前記スペーサ間から除去し、これにより前記第2の方向において前記スペーサ間にギャップの第2のセットを形成するステップと、
    その後、前記スペーサ間のギャップの前記第1および第2のセットにおいて露出する伝導性材料の前記層の部分を除去し、これにより前記スペーサの下に、その間に前記第2の方向の間隔をおいてフローティングゲートを残すステップと、
    を含むことを特徴とする方法。
  32. その後、前記フローティングゲートの側壁がその間の絶縁材料を通してその両側のコントロールゲートラインの側壁と容量的に結合するように、前記アレイを横断して前記第1の方向に伸びる長さを有すると共に前記フローティングゲート間の前記スペースにおいて前記第2の方向に間隔を置くコントロールゲートラインを形成するステップをさらに含むことを特徴とする請求項31記載の方法。
  33. 前記フローティングゲート間で前記基板に浅いトレンチを形成するステップをさらに含み、前記コントロールゲートラインを形成するステップは、前記基板の前記トレンチの中に、その間に絶縁材料を置いて伸びこむコントロールゲートラインを形成するステップを含むことを特徴とする請求項32記載の方法。
  34. 前記コントロールゲートラインを形成するステップは、前記コントロールゲートラインの底部分が前記フローティングゲート間の基板領域と、その間の絶縁材料を通して、容量結合するように前記底部分を形成するステップを含むことを特徴とする請求項32または33のいずれか記載の方法。
  35. 前記コントロールゲートラインを形成するステップは、始めにドーピングされたポリシリコン材料で前記コントロールゲートラインの底部分を形成し、その後、前記ドーピングされたポリシリコン材料と接触する金属またはシリサイド材料で前記コントロールゲートラインの上部分を形成するステップを含むことを特徴とする請求項32または33のいずれか記載の方法。
  36. 前記コントロールゲートの上部分を形成するステップは、前記アレイ上に金属またはシリサイドの連続的な層を形成し、その後、前記コントロールゲートの上部分を前記トレンチ内に互いに絶縁された状態で残すだけの前記連続的な層のある量を除去するように化学機械研磨操作を実行するステップを含むことを特徴とする請求項35記載の方法。
  37. 2より多い複数の不揮発性メモリトランジスタのストリングが指定されたビットラインに直列に接続可能になっている半導体基板上のメモリアレイを操作する方法において、前記メモリトランジスタ間の基板領域と容量結合して位置する伝導性ゲートエレメントの電位を利用して前記領域を通して前記ストリングに沿って前記基板にあるレベルの導電率を選択的に提供するステップを含むことを特徴とする方法。
JP2004548375A 2002-10-28 2003-10-09 メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ Expired - Fee Related JP4933048B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/282,747 US6888755B2 (en) 2002-10-28 2002-10-28 Flash memory cell arrays having dual control gates per memory cell charge storage element
US10/282,747 2002-10-28
PCT/US2003/032383 WO2004040583A1 (en) 2002-10-28 2003-10-09 Flash memory cell arrays having dual control gates per memory cell charge storage element

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011100872A Division JP5718718B2 (ja) 2002-10-28 2011-04-28 メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ

Publications (2)

Publication Number Publication Date
JP2006504280A true JP2006504280A (ja) 2006-02-02
JP4933048B2 JP4933048B2 (ja) 2012-05-16

Family

ID=32107440

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004548375A Expired - Fee Related JP4933048B2 (ja) 2002-10-28 2003-10-09 メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ
JP2011100872A Expired - Fee Related JP5718718B2 (ja) 2002-10-28 2011-04-28 メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011100872A Expired - Fee Related JP5718718B2 (ja) 2002-10-28 2011-04-28 メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ

Country Status (9)

Country Link
US (8) US6888755B2 (ja)
EP (1) EP1556866B1 (ja)
JP (2) JP4933048B2 (ja)
KR (1) KR20050091702A (ja)
CN (1) CN1720588B (ja)
AT (1) ATE418783T1 (ja)
AU (1) AU2003279257A1 (ja)
DE (1) DE60325500D1 (ja)
WO (1) WO2004040583A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260309A (ja) * 2008-04-17 2009-11-05 Samsung Electronics Co Ltd 半導体素子の微細パターン形成方法
JP2013196725A (ja) * 2012-03-19 2013-09-30 Toshiba Corp 不揮発性半導体記憶装置
JP2013200924A (ja) * 2012-03-26 2013-10-03 Toshiba Corp 不揮発性半導体記憶装置
US8837223B2 (en) 2011-11-21 2014-09-16 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacuring the same

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4084922B2 (ja) * 2000-12-22 2008-04-30 株式会社ルネサステクノロジ 不揮発性記憶装置の書込み方法
US6762092B2 (en) * 2001-08-08 2004-07-13 Sandisk Corporation Scalable self-aligned dual floating gate memory cell array and methods of forming the array
US6995414B2 (en) 2001-11-16 2006-02-07 Kabushiki Kaisha Toshiba Semiconductor memory device including multi-layer gate structure
US6894930B2 (en) 2002-06-19 2005-05-17 Sandisk Corporation Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP2004152977A (ja) * 2002-10-30 2004-05-27 Renesas Technology Corp 半導体記憶装置
US6888190B2 (en) * 2002-10-31 2005-05-03 Ememory Technology Inc. EEPROM with source line voltage stabilization mechanism
US7505321B2 (en) 2002-12-31 2009-03-17 Sandisk 3D Llc Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
US7233522B2 (en) * 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
KR100628419B1 (ko) * 2003-02-26 2006-09-28 가부시끼가이샤 도시바 개선된 게이트 전극을 포함하는 불휘발성 반도체 기억 장치
JP3927156B2 (ja) * 2003-02-26 2007-06-06 株式会社東芝 不揮発性半導体記憶装置
JP4909682B2 (ja) * 2003-02-26 2012-04-04 株式会社東芝 不揮発性半導体記憶装置
US7759719B2 (en) * 2004-07-01 2010-07-20 Chih-Hsin Wang Electrically alterable memory cell
US7608882B2 (en) * 2003-08-11 2009-10-27 Macronix International Co., Ltd. Split-gate non-volatile memory
DE10339283B9 (de) * 2003-08-26 2009-03-05 Infineon Technologies Ag Verfahren zum Entwurf von integrierten Schaltkreisen mit Ersatz-Logikgattern
US6905926B2 (en) * 2003-09-04 2005-06-14 Atmel Corporation Method of making nonvolatile transistor pairs with shared control gate
JP4005962B2 (ja) * 2003-09-22 2007-11-14 株式会社東芝 不揮発性半導体記憶装置
KR100593599B1 (ko) * 2003-12-30 2006-06-28 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7355237B2 (en) * 2004-02-13 2008-04-08 Sandisk Corporation Shield plate for limiting cross coupling between floating gates
KR101085406B1 (ko) * 2004-02-16 2011-11-21 삼성전자주식회사 불 휘발성 메모리를 제어하기 위한 컨트롤러
US7072215B2 (en) * 2004-02-24 2006-07-04 Taiwan Semiconductor Manufacturing Company Array structure of two-transistor cells with merged floating gates for byte erase and re-write if disturbed algorithm
US7020017B2 (en) * 2004-04-06 2006-03-28 Sandisk Corporation Variable programming of non-volatile memory
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7274596B2 (en) * 2004-06-30 2007-09-25 Micron Technology, Inc. Reduction of adjacent floating gate data pattern sensitivity
US20080203464A1 (en) * 2004-07-01 2008-08-28 Chih-Hsin Wang Electrically alterable non-volatile memory and array
US7387932B2 (en) * 2004-07-06 2008-06-17 Macronix International Co., Ltd. Method for manufacturing a multiple-gate charge trapping non-volatile memory
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4271111B2 (ja) 2004-09-21 2009-06-03 株式会社東芝 不揮発性半導体記憶装置
US7416956B2 (en) * 2004-11-23 2008-08-26 Sandisk Corporation Self-aligned trench filling for narrow gap isolation regions
US7381615B2 (en) * 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US6980471B1 (en) * 2004-12-23 2005-12-27 Sandisk Corporation Substrate electron injection techniques for programming non-volatile charge storage memory cells
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7315474B2 (en) 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7473589B2 (en) 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
TWI270199B (en) * 2005-01-31 2007-01-01 Powerchip Semiconductor Corp Non-volatile memory and manufacturing method and operating method thereof
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
JP4921723B2 (ja) * 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
KR100691490B1 (ko) * 2005-04-29 2007-03-09 주식회사 하이닉스반도체 플래시 메모리 소자의 게이트 형성 방법
US7585724B2 (en) * 2005-05-10 2009-09-08 Elite Semiconductor Memory Technology, Inc. FLASH memory device and method of manufacture
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
CN101506981A (zh) * 2005-06-21 2009-08-12 纳诺斯塔公司 用于存储多个数据的非易失性电学可改写存储器单元及其阵列
US7411244B2 (en) 2005-06-28 2008-08-12 Chih-Hsin Wang Low power electrically alterable nonvolatile memory cells and arrays
US7750384B2 (en) * 2005-06-29 2010-07-06 Hynix Semiconductor Inc. Flash memory device having intergated plug
JP2007012180A (ja) * 2005-06-30 2007-01-18 Renesas Technology Corp 半導体記憶装置
KR100673229B1 (ko) * 2005-07-04 2007-01-22 주식회사 하이닉스반도체 낸드형 플래시 메모리 소자 및 그것의 제조방법
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7763927B2 (en) 2005-12-15 2010-07-27 Macronix International Co., Ltd. Non-volatile memory device having a nitride-oxide dielectric layer
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7936604B2 (en) * 2005-08-30 2011-05-03 Halo Lsi Inc. High speed operation method for twin MONOS metal bit array
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7322138B2 (en) * 2005-08-31 2008-01-29 Southern Imperial, Inc. Shelf edge sign holder
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7130221B1 (en) 2005-09-26 2006-10-31 Macronix International Co., Ltd. Dual gate multi-bit semiconductor memory
US7294888B1 (en) * 2005-09-30 2007-11-13 Xilinx, Inc. CMOS-compatible non-volatile memory cell with lateral inter-poly programming layer
US20070085129A1 (en) * 2005-10-14 2007-04-19 Macronix International Co., Ltd. Nitride read only memory device with buried diffusion spacers and method for making the same
JP2007165862A (ja) * 2005-11-15 2007-06-28 Toshiba Corp 半導体装置の製造方法
JP2007157854A (ja) * 2005-12-01 2007-06-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US7615448B2 (en) * 2005-12-06 2009-11-10 Sandisk Corporation Method of forming low resistance void-free contacts
US7737483B2 (en) * 2005-12-06 2010-06-15 Sandisk Corporation Low resistance void-free contacts
EP1964170A2 (en) * 2005-12-21 2008-09-03 Sandisk Corporation Flash devices with shared word lines and manufacturing methods therefor
US7655536B2 (en) * 2005-12-21 2010-02-02 Sandisk Corporation Methods of forming flash devices with shared word lines
US7495294B2 (en) * 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
US7973366B2 (en) 2006-02-13 2011-07-05 Macronix International Co., Ltd. Dual-gate, sonos, non-volatile memory cells and arrays thereof
US7902589B2 (en) * 2006-02-17 2011-03-08 Macronix International Co., Ltd. Dual gate multi-bit semiconductor memory array
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7436733B2 (en) * 2006-03-03 2008-10-14 Sandisk Corporation System for performing read operation on non-volatile storage with compensation for coupling
US7499319B2 (en) * 2006-03-03 2009-03-03 Sandisk Corporation Read operation for non-volatile storage with compensation for coupling
JP4791868B2 (ja) * 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
KR100719379B1 (ko) * 2006-03-30 2007-05-17 삼성전자주식회사 비휘발성 메모리 장치
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7499326B2 (en) 2006-04-12 2009-03-03 Sandisk Corporation Apparatus for reducing the impact of program disturb
US7426137B2 (en) 2006-04-12 2008-09-16 Sandisk Corporation Apparatus for reducing the impact of program disturb during read
US7515463B2 (en) 2006-04-12 2009-04-07 Sandisk Corporation Reducing the impact of program disturb during read
US7436713B2 (en) 2006-04-12 2008-10-14 Sandisk Corporation Reducing the impact of program disturb
US7951669B2 (en) * 2006-04-13 2011-05-31 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
US7638878B2 (en) * 2006-04-13 2009-12-29 Micron Technology, Inc. Devices and systems including the bit lines and bit line contacts
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7907450B2 (en) 2006-05-08 2011-03-15 Macronix International Co., Ltd. Methods and apparatus for implementing bit-by-bit erase of a flash memory device
US7440331B2 (en) 2006-06-01 2008-10-21 Sandisk Corporation Verify operation for non-volatile storage using different voltages
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7457163B2 (en) 2006-06-01 2008-11-25 Sandisk Corporation System for verifying non-volatile storage using different voltages
US7310272B1 (en) * 2006-06-02 2007-12-18 Sandisk Corporation System for performing data pattern sensitivity compensation using different voltage
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7450421B2 (en) * 2006-06-02 2008-11-11 Sandisk Corporation Data pattern sensitivity compensation using different voltage
KR100777016B1 (ko) * 2006-06-20 2007-11-16 재단법인서울대학교산학협력재단 기둥 구조를 갖는 낸드 플래시 메모리 어레이 및 그제조방법
US8188536B2 (en) * 2006-06-26 2012-05-29 Macronix International Co., Ltd. Memory device and manufacturing method and operating method thereof
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
KR101320519B1 (ko) * 2006-07-27 2013-10-23 삼성전자주식회사 패스 트랜지스터를 갖는 비휘발성 메모리 소자 및 그 동작방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR101427362B1 (ko) * 2006-09-19 2014-08-07 샌디스크 테크놀로지스, 인코포레이티드 기판 트렌치에 스페이서로 형성된 플로팅 게이트를 구비하는 비휘발성 메모리 셀의 어레이
US7696044B2 (en) * 2006-09-19 2010-04-13 Sandisk Corporation Method of making an array of non-volatile memory cells with floating gates formed of spacers in substrate trenches
US7646054B2 (en) * 2006-09-19 2010-01-12 Sandisk Corporation Array of non-volatile memory cells with floating gates formed of spacers in substrate trenches
US20080074920A1 (en) * 2006-09-21 2008-03-27 Henry Chien Nonvolatile Memory with Reduced Coupling Between Floating Gates
US7615445B2 (en) * 2006-09-21 2009-11-10 Sandisk Corporation Methods of reducing coupling between floating gates in nonvolatile memory
KR100764060B1 (ko) * 2006-09-29 2007-10-09 삼성전자주식회사 불휘발성 메모리 장치 및 시스템 그리고 그것을 위한메모리 셀 어레이 구조
US7583530B2 (en) * 2006-10-02 2009-09-01 Mammen Thomas Multi-bit memory technology (MMT) and cells
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7811890B2 (en) 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7800161B2 (en) * 2006-12-21 2010-09-21 Sandisk Corporation Flash NAND memory cell array with charge storage elements positioned in trenches
US7642160B2 (en) * 2006-12-21 2010-01-05 Sandisk Corporation Method of forming a flash NAND memory cell array with charge storage elements positioned in trenches
US7590002B2 (en) * 2006-12-29 2009-09-15 Sandisk Corporation Resistance sensing and compensation for non-volatile storage
US7495962B2 (en) * 2006-12-29 2009-02-24 Sandisk Corporation Alternating read mode
US7606070B2 (en) * 2006-12-29 2009-10-20 Sandisk Corporation Systems for margined neighbor reading for non-volatile memory read operations including coupling compensation
US7440324B2 (en) * 2006-12-29 2008-10-21 Sandisk Corporation Apparatus with alternating read mode
US7616498B2 (en) * 2006-12-29 2009-11-10 Sandisk Corporation Non-volatile storage system with resistance sensing and compensation
US7518923B2 (en) 2006-12-29 2009-04-14 Sandisk Corporation Margined neighbor reading for non-volatile memory read operations including coupling compensation
WO2008088654A1 (en) * 2007-01-12 2008-07-24 Sandisk Corporation Nand memory with dual control gates having fixed charge layer below control gates
US7619926B2 (en) * 2007-03-29 2009-11-17 Sandisk Corporation NAND flash memory with fixed charge
US7732275B2 (en) * 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7494870B2 (en) * 2007-01-12 2009-02-24 Sandisk Corporation Methods of forming NAND memory with virtual channel
US7795080B2 (en) * 2007-01-15 2010-09-14 Sandisk Corporation Methods of forming integrated circuit devices using composite spacer structures
US7592225B2 (en) * 2007-01-15 2009-09-22 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
WO2008089153A2 (en) * 2007-01-15 2008-07-24 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
US7773403B2 (en) * 2007-01-15 2010-08-10 Sandisk Corporation Spacer patterns using assist layer for high density semiconductor devices
US7535764B2 (en) * 2007-03-21 2009-05-19 Sandisk Corporation Adjusting resistance of non-volatile memory using dummy memory cells
US7512005B2 (en) * 2007-03-30 2009-03-31 Sandisk Corporation NAND memory with side-tunneling
US7745285B2 (en) * 2007-03-30 2010-06-29 Sandisk Corporation Methods of forming and operating NAND memory with side-tunneling
US7582529B2 (en) * 2007-04-02 2009-09-01 Sandisk Corporation Methods of fabricating non-volatile memory with integrated peripheral circuitry and pre-isolation memory cell formation
US7704832B2 (en) * 2007-04-02 2010-04-27 Sandisk Corporation Integrated non-volatile memory and peripheral circuitry fabrication
US8779495B2 (en) * 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
US7636260B2 (en) * 2007-06-25 2009-12-22 Sandisk Corporation Method for operating non-volatile storage with individually controllable shield plates between storage elements
US7808826B2 (en) * 2007-06-25 2010-10-05 Sandisk Corporation Non-volatile storage with individually controllable shield plates between storage elements
US7781286B2 (en) * 2007-06-25 2010-08-24 Sandisk Corporation Method for fabricating non-volatile storage with individually controllable shield plates between storage elements
KR100876892B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8247861B2 (en) * 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
KR100902591B1 (ko) 2007-07-24 2009-06-11 주식회사 동부하이텍 반도체 메모리 소자의 제조 방법
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737488B2 (en) 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
US7760547B2 (en) * 2007-09-25 2010-07-20 Sandisk Corporation Offset non-volatile storage
US7894263B2 (en) * 2007-09-28 2011-02-22 Sandisk Corporation High voltage generation and control in source-side injection programming of non-volatile memory
TW200919738A (en) * 2007-10-30 2009-05-01 Nanya Technology Corp Flash memory
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US8072023B1 (en) 2007-11-12 2011-12-06 Marvell International Ltd. Isolation for non-volatile memory cell array
US8946803B2 (en) * 2007-12-06 2015-02-03 Sandisk Technologies Inc. Method of forming a floating gate with a wide base and a narrow stem
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US8120088B1 (en) 2007-12-07 2012-02-21 Marvell International Ltd. Non-volatile memory cell and array
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7807529B2 (en) * 2007-12-19 2010-10-05 Sandisk Corporation Lithographically space-defined charge storage regions in non-volatile memory
US7888210B2 (en) * 2007-12-19 2011-02-15 Sandisk Corporation Non-volatile memory fabrication and isolation for composite charge storage structures
US7615447B2 (en) * 2007-12-19 2009-11-10 Sandisk Corporation Composite charge storage structure formation in non-volatile memory using etch stop technologies
US8546152B2 (en) * 2007-12-19 2013-10-01 Sandisk Technologies Inc. Enhanced endpoint detection in non-volatile memory fabrication processes
DE102008003637B4 (de) * 2008-01-09 2010-05-12 Qimonda Ag Integrierter Schaltkreis, Verfahren zum Programmieren einer Speicherzellen-Anordnung eines Integrierten Schaltkreises, und Speichermodul
US7736973B2 (en) * 2008-01-25 2010-06-15 Sandisk Corporation Non-volatile memory arrays having dual control gate cell structures and a thick control gate dielectric and methods of forming
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP5166095B2 (ja) * 2008-03-31 2013-03-21 株式会社東芝 不揮発性半導体記憶装置の駆動方法及び不揮発性半導体記憶装置
US7915664B2 (en) * 2008-04-17 2011-03-29 Sandisk Corporation Non-volatile memory with sidewall channels and raised source/drain regions
JP2009289902A (ja) 2008-05-28 2009-12-10 Toshiba Corp Nand型フラッシュメモリおよびその製造方法
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
WO2010067407A1 (ja) * 2008-12-08 2010-06-17 ハングリー・シー・アセッツ・エル・エル・ピー 半導体記憶デバイスおよびその製造方法
JP5388600B2 (ja) 2009-01-22 2014-01-15 株式会社東芝 不揮発性半導体記憶装置の製造方法
EP2251907B1 (en) * 2009-05-14 2015-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Storage Device and Manufacturing Method
US10038004B2 (en) 2009-06-22 2018-07-31 Cypress Semiconductor Corporation NAND memory cell string having a stacked select gate structure and process for for forming same
US20100322006A1 (en) * 2009-06-22 2010-12-23 Ming Sang Kwan Nand memory cell string having a stacked select gate structure and process for for forming same
US8328218B2 (en) * 2009-07-13 2012-12-11 Columbia Cycle Works, LLC Commuter vehicle
JP2011040706A (ja) * 2009-07-15 2011-02-24 Toshiba Corp 不揮発性半導体記憶装置
US8258034B2 (en) * 2009-08-26 2012-09-04 Micron Technology, Inc. Charge-trap based memory
US9142262B2 (en) 2009-10-23 2015-09-22 Rambus Inc. Stacked semiconductor device
TWI460827B (zh) * 2010-03-31 2014-11-11 Taiwan Memory Company 快閃記憶體之製作方法
US8274831B2 (en) 2010-05-24 2012-09-25 Sandisk Technologies Inc. Programming non-volatile storage with synchronized coupling
US9019767B2 (en) * 2011-02-17 2015-04-28 SK Hynix Inc. Nonvolatile memory device and operating method thereof
JP5395837B2 (ja) 2011-03-24 2014-01-22 株式会社東芝 半導体装置の製造方法
US9240405B2 (en) 2011-04-19 2016-01-19 Macronix International Co., Ltd. Memory with off-chip controller
US20120327714A1 (en) * 2011-06-23 2012-12-27 Macronix International Co., Ltd. Memory Architecture of 3D Array With Diode in Memory String
US8946806B2 (en) 2011-07-24 2015-02-03 Globalfoundries Singapore Pte. Ltd. Memory cell with decoupled channels
JP2013098216A (ja) * 2011-10-28 2013-05-20 Elpida Memory Inc 半導体装置、メモリカード、データ処理システムおよび半導体装置の製造方法
KR101809222B1 (ko) 2011-11-23 2017-12-19 에스케이하이닉스 주식회사 비휘발성 메모리 장치의 리드 방법
CN103794564B (zh) * 2012-10-26 2016-12-21 李迪 一种半导体结构及其制造方法
US9111591B2 (en) * 2013-02-22 2015-08-18 Micron Technology, Inc. Interconnections for 3D memory
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US8964473B2 (en) * 2013-04-02 2015-02-24 Sandisk Technologies Inc. Select gate materials having different work functions in non-volatile memory
TWI512952B (zh) * 2013-05-06 2015-12-11 Macronix Int Co Ltd 記憶元件及其製造方法
CN104810364B (zh) * 2014-01-26 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种集成电路及其制造方法
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9449971B2 (en) * 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9349952B1 (en) 2014-12-08 2016-05-24 Sony Corporation Methods for fabricating a memory device with an enlarged space between neighboring bottom electrodes
US9711228B1 (en) * 2016-05-27 2017-07-18 Micron Technology, Inc. Apparatus and methods of operating memory with erase de-bias
US10860923B2 (en) 2016-12-20 2020-12-08 Samsung Electronics Co., Ltd. High-density neuromorphic computing element
US10262736B2 (en) 2017-07-05 2019-04-16 Micron Technology, Inc. Multifunctional memory cells
US10176870B1 (en) * 2017-07-05 2019-01-08 Micron Technology, Inc. Multifunctional memory cells
KR102422839B1 (ko) * 2018-02-23 2022-07-19 에스케이하이닉스 시스템아이씨 주식회사 수평 커플링 구조 및 단일층 게이트를 갖는 불휘발성 메모리 소자
US10622073B2 (en) * 2018-05-11 2020-04-14 Texas Instruments Incorporated Integrated circuit including vertical capacitors
US10559582B2 (en) * 2018-06-04 2020-02-11 Sandisk Technologies Llc Three-dimensional memory device containing source contact to bottom of vertical channels and method of making the same
CN110610942B (zh) * 2018-06-15 2023-07-28 硅存储技术公司 用于减少闪存存储器系统中字线和控制栅极线之间的耦合的方法和装置
CN110718256B (zh) * 2018-07-13 2021-07-09 西安格易安创集成电路有限公司 一种非易失存储器处理电路及方法
EP3985727A1 (fr) * 2020-10-19 2022-04-20 STMicroelectronics (Rousset) SAS Transistor mos isolé du substrat d'un circuit intégré et application à une détection d'une ouverture d'un récipient fermé
US11545220B2 (en) 2020-12-29 2023-01-03 Micron Technology, Inc. Split-gate memory cells
JP2022159956A (ja) 2021-04-05 2022-10-18 キオクシア株式会社 半導体記憶装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03203370A (ja) * 1989-12-29 1991-09-05 Nec Corp 半導体記憶装置
JPH0637328A (ja) * 1992-07-20 1994-02-10 Fujitsu Ltd 半導体記憶装置
JPH07312394A (ja) * 1994-05-17 1995-11-28 Sony Corp 不揮発性メモリ及びその製造方法
JPH09330989A (ja) * 1996-03-11 1997-12-22 Hyundai Electron Ind Co Ltd フラッシュeepromセル及びその製造方法
JP2003046005A (ja) * 2001-08-01 2003-02-14 Matsushita Electric Ind Co Ltd 半導体記憶装置及びその製造方法

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60117783A (ja) * 1983-11-30 1985-06-25 Toshiba Corp 不揮発性半導体メモリ装置
US5159570A (en) * 1987-12-22 1992-10-27 Texas Instruments Incorporated Four memory state EEPROM
US5095344A (en) 1988-06-08 1992-03-10 Eliyahou Harari Highly compact eprom and flash eeprom devices
US5043940A (en) 1988-06-08 1991-08-27 Eliyahou Harari Flash EEPROM memory systems having multistate storage cells
US5023680A (en) * 1988-11-10 1991-06-11 Texas Instruments Incorporated Floating-gate memory array with silicided buried bitlines and with single-step-defined floating gates
US5025494A (en) * 1988-11-10 1991-06-18 Texas Instruments Incorporated Cross-point contact-free floating-gate memory array with silicided buried bitlines
US5008212A (en) 1988-12-12 1991-04-16 Chen Teh Yi J Selective asperity definition technique suitable for use in fabricating floating-gate transistor
US5172338B1 (en) 1989-04-13 1997-07-08 Sandisk Corp Multi-state eeprom read and write circuits and techniques
JP2807304B2 (ja) * 1990-02-19 1998-10-08 株式会社東芝 不揮発性半導体装置
US5343063A (en) 1990-12-18 1994-08-30 Sundisk Corporation Dense vertical programmable read only memory cell structure and processes for making them
JPH05283710A (ja) * 1991-12-06 1993-10-29 Intel Corp 高電圧mosトランジスタ及びその製造方法
US5555204A (en) 1993-06-29 1996-09-10 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US5887145A (en) * 1993-09-01 1999-03-23 Sandisk Corporation Removable mother/daughter peripheral card
KR0169267B1 (ko) 1993-09-21 1999-02-01 사토 후미오 불휘발성 반도체 기억장치
US5422504A (en) * 1994-05-02 1995-06-06 Motorola Inc. EEPROM memory device having a sidewall spacer floating gate electrode and process
US5440158A (en) * 1994-07-05 1995-08-08 Taiwan Semiconductor Manufacturing Company Ltd. Electrically programmable memory device with improved dual floating gates
JPH0855920A (ja) * 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
US5579259A (en) 1995-05-31 1996-11-26 Sandisk Corporation Low voltage erase of a flash EEPROM system having a common erase electrode for two individually erasable sectors
JPH0982924A (ja) * 1995-09-14 1997-03-28 Toshiba Corp 半導体記憶装置の製造方法
US5903495A (en) * 1996-03-18 1999-05-11 Kabushiki Kaisha Toshiba Semiconductor device and memory system
KR100207504B1 (ko) * 1996-03-26 1999-07-15 윤종용 불휘발성 메모리소자, 그 제조방법 및 구동방법
US5998263A (en) * 1996-05-16 1999-12-07 Altera Corporation High-density nonvolatile memory cell
KR100204342B1 (ko) * 1996-08-13 1999-06-15 윤종용 불 휘발성 반도체 메모리 장치
JP3692664B2 (ja) 1996-11-08 2005-09-07 ソニー株式会社 不揮発性半導体記憶装置
JP3799727B2 (ja) * 1997-04-08 2006-07-19 松下電器産業株式会社 半導体記憶装置の製造方法
KR100252476B1 (ko) * 1997-05-19 2000-04-15 윤종용 플레이트 셀 구조의 전기적으로 소거 및 프로그램 가능한 셀들을 구비한 불 휘발성 반도체 메모리 장치및 그것의 프로그램 방법
US5936274A (en) * 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5973352A (en) * 1997-08-20 1999-10-26 Micron Technology, Inc. Ultra high density flash memory having vertically stacked devices
US6013551A (en) * 1997-09-26 2000-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of self-aligned floating gate, flash memory cell and device manufactured thereby
KR100247228B1 (ko) * 1997-10-04 2000-03-15 윤종용 워드라인과 자기정렬된 부우스팅 라인을 가지는불휘발성 반도체 메모리
JP3540579B2 (ja) * 1997-11-07 2004-07-07 株式会社東芝 半導体記憶装置及びその製造方法
US6069382A (en) * 1998-02-11 2000-05-30 Cypress Semiconductor Corp. Non-volatile memory cell having a high coupling ratio
DE19941943B4 (de) 1998-10-08 2008-11-13 Heidelberger Druckmaschinen Ag Verfahren und Vorrichtung zur Entnahme einer Reinigungseinrichtung aus einer Druckmaschine
JP2000183192A (ja) * 1998-12-18 2000-06-30 Sanyo Electric Co Ltd 不揮発性半導体記憶装置とその製造方法
US6091104A (en) * 1999-03-24 2000-07-18 Chen; Chiou-Feng Flash memory cell with self-aligned gates and fabrication process
US6876031B1 (en) 1999-02-23 2005-04-05 Winbond Electronics Corporation Method and apparatus for split gate source side injection flash memory cell and array with dedicated erase gates
US6133098A (en) * 1999-05-17 2000-10-17 Halo Lsi Design & Device Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic flash memory
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
TW475267B (en) * 1999-07-13 2002-02-01 Toshiba Corp Semiconductor memory
US6901006B1 (en) * 1999-07-14 2005-05-31 Hitachi, Ltd. Semiconductor integrated circuit device including first, second and third gates
US6222227B1 (en) * 1999-08-09 2001-04-24 Actrans System Inc. Memory cell with self-aligned floating gate and separate select gate, and fabrication process
JP2001110917A (ja) * 1999-10-04 2001-04-20 Sony Corp 半導体不揮発性記憶装置および半導体装置
JP3820917B2 (ja) * 2000-06-12 2006-09-13 ソニー株式会社 半導体記憶装置およびその動作方法
US6512263B1 (en) * 2000-09-22 2003-01-28 Sandisk Corporation Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming
EP1215680B1 (en) 2000-12-15 2008-03-19 Halo Lsi Design and Device Technology Inc. Fast program to program verify method
JP4325972B2 (ja) * 2001-01-30 2009-09-02 セイコーエプソン株式会社 不揮発性半導体記憶装置を含む半導体集積回路装置の製造方法
JP3966707B2 (ja) * 2001-02-06 2007-08-29 株式会社東芝 半導体装置及びその製造方法
JP3957985B2 (ja) * 2001-03-06 2007-08-15 株式会社東芝 不揮発性半導体記憶装置
US6936887B2 (en) * 2001-05-18 2005-08-30 Sandisk Corporation Non-volatile memory cells utilizing substrate trenches
US6580120B2 (en) 2001-06-07 2003-06-17 Interuniversitair Microelektronica Centrum (Imec Vzw) Two bit non-volatile electrically erasable and programmable memory structure, a process for producing said memory structure and methods for programming, reading and erasing said memory structure
KR100423907B1 (ko) 2001-06-14 2004-03-22 삼성전자주식회사 반도체 장치 및 그 제조방법
US6762092B2 (en) * 2001-08-08 2004-07-13 Sandisk Corporation Scalable self-aligned dual floating gate memory cell array and methods of forming the array
KR100393229B1 (ko) * 2001-08-11 2003-07-31 삼성전자주식회사 자기 정렬된 게이트 구조를 포함하는 불휘발성 메모리장치 제조 방법 및 이에 의한 불휘발성 메모리 장치
JP4065671B2 (ja) 2001-08-31 2008-03-26 シャープ株式会社 不揮発性半導体記憶装置、その製造方法及びその動作方法
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6541815B1 (en) * 2001-10-11 2003-04-01 International Business Machines Corporation High-density dual-cell flash memory structure
US6925007B2 (en) 2001-10-31 2005-08-02 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6570213B1 (en) * 2002-02-08 2003-05-27 Silicon Based Technology Corp. Self-aligned split-gate flash memory cell and its contactless NOR-type memory array
US6667510B2 (en) * 2002-02-19 2003-12-23 Silicon Based Technology Corp. Self-aligned split-gate flash memory cell and its contactless memory array
US6724029B2 (en) * 2002-02-21 2004-04-20 International Business Machines Corporation Twin-cell flash memory structure and method
US6570214B1 (en) * 2002-03-01 2003-05-27 Ching-Yuan Wu Scalable stack-gate flash memory cell and its contactless memory array
US6703298B2 (en) * 2002-05-23 2004-03-09 Tower Semiconductor Ltd. Self-aligned process for fabricating memory cells with two isolated floating gates
TW535242B (en) 2002-05-30 2003-06-01 Silicon Based Tech Corp Methods of fabricating a stack-gate non-volatile memory device and its contactless memory arrays
JP3833970B2 (ja) * 2002-06-07 2006-10-18 株式会社東芝 不揮発性半導体メモリ
US6894930B2 (en) * 2002-06-19 2005-05-17 Sandisk Corporation Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND
JP2004071646A (ja) * 2002-08-01 2004-03-04 Nec Electronics Corp 不揮発性半導体記憶装置及びその製造方法と制御方法
KR100448911B1 (ko) * 2002-09-04 2004-09-16 삼성전자주식회사 더미 패턴을 갖는 비휘발성 기억소자
US6885586B2 (en) * 2002-09-19 2005-04-26 Actrans System Inc. Self-aligned split-gate NAND flash memory and fabrication process
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6894339B2 (en) * 2003-01-02 2005-05-17 Actrans System Inc. Flash memory with trench select gate and fabrication process
US7049652B2 (en) * 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US20050145923A1 (en) * 2004-01-06 2005-07-07 Chiou-Feng Chen NAND flash memory with enhanced program and erase performance, and fabrication process
US7061042B2 (en) * 2004-02-03 2006-06-13 Solid State System Co., Ltd. Double-cell memory device
US20060081910A1 (en) * 2004-03-16 2006-04-20 Andy Yu Non-volatile electrically alterable memory cell for storing multiple data and an array thereof
US6992929B2 (en) * 2004-03-17 2006-01-31 Actrans System Incorporation, Usa Self-aligned split-gate NAND flash memory and fabrication process
JP4817617B2 (ja) * 2004-06-14 2011-11-16 株式会社東芝 不揮発性半導体記憶装置
TWI270977B (en) 2005-06-27 2007-01-11 Powerchip Semiconductor Corp Non-volatile memory and manufacturing method and operating method thereof
US7362615B2 (en) * 2005-12-27 2008-04-22 Sandisk Corporation Methods for active boosting to minimize capacitive coupling effect between adjacent gates of flash memory devices
US7951669B2 (en) 2006-04-13 2011-05-31 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
US20080067554A1 (en) * 2006-09-14 2008-03-20 Jae-Hun Jeong NAND flash memory device with 3-dimensionally arranged memory cell transistors
US7932551B2 (en) * 2006-12-28 2011-04-26 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of fabricating the same comprising a dual fin structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03203370A (ja) * 1989-12-29 1991-09-05 Nec Corp 半導体記憶装置
JPH0637328A (ja) * 1992-07-20 1994-02-10 Fujitsu Ltd 半導体記憶装置
JPH07312394A (ja) * 1994-05-17 1995-11-28 Sony Corp 不揮発性メモリ及びその製造方法
JPH09330989A (ja) * 1996-03-11 1997-12-22 Hyundai Electron Ind Co Ltd フラッシュeepromセル及びその製造方法
JP2003046005A (ja) * 2001-08-01 2003-02-14 Matsushita Electric Ind Co Ltd 半導体記憶装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260309A (ja) * 2008-04-17 2009-11-05 Samsung Electronics Co Ltd 半導体素子の微細パターン形成方法
US8837223B2 (en) 2011-11-21 2014-09-16 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacuring the same
JP2013196725A (ja) * 2012-03-19 2013-09-30 Toshiba Corp 不揮発性半導体記憶装置
JP2013200924A (ja) * 2012-03-26 2013-10-03 Toshiba Corp 不揮発性半導体記憶装置

Also Published As

Publication number Publication date
US7638834B2 (en) 2009-12-29
EP1556866A1 (en) 2005-07-27
KR20050091702A (ko) 2005-09-15
US7502261B2 (en) 2009-03-10
JP2011205107A (ja) 2011-10-13
US20110287619A1 (en) 2011-11-24
US20100047982A1 (en) 2010-02-25
US7075823B2 (en) 2006-07-11
US20060176736A1 (en) 2006-08-10
US20060202256A1 (en) 2006-09-14
CN1720588B (zh) 2010-04-28
AU2003279257A1 (en) 2004-05-25
ATE418783T1 (de) 2009-01-15
US20040165443A1 (en) 2004-08-26
US20060205120A1 (en) 2006-09-14
US7486555B2 (en) 2009-02-03
DE60325500D1 (de) 2009-02-05
US8334180B2 (en) 2012-12-18
CN1720588A (zh) 2006-01-11
US7994004B2 (en) 2011-08-09
JP4933048B2 (ja) 2012-05-16
EP1556866B1 (en) 2008-12-24
US6888755B2 (en) 2005-05-03
US20060187714A1 (en) 2006-08-24
US7303956B2 (en) 2007-12-04
WO2004040583A1 (en) 2004-05-13
US20040079988A1 (en) 2004-04-29
JP5718718B2 (ja) 2015-05-13

Similar Documents

Publication Publication Date Title
JP5718718B2 (ja) メモリセル電荷記憶素子あたりに二重のコントロールゲートを有するフラッシュメモリセルアレイ
US7951669B2 (en) Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
KR100937896B1 (ko) 자기 정렬된 얕은 트렌치 분리를 통한 이이피롬 어레이
JP4846979B2 (ja) 誘電体格納エレメントを用いる多状態不揮発性メモリ及び電荷レベルを格納する方法
US6925007B2 (en) Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
TWI387059B (zh) 整合非揮發性記憶體及周邊電路之製造
JP4330670B2 (ja) 不揮発性半導体記憶装置
JPH11186419A (ja) 不揮発性半導体記憶装置
TW200301011A (en) Nonvolatile semiconductor memory device and manufacturing method thereof
US9224746B2 (en) Inverted-T word line and formation for non-volatile storage
US8248859B2 (en) Methods of forming and operating NAND memory with side-tunneling
US7512005B2 (en) NAND memory with side-tunneling
JP3856559B2 (ja) 不揮発性半導体記憶装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120216

R150 Certificate of patent or registration of utility model

Ref document number: 4933048

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees