JP2003512527A - 遷移金属炭化物の堆積 - Google Patents

遷移金属炭化物の堆積

Info

Publication number
JP2003512527A
JP2003512527A JP2001532259A JP2001532259A JP2003512527A JP 2003512527 A JP2003512527 A JP 2003512527A JP 2001532259 A JP2001532259 A JP 2001532259A JP 2001532259 A JP2001532259 A JP 2001532259A JP 2003512527 A JP2003512527 A JP 2003512527A
Authority
JP
Japan
Prior art keywords
transition metal
source gas
substrate
compound
reaction space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001532259A
Other languages
English (en)
Other versions
JP4965782B2 (ja
Inventor
カイ−エリク エレルス
スビ ペー. ハウッカ
ビッレ アンテロ サニーラ
サリ ヨハンナ カイピオ
ペッカ ユハ ソイニネン
Original Assignee
エーエスエム アメリカ インコーポレイテッド
エイエスエム マイクロケミストリ オーワイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from FI992234A external-priority patent/FI117944B/fi
Priority claimed from FI992235A external-priority patent/FI117943B/fi
Priority claimed from FI992233A external-priority patent/FI118158B/fi
Priority claimed from FI20000564A external-priority patent/FI119941B/fi
Application filed by エーエスエム アメリカ インコーポレイテッド, エイエスエム マイクロケミストリ オーワイ filed Critical エーエスエム アメリカ インコーポレイテッド
Priority claimed from PCT/US2000/028537 external-priority patent/WO2001029280A1/en
Publication of JP2003512527A publication Critical patent/JP2003512527A/ja
Application granted granted Critical
Publication of JP4965782B2 publication Critical patent/JP4965782B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、一般的に、遷移金属炭化物薄膜を堆積する方法に関する。特に、本発明は、原子層堆積(ALD)によって遷移金属炭化物薄膜を堆積する方法に関し、ここで遷移金属ソース化合物および炭素ソース化合物が交互に基体へ提供される。種々の金属および炭素ソースガスが開示される。該方法は、半導体製造において金属炭化物薄膜を形成することに、そして特にトレンチ(1)およびビア(2)開口部を有する集積回路内に薄い伝導拡散バリア(6)を形成することに適用可能である。

Description

【発明の詳細な説明】
【0001】 発明の背景 発明の分野 本発明は、遷移金属炭化物薄膜の堆積に関する。より詳細には、本発明は、種
々の基体上に遷移金属炭化物を形成するための連続自己飽和表面反応(sequenti
al self-saturating surface reactions)の使用に関する。
【0002】 関連技術の説明 周期表の4族(Ti、Zr、Hf)、5族(V、Nb、Ta)および6族(C
r、Mo、W)における遷移金属元素の炭化物は、いくつかの魅力的な特性を有
する。それらは、比較的不活性であり、非常に高い融点を有し、非常に硬くそし
て耐摩耗性(wear resistant)であり、そして高い熱伝導率および金属様電気伝
導率を有する。これらの理由のため、遷移金属炭化物は、半導体製造における低
抵抗拡散バリア(low resistance diffusion barriers)としての使用に提案さ
れている(例えば、国際特許出願WO 00/01006; 米国特許No.5,916,365を参照の
こと)。
【0003】 金属炭化物についての一般的な情報は、例えば、Ullmann's Encyclopedia of
Industrial Chemistry, 5th Edition, Vol. A5, VCH Verlagsgesellschaft, 198
6, pp.61-77、およびthe Kirk-Othmer Encyclopedia of Chemical Technology,
4th Edition , Vol. 4, John Wiley & Sons, Inc., 1992, pp. 841-878に見られ
得る。遷移金属炭化物は、広範な組成を有し得る。秩序化および無秩序化炭素不
足形態(ordered and disordered carbon deficient forms)が存在し、この例
は、炭化タングステンW3C、W2C、WCおよびWC1-xである。これらの形態
において、炭素は、金属原子間の格子間キャビティ(interstitial cavities)
に存在する。
【0004】 示唆される堆積方法としては、化学気相成長(CVD)、有機金属化学気相成
長(MOCVD)および物理蒸着(PVD)が挙げられる。
【0005】 炭化物は、1を超えるソース化学物質が同時に反応空間に存在する、CVDタ
イププロセスによって堆積されている。タングステンヘキサフルオリド、水素お
よび炭素含有ガスから炭化タングステンを堆積するCVD法は、例えば、国際特
許出願WO 00/47796に記載されている。炭素含有ガスは、最初に、熱的に活性化
される。ガス状ソース化学物質の全てが、同時に反応空間に存在し、基体におけ
る不揮発性炭化タングステンの堆積を生じさせる。トリメチルアミンおよびH2
とのWF6のCVD反応が、700℃〜800℃でWC膜をそして400℃〜6
00℃でベータ−WC1-x膜を生じることについて、開示されている(Nakajima
ら, J. Electrochem. Soc. 144:2096-2100 (1997))。H2流速は、炭化タングス
テンの堆積速度に影響を与える。開示されるプロセスに伴う1つの課題は、基体
温度が、特にメタリゼーション(metallization)段階における、当該技術水準
半導体製造のための熱経費に対してかなり高いことである。
【0006】 MOCVDプロセスは、有機金属化合物を使用し、これは、基体上で熱分解さ
れるか、または気相の他の有機化合物と結合されて、次いで基体と接触され、従
ってソース化学分子を分解しそして最終生成物を形成する。炭化タングステンは
また、低圧力でのW(CO)6の有機タングステン誘導体の熱分解によって基体
上に堆積された(Laiら, Chem. Mater. 7:2284-2292 (1995))。同様に、TiC
が、有機金属チタン化合物の熱分解によってCVDプロセスにおいて堆積された
(Girolamiら, Mater. Res. Soc. Symp. Proc. 121: 429-438 (1988))。米国特
許No. 5,916,365はまた、ペンタジメチル−アミノタンタルの熱分解を開示する
。これらのプロセスにおいて、ソース化学分子は、金属および炭素の両方を含む
。しかし、複雑で不規則な表面におけるその有用性は公知でない。
【0007】 PVDプロセスは、一般的に、照準線(line-of-sight)に沿って堆積する。
PVDによって拡散バリア層のための炭化タンタルを堆積させる1つの方法が、
米国特許No. 5,973,400に記載されている。炭化タンタル層が、N2/CH4/A
r雰囲気下でタンタルまたは炭化タンタルをスパッタリングすることによって形
成された。しかし、照準線堆積(line of sight deposition)は、複雑な基体輪郭
が、陰になった領域における不十分な薄膜カバレージ(coverage)を有すること
を意味する。さらに、照準線堆積は、ソースから基体へ直接到達する低揮発性ソ
ース材料は、それが出くわす最初の固体表面へ付着する傾向にあり、従って低コ
ンフォーマリティ(low-conformality)カバレージを生じることを意味する。
【0008】 従って、遷移金属窒化物を堆積させる方法における改善についての必要性が、
当該分野において存在する。
【0009】 発明の要旨 本発明の1局面によれば、原子層堆積(ALD)プロセスによって遷移金属炭
化物薄膜を堆積させるための方法が開示される。例示される実施形態において、
少なくとも1つの遷移金属ソース化合物および少なくとも1つの炭素ソース化合
物の気相パルス(vapor-phase pulses)が、基体を含む反応空間へ交互に供給さ
れる。
【0010】 遷移金属ソース化合物は、好ましくは、Ti、Zr、Hf、V、Nb、Ta、
Cr、MoおよびWからなる群から選択される金属ソースガスを含む。例示的な
遷移金属ソースガスは、タングステンヘキサフルオリドのような金属ハロゲン化
物である。例示的な炭素ソース化合物は、ホウ素化合物、ケイ素化合物およびリ
ン化合物を含む。望ましくは、これらの例示的ソースガス化合物において、ホウ
素、ケイ素またはリンのいずれかが、炭素へ直接結合している。
【0011】 該プロセスは、半導体製造の分野において典型的に要求されるような、超薄高
品質層を堆積する場合に、特に有用である。例えば、金属炭化物薄膜は、有利に
は、集積回路トポグラフィー(topography)(例えば、デュアルダマシントレン
チおよびビア(dual damascene trenches and vias))にわたって伝導性かつコ
ンフォーマル(conformal)である薄い拡散バリア(diffusion barrier)を形成
し得る。
【0012】 好ましい実施形態の詳細な説明 本発明のために、“原子層堆積(atomic layer deposition)”または“AL
D”タイププロセスは、薄膜の基体上への堆積が、連続および交互自己飽和表面
反応(sequential and alternating self-saturating surface reactions)に基
づくプロセスを意味する。ALDの原理は、例えば、米国特許No. 4,058,430お
よび5,711,811において開示され、これらの開示は本明細書において参考として
援用される。
【0013】 “基体温度”は、堆積プロセスの間に反応空間に維持される温度を意味する。
【0014】 “遷移金属”は、元素周期表の3〜12族の元素を意味する。遷移金属の好ま
しいサブセットは、元素周期表の5族(チタン、ジルコニウムおよびハフニウム
)、6族(バナジウム、ニオブおよびタンタル)ならびに7族(クロム、モリブ
デンおよびタングステン)のものである。これらの元素の金属炭化物は、格子間
(interstitial)炭素を含み、そして純金属の特性のいくつかを有する。
【0015】 “反応空間”は、条件がALDによる堆積が可能であるように調節され得る、
リアクターまたは反応チャンバを意味するために使用される。
【0016】 本発明の好ましい実施形態において、遷移金属炭化物薄膜は、化学ガス状堆積
プロセス(chemical gaseous deposition process)によって調製される。好ま
しい化学ガス状堆積プロセスは、原子層堆積(ALD)タイププロセスである。
ALDの原理は、当業者に周知である。
【0017】 好ましいALDタイププロセスによれば、遷移金属炭化物薄膜は、高温の反応
空間に配置された基体上に成長される。従って、基体は、好ましくは、反応空間
中に配置され、そして少なくとも2つの気相反応物の連続交互反復表面反応(se
quential, alternately repeated surface reactions)へ供され、その結果、遷
移金属炭化物薄膜が基体上で成長する。好ましくは、反応空間における条件は、
気相反応、すなわちガス状反応物間の反応が起こらないように、調節される。好
ましいALDタイププロセスにおいて、金属ソース化合物および炭素ソース化合
物は、それらが反応空間において気相で同時に存在しないように、ガス状形態で
反応空間へ交互に供給される。従って、基体の表面上における化学吸着によって
吸着された化学種またはコンプレックスとガス状反応物との間の表面反応のみが
、許容される。反応は、好ましくは、自己飽和(self-saturating)および自己
制御式(self-limiting)である。
【0018】 好ましいALDタイププロセスにおいて、遷移金属ソース化合物および炭素ソ
ース化合物の気相パルスは、交互にそして連続して、反応空間へ供給され、そし
て基体の表面と接触される。ソース化合物は、好ましくは、不活性または希キャ
リアガス(例えば、窒素またはアルゴン)の助けを借りて、反応空間へ供給され
る。基体の“表面”は、最初は、基体材料を含む。1つの実施形態において、基
体は、例えば、その表面特性を修飾するためにそれを化学物質と接触させること
によって、予め前処理されている。一旦遷移金属炭化物層が堆積されると、それ
は、任意の連続遷移金属炭化物層のための表面を形成する。
【0019】 1つのパルシングシークエンス(pulsing sequence)または“サイクル”が、
図2に記載される。堆積における各サイクルは、好ましくは、以下を含む: 遷移金属ソース化合物の気相パルスを、不活性キャリアガス中で反応空間へ供
給すること; 余分の遷移金属ソース化合物およびどのようなガス状副生成物も、反応空間か
ら除去すること(例えば、不活性ガスでパージすることによる); 炭素ソース化合物の気相パルスを、不活性キャリアガス中で反応空間へ供給す
ること;ならびに、 余分の炭素ソース化合物およびどのようなガス状副生成物も、反応空間から除
去すること(例えば、不活性ガスでパージすることによる)。
【0020】 サイクルは、所望の厚みの遷移金属炭化物膜を生成するために、所望の回数だ
け反復され得る。パージング時間は、好ましくは、気相反応を防止し、そして1
サイクル当たり遷移金属炭化物の1格子定数より高い遷移金属炭化物薄膜成長速
度を防止するに十分な長さとなるように、選択される。
【0021】 1つの実施形態において、堆積は、大気圧で行われる。しかし、堆積を減圧下
で行うことが好ましい。リアクター中の圧力は、好ましくは、約0.01mba
r〜50mbar、そしてより好ましくは約0.1mbar〜10mbarであ
る。基体温度は、好ましくは、ガス状反応物の熱分解を防止するに十分に低い。
他方、基体温度は、好ましくは、ソース材料の凝縮(condensation)、または物
理吸着(physisorption)を回避するに十分に高い。さらに、基体温度は、好ま
しくは、表面反応のための活性化エネルギーを提供するに十分に高い。基体の温
度は、好ましくは、約200℃〜600℃、そしてより好ましくは約250℃〜
400℃である。しかし、当業者は、最も好ましい基体温度および反応空間圧力
は、反応物および基体のアイデンティティー(identity)に依存することを認識
する。
【0022】 ガス状ソース化合物の分圧が基体温度で凝縮限界(condensation limit)を超
える場合、遷移金属炭化物膜の制御された層ごと(layer-by-layer)の成長が失
われる。従って、好ましい実施形態において、ソース容器の温度は、好ましくは
、基体温度未満に設定される。
【0023】 好ましい実施形態において、遷移金属ソース化合物は、基体表面上に化学吸着
(chemisorbed)されて、表面結合遷移金属コンプレックス(surface bound tra
nsition metal complex)を形成する。化学吸着によって基体の表面へ結合され
る反応物の量は、表面自体によって決定される。反応物分子は、利用可能な結合
部位が表面上に残らなくなるまで、表面へ結合し、そして単層(monolayer)の
末端リガンド(terminating ligands)は、依然として気相中にある過剰なソー
ス化合物と非反応性となる。この現象は、“自己飽和(self-saturation)”と
して公知である。使用される反応物に依存して、反応物分子の物理的サイズは、
全ての結合部位が占められると、表面の完全なカバレージ(coverage)を防止し
得る。しかし、基体上の好ましいカバレージは、遷移金属ソースコンプレックス
の1以下の単層が1パルシングシークエンス当たり吸着される場合に、得られる
。従って、いくつかのサイクルが、遷移金属炭化物の完全な単層を生成するため
に必要であり得る。
【0024】 商業上の生産セッティング(production setting)において、自己飽和反応の
ために利用可能な時間量は、主に経済的因子によって制限される。例えば、経済
的効率のために必要とされる基体スループット時間(throughtput time)は、自
己飽和反応に利用可能な時間に制限を課する。
【0025】 基体は、当該分野で公知の任意の材料から構成され得る。例としては、シリコ
ン、シリカ、被覆シリコン(coated silicon)、金属、金属窒化物、金属酸化物
、多孔性材料、炭化ケイ素および窒化ケイ素が挙げられる。上述のように、好ま
しい実施形態において、一旦遷移金属炭化物薄膜層が本発明によって堆積される
と、その層は、任意の連続層のための基体表面を形成する。
【0026】 遷移金属ソース化合物および炭素ソース化合物は、好ましくは、基体温度で十
分な蒸気圧、熱安定性、および基体表面における化合物の十分な反応性について
の要求を満たすように、選択される。十分な蒸気圧は、表面での自己飽和反応を
可能にするに十分な気相のソース化合物分子が、基体表面付近に存在することを
意味する。十分な熱安定性は、ソース化学物質自体が基体上に成長妨害凝縮可能
相(growth-disturbing condensable phases)を形成しないか、または熱分解を
介して基体表面上に有害なレベルの不純物を残さないことを意味する。従って、
反応物は、好ましくは、基体上における原子または分子の制御されない凝縮を回
避するように選択される。
【0027】 本発明の好ましい実施形態によれば、遷移金属ソース材料および炭素ソース材
料が必要とされる。炭素ソース材料は、好ましくは、ホウ素ソース化合物、ケイ
素ソース化合物またはリンソース化合物である。しかし、1つの実施形態におい
て、プラズマが使用され、そして好ましい炭素ソース材料は炭化水素である。
【0028】 1.遷移金属ソース材料 好ましい金属ソース化合物は、十分に低いソース温度で揮発性である遷移金属
化合物である。これらの遷移金属化合物は、好ましくは、W、Ti、Zr、Hf
、V、Nb、Ta、CrおよびMoからなる群から選択される遷移金属を含む。
より好ましくは、金属ソース化合物は、金属フッ化物および金属塩化物を含む金
属ハロゲン化物である。例示される好ましい実施形態において、金属ソース材料
は、タングステンヘキサフルオリドである。
【0029】 2.ホウ素ソース化合物 好ましいホウ素ソース化合物は、少なくとも1つの炭素原子を含みそして基体
温度未満の温度で揮発性であるホウ素化合物である。より好ましくは、ホウ素ソ
ース材料は、ホウ素ソース化合物分子中に少なくとも1つのホウ素−炭素結合を
有するホウ素化合物である。
【0030】 ホウ素ソース化合物は、好ましくは、以下から選択される: 式Iに従うカルボラン. C2nn+x (I) ここで、nは1〜10、好ましくは2〜6の整数であり、そしてxは偶数の整
数、好ましくは2、4または6である。
【0031】 式Iに従うカルボランの例は、クロソ(closo)−カルボラン(C2nn+2)、
ニド(nido)−カルボラン(C2nn+4)、およびアラクノ(arachno)−カルボラ
ン(C2nn+6)を含む。
【0032】 式IIに従うアミン−ボラン付加物. R3NBX3 (II) ここで、Rは直鎖または分枝鎖のC1〜C10、好ましくはC1〜C4アルキ
ルまたはHであり、そしてXは直鎖または分枝鎖のC1〜C10、好ましくはC
1〜C4アルキル、Hまたはハロゲンである。
【0033】 Bにおける1以上の置換基が式IIIに従うアミノ基である、アミノボラン. R2N (III) ここで、Rは、直鎖または分枝鎖のC1〜C10、好ましくはC1〜C4アル
キルまたは置換もしくは非置換のアリール基である。
【0034】 好適なアミノボランの例は、(CH32NB(CH32である。
【0035】 アルキルが典型的に直鎖または分枝鎖のC1〜C10アルキル、好ましくはC
2〜C4アルキルである、アルキルボロンまたはアルキルボラン。
【0036】 アルキルボロン化合物が、特に好ましい。好ましい実施形態において、ホウ素
ソース材料は、トリエチルボロン(CH3CH23Bである。
【0037】 3.ケイ素ソース化合物 好ましいケイ素ソース材料は、基体温度未満の温度で揮発性である炭素含有ケ
イ素化合物である。より好ましくは、ケイ素ソース材料は、ケイ素ソース化学分
子において少なくとも1つのケイ素−炭素結合を有する、ケイ素化合物である。
なおより好ましくは、ケイ素ソース材料は、アルキルケイ素化合物である。
【0038】 4.リンソース化合物 好ましいリンソース材料は、基体温度未満の温度で揮発性である炭素含有リン
化合物である。より好ましくは、リンソース材料は、リンソース化学分子におい
て少なくとも1つのリン−炭素結合を有する、リン化合物である。なおより好ま
しくは、リンソース材料は、アルキルリン化合物である。
【0039】 5.炭化水素 高い水素/炭素比を有する炭化水素は、好ましくは、炭素ソース化学物質とし
て使用される。より好ましくは、直鎖または分枝鎖アルカンが、炭素ソース化学
物質として使用される。
【0040】 好ましい実施形態において、金属ソースガスおよび炭素ソースガスは、同時に
、反応空間において、気相で存在しない。好ましくは、ソース化学物質は、交互
に、反応空間へ供給され、そして基体表面と接触され、従って基体上における金
属炭化物のALDタイプ成長を提供する。
【0041】 表面結合遷移金属化合物と炭素ソース化合物との間の反応の副生成物は、好ま
しくはガス状であり、従って反応空間圧力を変化させることによっておよび/ま
たは不活性ガス流を用いて、容易に反応空間から除去され得る。好ましくは、炭
素ソース化合物は、金属炭化物膜中にいくらかの炭素を残し、そして基体表面か
らハロゲンを除く。
【0042】 正味の(net)反応WF6+(CH3CH23Bの副生成物は徹底的に分析され
ていないが、炭素原子間の結合が、トリエチルボロン分子において、該分子がフ
ッ化タンングステン分子付近にあるときに破壊されると、いくらかのCH3Fが
副生成物として生成されると仮に想定される。また、トリエチルボロン分子にお
けるホウ素と炭素との間の結合が、該分子がフッ化タングステン分子付近にある
ときに破壊されると、いくらかのCH3CH2FおよびBF3が気相副生成物とし
て生成されると仮に想定される。しかし、本発明は、これらの仮の想定に限定さ
れない。
【0043】 炭素ソース化学物質が、表面結合遷移金属化合物分子の酸化状態を変化させ得
ることもまた可能である。本発明の薄膜の分析によって、炭化物薄膜における高
い金属−対−炭素比W3Cが明らかになった。これは、表面におけるタングステ
ンの部分的還元を示す。
【0044】 1つの実施形態において、不活性ガス流は、気相副生成物の濃度が反応空間に
おいて重要でなくなる(insignificant)まで、炭素ソース化合物と表面結合遷
移金属化合物との間の反応の副生成物を希釈する。
【0045】 好ましくは、炭素ソース化合物は、成長する遷移金属炭化物薄膜中に炭素を残
す。遷移金属ハロゲン化物が金属ソース化合物として使用される場合、ハロゲン
化物副生成物が、炭素ソース化合物との反応において形成され得る。例えば、ホ
ウ素炭素ソースが使用される場合、ハロゲン化ホウ素が、副生成物として形成さ
れ得る。表1における例は、得られるハロゲン化ホウ素は、好ましい基体温度(
例えば、350℃)で揮発性であり、そしてそれらは基体表面において凝縮しな
いことを示す。揮発性は、それらが上記のように反応空間から除去されることを
可能にする。
【0046】 表1〜5における沸点温度は、化合物の蒸気圧は1013mbar(760t
orr)であることを示す。しかし、約0.01〜0.1mbarまでの非常に
より低い蒸気圧が、ALDプロセスについて十分である。
【0047】
【表1】
【0048】 同様に、ハロゲン化炭化水素は、好ましい基体温度(例えば、350℃)で高
い揮発性(表2)を有する。
【0049】
【表2】
【0050】 表3および4は、ケイ素またはリンハロゲン化物からなる副生成物がまた、高
い蒸気圧を有し、反応性有機ケイ素およびリン化合物を、金属炭化物堆積のため
の炭素ソースとして使用することを可能にすることを示す。
【0051】
【表3】
【0052】
【表4】
【0053】
【表5】
【0054】 実施例1 WF6および(CH3CH23Bからの炭化タングステンの堆積 タングステンヘキサフルオリドWF6を、金属ソース化学物質として使用し、
そしてトリエチルボロン(CH3CH23Bを、炭素ソースとして使用し、基体
上に遷移金属炭化物薄膜を生成した。
【0055】 シリコンウエハーを、ALDプロセスのために設計されているPulsarTM 2000リアクター(フィンランド,エスポーのASM Microchemi
stry OYから市販される)の反応空間へ積み込んだ(loaded)。反応空間
を、メカニカル真空ポンプで排気した(evacuated)。排気後、反応空間の圧力
を、99.9999%の純度を有する流動窒素ガスを用いて、約5mbar〜1
0mbar(絶対(absolute))へ調節した。次いで、反応空間を、約350℃
で安定化させた。電気グレード(electronic grade)WF6および(CH3CH2
3Bの交互パルスを、外部ソースから気化させて、反応空間へ導入し、そして
基体表面と接触させた。ソース化合物パルスを、流動窒素ガスでパージすること
によって互いに隔離させた。パルシングサイクルは、2つのソース化合物パルス
および2つの窒素パージから構成された。パルシングサイクルを、167回反復
した。
【0056】 パルシングサイクルのパルシング(pulsing)およびパージング(purging)時
間は、以下の通りであった: WF6パルス 0.25s N2パージ 3.0s (CH3CH23Bパルス 0.5s N2パージ 3.0s。
【0057】 プロセス条件の最適化は、上述のサイクルに関してパージング時間を短縮する
【0058】 堆積プロセス後、シリコン基体を、検査および分析のためにリアクターから抜
き取った(unloaded)。薄膜は基体の全上部表面をカバーし、そしてそれは、金
属的光沢および灰色を有した。それは、ウエハーへの良好な付着を有し、そして
電気伝導性であった。薄膜サンプルを、元素についてTOF−ERDA(飛行時
間型弾性反跳粒子検出法(Time-Of-Flight Elastic Recoil Detection Analysis
))を用いて、薄膜厚みについてEDS(電子回折分光法(Electron Diffracti
on Spectroscopy))を用いて、そしてシート抵抗(sheet resistance)につい
て4点プローブ(four-point probe)を用いて分析した。抵抗率を、厚みおよび
シート抵抗値から計算した。
【0059】 TOF−ERDAによれば、薄膜サンプルは、W3Cに対応する原子比のタン
グステンおよび炭素から構成された。サンプルの厚みは約23nmであり、炭化
タングステン膜の成長速度は約1.4Å/サイクルであったことを示す。この値
は、炭化タングステンの格子定数未満であり、おそらくタングステンおよび炭素
原子が占めるよりも広い基体表面を占める前駆体の分子サイズに起因する。膜の
抵抗率は、200マイクロ−オーム−cmの範囲であった。膜は、不純物として
約1.0原子%〜1.5原子%のみのフッ素を有した。
【0060】 実施例2 金属炭化物膜堆積プロセスの一般的説明 基体を、反応空間へ配置する。反応空間を、好ましい温度へ調節し、そして反
応空間のガス雰囲気を好ましい圧力へ調節する。次いで、4つの基本工程からな
る反復可能なプロセスシークエンスを開始する。遷移金属ソース化合物の気相パ
ルスを、反応空間へ導入し、そして基体表面と接触させる。第1接触時間後、余
分の遷移金属ソース化合物およびどのような反応副生成物も、反応空間圧力を変
化させることによっておよび/または不活性ガス流によって、反応空間から除去
する。第1パージング時間後、炭素ソース化合物の気相パルスを、反応チャンバ
へ導入し、そして基体表面と接触させる。第2接触時間後、余分の炭素ソース化
合物およびどのような反応副生成物も、反応空間圧力を変化させることによって
および/または不活性ガス流によって、反応空間から除去する。第2パージング
時間後、プロセスシークエンスは、特定の厚みの金属炭化物薄膜が得られるまで
反復され得る。最後に、薄膜を有する基体を、反応チャンバから移す。
【0061】 炭素ソース化合物は、ホウ素、ケイ素またはリン炭素ソース化合物であり得る
【0062】 実施例3 集積回路のための拡散バリアとしてのALD金属炭化物 図1に示されるように、トレンチ(trench)1およびビア(via)2開口部、
エッチ停止層(etch stop layers)3、ビア絶縁体4およびトレンチ絶縁体5を
有する基体を、ALDリアクターの反応空間に配置する。反応空間を、真空へ排
気し、そして反応空間の圧力を、不活性ガス(好ましくは、窒素)で好ましい圧
力へ調節する。好ましい圧力は、約1mbar〜50mbar、より好ましくは
約3mbar〜10mbarの範囲内である。次いで、反応空間の温度を、好ま
しいプロセス温度で安定させる。温度は、好ましくは、300℃〜425℃の範
囲内、より好ましくは約325℃〜375℃の範囲内であり、そして最も好まし
くは、約350℃に設定される。次いで、遷移金属炭化物層6を、以下のサイク
ルによって基体上に生成させる。
【0063】 遷移金属ソース化合物を、反応空間へ導入し、そして第1パルス時間の間、基
体と接触させる; 余分の遷移金属ソース化合物分子およびどのような副生成物分子も、第1パー
ジ時間の間、反応空間から除去する; 炭素ソース化合物を、反応空間へ導入し、そして第2パルス時間の間、基体と
接触させる; 余分の炭素ソース化合物分子およびどのような副生成物分子も、第2パージ時
間の間、反応空間から除去する。
【0064】 遷移金属ソース化合物は、好ましくは、Ti、Zr、Hf、V、Nb、Ta、
Cr、MoおよびW化合物からなる群から選択される。金属ハロゲン化物化合物
が、より好ましい。炭素ソース化合物は、炭素を含む、ホウ素、ケイ素およびリ
ン化合物からなる群から選択される。アルキルホウ素、アルキルケイ素およびア
ルキルリン化合物が、より好ましい。
【0065】 パージ時間の間、不活性または希ガスが、反応空間へ導入され、これらの分子
をポンピングラインへ入れることによって、余分の化合物および副生成物濃度を
微々たる(insignificant)レベルまで希釈する。
【0066】 各パルシングサイクルは、金属炭化物の1までの分子層だけ膜の厚みを増加さ
せる。パルシングサイクルの正確な数は、膜の所望の厚みおよび用途に依存する
【0067】 遷移金属炭化物層は、拡散バリアとして役立ち得る。次いで、基体は、例えば
金属シード(seed)層の堆積によって、さらに操作され得る。
【0068】 実施例4 バッチプロセスにおける金属炭化物でのコーティングツール 延長された有用な寿命を有する穴あけ(drilling)のためのビット(bit)を
提供することは、有益である。これは、それらを金属炭化物でコーティングする
ことによって達成され得る。本発明のALDタイププロセスは、サンプル形状に
感受性でないので、バッチプロセスが使用され得る。従って、コーティングされ
るパーツは、比較的小さくてもよい。バッチプロセスを使用する能力はまた、1
パーツ当たりのコーティングコストを顕著に減少させる。
【0069】 多数のビットを基体ホルダーへセットし、次いでこれをバッチリアクターの反
応空間へ積み込む。反応空間を真空まで排気(evacuate)する。反応空間の圧力を
、不活性ガス(好ましくは、窒素)で好ましい圧力へ調節する。好ましい圧力は
、約1mbar〜50mbar、より好ましくは3mbar〜10mbarの範
囲内である。次いで、反応空間の温度を、好ましいプロセス温度で安定化させる
。温度は、好ましくは、約300℃〜425℃の範囲内、より好ましくは約32
5℃〜375℃の範囲内であり、そして例示される実施形態において、約350
℃に設定される。
【0070】 遷移金属炭化物堆積プロセスは、パルシングサイクルを形成する以下の反復可
能なプロセス工程から構成される: 遷移金属ソース化合物を、反応空間へ導入し、そして第1パルス時間の間、基
体と接触させる; 余分の遷移金属ソース化合物分子およびどのような副生成物分子も、第1パー
ジ時間の間、反応空間から除去する; 炭素ソース化合物を、反応空間へ導入し、そして第2パルス時間の間、基体と
接触させる; 余分の炭素ソース化合物分子およびどのような副生成物分子も、第2パージ時
間の間、反応空間から除去する。
【0071】 遷移金属ソース化合物は、好ましくは、Ti、Zr、Hf、V、Nb、Ta、
Cr、MoおよびW化合物からなる群から選択される。金属ハロゲン化物化合物
が、より好ましい。炭素ソース化学物質は、炭素を含む、揮発性のホウ素、ケイ
素およびリン化合物からなる群から選択される。アルキルホウ素、アルキルケイ
素およびアルキルリン化合物が、より好ましい。
【0072】 パージ時間の間、不活性または希ガスが、反応空間へ導入され、余分の化合物
および副生成物分子をポンピングラインへ入れることによって、余分の化合物お
よび副生成物濃度を微々たるレベルまで希釈する。
【0073】 各パルシングサイクルは、金属炭化物の1までの分子層だけ膜の厚みを増加さ
せる。パルシングサイクルの正確な数は、膜の所望の厚みおよび用途に依存する
【0074】 実施例5 ダイアモンド堆積のための開始表面としてのALD成長金属炭化物 金属炭化物薄膜は、ダイアモンド薄膜の成長のための核形成表面として役立ち
得る。金属炭化物薄膜は、本発明のALDタイププロセスによって基体上へ堆積
される。次いで、金属炭化物薄膜は、基体上でのダイアモンド薄膜のその後の堆
積のための開始層として使用され得る。
【0075】 実施例6 ALD金属炭化物の助けを借りてのSiCへの電気接点 炭化ケイ素表面への電気接点を作製するプロセスを改善するために、金属炭化
物薄膜からなる中間層を、本発明のALDタイププロセスによって生成する。炭
化ケイ素基体が提供される。基体表面は、第1のほとんどない(few)金属炭化
物分子層の核形成のために十分な反応性部位を有する。該プロセスの最も重要な
部分は、炭化ケイ素表面上におけるALDソース化学物質の第1分子層の吸着で
ある。堆積プロセスは、金属ソース化学物質または炭素ソース化学物質のいずれ
かで開始され得る。
【0076】 実施例7 接着層としてのALD金属炭化物 金属炭化物薄膜は、基体上の中間層として使用されて、基体上へ堆積される次
の材料層の接着を改善し得る。金属炭化物薄膜は、上述の堆積プロセスに従って
生成される。
【0077】 実施例8 プラズマを用いてのALDプロセスにおける金属炭化物の堆積 本発明の堆積プロセスへパルスプラズマ(pulsed plasma)を付加することに
よって、より低い堆積温度の使用が可能となる。それはまた、ALDタイププロ
セスによる金属炭化物薄膜の堆積のためにラジカルの形態の有機化合物のフラグ
メントを使用することを可能にする。
【0078】 この実施形態において、基体を、第一に、反応空間に配置する。反応空間の圧
力を、真空ポンプおよび流動不活性ガスを用いて、好ましい圧力へ設定する。反
応空間の温度を、好ましい温度へ設定し、そして堆積プロセスを開始する。
【0079】 堆積プロセスは、基本堆積サイクルを形成する以下の反復可能なパルスおよび
パージ工程を含む: 金属ソース化合物を、反応チャンバへ導入し、そして第1パルス時間の間、基
体と接触させる; 余分の金属ソース化合物分子およびどのような副生成物分子も、第1パージ時
間の間、反応空間から除去する; 炭素ソース化合物を、プラズマラジカルの形態で反応チャンバへ導入し、そし
て第2パルス時間の間、基体と接触させる; 余分の炭素ソース化合物分子およびどのような副生成物分子も、第2パージ時
間の間、反応空間から除去する。
【0080】 制御された厚み均一性を可能にする金属炭化物薄膜の最高堆積速度は、1サイ
クル当たり1分子層である。炭素ソース化合物は、好ましくは、炭素および水素
のみを含む有機化合物である。炭素ソース化合物は、好ましくは、UV放射、電
気アーク、RFジェネレーター、またはガス原子もしくは分子からプラズマを形
成し得る当該分野に公知の任意の他の方法を用いて、プラズマへ変換される。得
られるラジカルは、好ましくは、高い水素/炭素比を有し、従って、これらの化
学種の揮発性を改善し、そして基体上に低揮発性炭素富化コーティング(low-vo
latility carbon-rich coating)を得る可能性を減少させる。この実施形態はパ
ルスプラズマを使用するので、基体上の金属の制御されない堆積を回避するため
に、金属ソース化合物パルスの間、プラズマソースをスイッチオフするかまたは
リダイレクトする(redirect)ことが好ましい。
【0081】 サイクルは、所望の厚みの膜を生成するために必要な回数だけ反復され得る。
堆積プロセス後、基体は、反応空間から抜き取られる。
【0082】 実施例9 触媒のための金属炭化物のALD堆積 基体材料を、基体ホルダーへ積み込む。高い面積/体積比を有する粉末の場合
、基体ホルダーは、両端に焼結部(sinter)を含むある長さの容器からなる。基
体ホルダーは、反応空間中に水平に配置され得る。この配向において、基体ホル
ダーは、基体粉末で充填され、その結果、基体ホルダー内部にフリーのガス空間
がなくなる。この配向において、ソース化合物ガスおよびパージングガスは、好
ましくは、粉末を通過する。あるいは、基体ホルダーは、反応空間中に垂直に配
置され得る。この配向において、基体ホルダー内部に残されるいくらかのフリー
のガス空間が存在し得、その結果、基体粉末は、好ましくは下部焼結部から入り
そして上部焼結部へと出るガス流中に浮遊し得る。
【0083】 遷移金属炭化物を、上述のALDタイププロセスによって基体表面上に堆積す
る。しかし、この実施形態において、ソース化合物ガスは、好ましくは、粉末を
保持する容器へ向けられ、従って、ガスが粉末の粒子と接触することを確実にす
る。コーティングされる大きな表面積のために、パルスおよびパージ時間は、好
ましくは、非粉末基体について与えられる値と比較して延長される。
【0084】 実施例10 腐食保護としてのALD金属炭化物 ベアリング(bearings)は、腐食雰囲気において使用される場合に、硬い保護
外部層によって利益を得ることができるパーツの例である。ベアリングのセット
を、穴あき(perforated)基体ホルダーへ積み込む。基体ホルダーにおけるホー
ルは、ホルダーの上部表面に円錐形開口部を有する。ベアリグは、これらの浅い
円錐体の下部にある。基体ホルダーを反応空間へ移し、それをソースガスおよび
不活性ガスラインへ接続する。反応空間を真空へ排気した。反応チャンバの圧力
を、流動不活性ガスで、好ましいプロセッシング圧力へ調節する。不活性ガスは
、基体ホルダーのホールを通って反応チャンバへ入り、そして円錐体の下部から
ベアリングを上げる。ベアリングは、好ましくは、流動窒素流中で自由に回転し
(ベルヌーイの法則)、そしてそれらは、堆積プロセスの間、いずれの固体表面
とも接触しない。反応空間の温度を、好ましい堆積温度へ調節する。
【0085】 ALDタイプ金属炭化物堆積プロセスを開始し、そしてこれは以下の工程を含
む: 金属ソース化合物を、反応空間へ導入し、そして第1パルス時間の間、基体と
接触させる; 余分の金属ソース化合物分子およびどのような副生成物分子も、第1パージ時
間の間、反応空間から除去する; 炭素ソース化合物を、反応空間へ導入し、そして第2パルス時間の間、基体と
接触させる; 余分の炭素ソース化合物分子およびどのような副生成物分子も、第2パージ時
間の間、反応空間から除去する。
【0086】 ソース化学物質ガスは、基体ホルダーの円錐形ホールを通って流れ、そして垂
直ガス流に保持されるベアリングと接触する。プロセスは、1パルシングサイク
ル当たり金属炭化物の1までの分子層を形成する。堆積プロセス後、ベアリング
が円錐体の下部へと戻るまで、窒素流を徐々に減少させる。基体ホルダーは、ロ
ードロック(load lock)を通してアンロードされてもよく、または反応チャン
バの圧力は、不活性ガスを用いて外部室内圧力へ増加されてもよく、そして基体
ホルダーは、ロードロックチャンバを使用することなくアンロードされる。
【図面の簡単な説明】
【図1】 図1は、金属炭化物拡散バリアのデュアルダマシン構造および配置の概略図を
示す。
【図2】 図2は、金属炭化物ALDプロセスのフローチャートを示す。
───────────────────────────────────────────────────── フロントページの続き (31)優先権主張番号 19992235 (32)優先日 平成11年10月15日(1999.10.15) (33)優先権主張国 フィンランド(FI) (31)優先権主張番号 60/159,799 (32)優先日 平成11年10月15日(1999.10.15) (33)優先権主張国 米国(US) (31)優先権主張番号 60/176,948 (32)優先日 平成12年1月18日(2000.1.18) (33)優先権主張国 米国(US) (31)優先権主張番号 20000564 (32)優先日 平成12年3月10日(2000.3.10) (33)優先権主張国 フィンランド(FI) (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,BZ,C A,CH,CN,CR,CU,CZ,DE,DK,DM ,DZ,EE,ES,FI,GB,GD,GE,GH, GM,HR,HU,ID,IL,IN,IS,JP,K E,KG,KP,KR,KZ,LC,LK,LR,LS ,LT,LU,LV,MA,MD,MG,MK,MN, MW,MX,MZ,NO,NZ,PL,PT,RO,R U,SD,SE,SG,SI,SK,SL,TJ,TM ,TR,TT,TZ,UA,UG,US,UZ,VN, YU,ZA,ZW (72)発明者 エレルス カイ−エリク フィンランド国 エフアイエヌ−00750 ヘルシンキ ハルカバリャコンチェ 7ア ー3 (72)発明者 ハウッカ スビ ペー. フィンランド国 エフアイエヌ−00560 ヘルシンキ キミンチェ 42アー (72)発明者 サニーラ ビッレ アンテロ フィンランド国 エフアイエヌ−00570 ヘルシンキ ツパブオリ 6セー27 (72)発明者 カイピオ サリ ヨハンナ フィンランド国 エフアイエヌ−04400 ヤルベンパ サタクンナンカツ 13アー6 (72)発明者 ソイニネン ペッカ ユハ フィンランド国 エフアイエヌ−02200 エスポー ハルティヤトンツンチェ 21ア ー1 Fターム(参考) 4K030 AA02 AA09 AA11 BA06 BA10 BA12 BA13 BA17 BA18 BA19 BA20 BA22 BA36 BA58 EA03 FA10 LA15

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 原子層堆積(ALD)プロセスによって遷移金属炭化物薄膜
    を堆積する方法。
  2. 【請求項2】 気相パルスが、以下: 遷移金属ソースガスを、基体を含む反応空間へ導入すること; 過剰の遷移金属ソースガスおよびガス状反応副生成物を、該反応空間から除去
    すること; 炭素ソースガスを該反応空間へ導入すること;ならびに、 過剰の炭素ソースガスおよびガス状反応副生成物を、該反応空間から除去する
    こと、 を含むサイクルにおいて交互に導入される、請求項1に記載の方法。
  3. 【請求項3】 前記金属ソースガスが前記基体の表面と反応し、それによっ
    て表面結合遷移金属コンプレックスを生成する、請求項2に記載の方法。
  4. 【請求項4】 前記表面結合遷移金属コンプレックスが、約1以下の単層を
    形成する、請求項3に記載の方法。
  5. 【請求項5】 前記炭素ソースガスが前記表面結合遷移金属コンプレックス
    と反応し、遷移金属炭化物を残す、請求項3に記載の方法。
  6. 【請求項6】 ガス状反応副生成物が、前記表面結合遷移金属コンプレック
    スと前記炭素ソースガスとの反応によって形成される、請求項5に記載の方法。
  7. 【請求項7】 前記遷移金属ソースガスおよび炭素ソースガスが、各々、不
    活性キャリアガスの助けを借りて前記反応空間へ供給される、請求項2に記載の
    方法。
  8. 【請求項8】 遷移金属ソースガスおよび炭素ソースガスの各パルス後、前
    記反応空間へ不活性ガスパルスを供給することをさらに含む、請求項2に記載の
    方法。
  9. 【請求項9】 前記遷移金属ソースガスが、Ti、Zr、Hf、V、Nb、
    Ta、Cr、MoおよびWからなる群から選択される金属を含む、請求項2に記
    載の方法。
  10. 【請求項10】 前記遷移金属ソースガスが金属ハロゲン化物である、請求
    項9に記載の方法。
  11. 【請求項11】 前記遷移金属ソースガスがタングステンヘキサフルオリド
    である、請求項10に記載の方法。
  12. 【請求項12】 前記炭素ソースガスが、少なくとも1つのホウ素原子およ
    び少なくとも1つの炭素原子を含むホウ素化合物を含む、請求項2に記載の方法
  13. 【請求項13】 前記ホウ素ソース化合物が、アルキルホウ素化合物である
    、請求項12に記載の方法。
  14. 【請求項14】 前記ホウ素化合物がトリエチルボロンである、請求項13
    の方法。
  15. 【請求項15】 前記炭素ソースガスが、少なくとも1つのケイ素原子およ
    び少なくとも1つの炭素原子を含むケイ素化合物を含む、請求項2に記載の方法
  16. 【請求項16】 前記ケイ素ソース化合物がアルキルケイ素化合物である、
    請求項15に記載の方法。
  17. 【請求項17】 前記炭素ソースガスが、少なくとも1つのリン原子および
    少なくとも1つの炭素原子を含むリン化合物を含む、請求項2に記載の方法。
  18. 【請求項18】 前記リンソース化合物がアルキルリン化合物である、請求
    項17に記載の方法。
  19. 【請求項19】 前記炭素ソースガスが炭化水素を含む、請求項2に記載の
    方法。
  20. 【請求項20】 前記炭化水素が直鎖または分枝鎖アルカンである、請求項
    19に記載の方法。
  21. 【請求項21】 前記炭素ソースガスが、炭化水素から形成されるプラズマ
    において発生されるラジカルを含む、請求項19に記載の方法。
  22. 【請求項22】 遷移金属炭化物薄膜が、集積回路における伝導拡散バリア
    (conductive diffusion barrier)を形成する、請求項1に記載の方法。
  23. 【請求項23】 前記伝導拡散バリアが、デュアルダマシンボイド(dual d
    amascene void)におけるライナー(liner)を含む、請求項22に記載の方法。
  24. 【請求項24】 前記遷移金属炭化物薄膜が、基体上にハードコーティング
    を形成して、機械的摩耗(mechanical wear)から保護する、請求項1に記載の
    方法。
  25. 【請求項25】 前記遷移金属炭化物薄膜が腐食保護層を形成する、請求項
    1に記載の方法。
  26. 【請求項26】 前記遷移金属炭化物薄膜が化学反応触媒を形成する、請求
    項1に記載の方法。
  27. 【請求項27】 原子層堆積(ALD)プロセスによって集積回路における
    エレクトロンコンダクタ(electron conductor)を製造する方法であって、ここ
    で、複数のサイクルの各々が、基体上に吸着された金属コンプレックスを炭素化
    合物へ曝すことを含み、該炭素化合物が、該吸着された金属コンプレックスと反
    応して、金属炭化物の約1以下の単層を形成する、方法。
  28. 【請求項28】 前記金属ソースガスの少なくとも一部が、前記基体上で吸
    着され、それによって前記吸着された金属コンプレックスを生成する、請求項2
    7に記載の方法。
  29. 【請求項29】 前記金属コンプレックスが、Ti、Zr、Hf、V、Nb
    、Ta、Cr、MoおよびWからなる群から選択される金属を含む、請求項27
    に記載の方法。
JP2001532259A 1999-10-15 2000-10-16 遷移金属炭化物の堆積 Expired - Lifetime JP4965782B2 (ja)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US15979999P 1999-10-15 1999-10-15
FI992234A FI117944B (fi) 1999-10-15 1999-10-15 Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI19992235 1999-10-15
FI992235A FI117943B (fi) 1999-10-15 1999-10-15 Menetelmä alkuaineohutkalvojen kasvattamiseksi
FI19992234 1999-10-15
FI992233A FI118158B (sv) 1999-10-15 1999-10-15 Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI19992233 1999-10-15
US60/159,799 1999-10-15
US17694800P 2000-01-18 2000-01-18
US60/176,948 2000-01-18
FI20000564 2000-03-10
FI20000564A FI119941B (fi) 1999-10-15 2000-03-10 Menetelmä nanolaminaattien valmistamiseksi
PCT/US2000/028537 WO2001029280A1 (en) 1999-10-15 2000-10-16 Deposition of transition metal carbides

Publications (2)

Publication Number Publication Date
JP2003512527A true JP2003512527A (ja) 2003-04-02
JP4965782B2 JP4965782B2 (ja) 2012-07-04

Family

ID=46149892

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001532259A Expired - Lifetime JP4965782B2 (ja) 1999-10-15 2000-10-16 遷移金属炭化物の堆積

Country Status (2)

Country Link
US (6) US6482262B1 (ja)
JP (1) JP4965782B2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007039806A (ja) * 2005-07-07 2007-02-15 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2011520251A (ja) * 2008-04-16 2011-07-14 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8951912B2 (en) 2011-09-14 2015-02-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
KR20200100859A (ko) * 2018-01-15 2020-08-26 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 탄화물 막에 대한 접착 및 결함들을 개선하기 위한 기법들

Families Citing this family (619)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
EP1368415A1 (en) * 2001-02-23 2003-12-10 The Gates Corporation Process for directly bonding rubber to at least a second substrate, and the resulting article
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
CA2452656C (en) 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030064153A1 (en) * 2001-10-01 2003-04-03 Rajendra Solanki Method of depositing a metallic film on a substrate
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6716744B2 (en) * 2002-05-06 2004-04-06 Sharp Laboratories Of America, Inc. Ultra thin tungsten metal films used as adhesion promoter between barrier metals and copper
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
GB0218417D0 (en) * 2002-08-08 2002-09-18 Seagate Technology Llc Combined atomic layer deposition and damascene processing for definition of narrow trenches
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
AU2003282836A1 (en) * 2002-10-15 2004-05-04 Rensselaer Polytechnic Institute Atomic layer deposition of noble metals
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7202166B2 (en) * 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
EP1771594A1 (en) * 2004-07-30 2007-04-11 E.I.Du pont de nemours and company Copper (i) complexes for deposition of copper films by atomic layer deposition
US20060110626A1 (en) * 2004-11-24 2006-05-25 Heraeus, Inc. Carbon containing sputter target alloy compositions
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7846499B2 (en) * 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
JP2008532271A (ja) * 2005-02-22 2008-08-14 エーエスエム アメリカ インコーポレイテッド 原子層堆積のための表面のプラズマ前処理
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR101297917B1 (ko) * 2005-08-30 2013-08-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 대안적인 불화 붕소 전구체를 이용한 붕소 이온 주입 방법,및 주입을 위한 대형 수소화붕소의 형성 방법
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20090087967A1 (en) * 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7365025B2 (en) * 2006-02-06 2008-04-29 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures on semiconductor substrates using multiple planarization layers having different porosity characteristics
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7892915B1 (en) * 2006-03-02 2011-02-22 National Semiconductor Corporation High performance SiGe:C HBT with phosphorous atomic layer doping
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2007131343A1 (en) * 2006-05-15 2007-11-22 Arise Technologies Corporation Low-temperature doping processes for silicon wafer devices
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
JP5558815B2 (ja) * 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
US7883746B2 (en) * 2006-07-27 2011-02-08 Panasonic Corporation Insulating film formation method which exhibits improved thickness uniformity and improved composition uniformity
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7935618B2 (en) * 2007-09-26 2011-05-03 Micron Technology, Inc. Sputtering-less ultra-low energy ion implantation
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US9217200B2 (en) * 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5778382B2 (ja) * 2008-10-22 2015-09-16 東ソー株式会社 金属膜製造用組成物、金属膜の製造方法及び金属粉末の製造方法
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8138071B2 (en) * 2009-10-27 2012-03-20 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8062965B2 (en) * 2009-10-27 2011-11-22 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8779383B2 (en) 2010-02-26 2014-07-15 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same
TWI386983B (zh) 2010-02-26 2013-02-21 Advanced Tech Materials 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
US9822446B2 (en) 2010-08-24 2017-11-21 Wayne State University Thermally stable volatile precursors
WO2012027357A2 (en) 2010-08-24 2012-03-01 Wayne State University Thermally stable volatile precursors
WO2012030679A2 (en) 2010-08-30 2012-03-08 Advanced Technology Materials, Inc. Apparatus and method for preparation of compounds or intermediates thereof from a solid material, and using such compounds and intermediates
US8647723B2 (en) * 2010-10-22 2014-02-11 GM Global Technology Operations LLC Nucleation of ultrathin, continuous, conformal metal films using atomic layer deposition and application as fuel cell catalysts
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
WO2012122052A2 (en) 2011-03-04 2012-09-13 Applied Materials, Inc. Methods for contact clean
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8734514B2 (en) 2011-06-16 2014-05-27 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
CA2839406C (en) 2011-06-16 2019-10-29 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
TWI583442B (zh) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4之製造程序
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG11201402372TA (en) * 2011-11-22 2014-06-27 Picosun Oy An atomic layer deposition reactor for processing a batch of substrates and method thereof
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
CN108565198A (zh) 2012-02-14 2018-09-21 恩特格里斯公司 用于改善注入束和源寿命性能的碳掺杂剂气体和协流
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130330473A1 (en) * 2012-06-11 2013-12-12 Wayne State University Atomic Layer Deposition of Transition Metal Thin Films Using Boranes as the Reducing Agent
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9758866B2 (en) 2013-02-13 2017-09-12 Wayne State University Synthesis and characterization of first row transition metal complexes containing α-imino alkoxides as precursors for deposition of metal films
US20140248767A1 (en) * 2013-03-01 2014-09-04 Micron Technology, Inc. Methods Of Fabricating Integrated Circuitry
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9249505B2 (en) * 2013-06-28 2016-02-02 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
WO2014210512A1 (en) * 2013-06-28 2014-12-31 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US9157149B2 (en) * 2013-06-28 2015-10-13 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US11062906B2 (en) 2013-08-16 2021-07-13 Entegris, Inc. Silicon implantation in substrates and provision of silicon precursor compositions therefor
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9685371B2 (en) 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9979028B2 (en) 2013-12-13 2018-05-22 GM Global Technology Operations LLC Conformal thin film of precious metal on a support
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US11499230B2 (en) 2014-08-18 2022-11-15 Dynetics, Inc. Method and apparatus for fabricating fibers and microstructures from disparate molar mass precursors
US10167555B2 (en) 2014-08-18 2019-01-01 Dynetics, Inc. Method and apparatus for fabricating fibers and microstructures from disparate molar mass precursors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10276393B2 (en) 2015-01-26 2019-04-30 Kokusai Electric Corporation Method of manufacturing semiconductor device
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR101704723B1 (ko) * 2015-04-06 2017-02-09 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10570514B2 (en) 2015-11-30 2020-02-25 Basf Se Process for the generation of metallic films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
DE102018110837A1 (de) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102504958B1 (ko) * 2018-04-02 2023-03-03 삼성전자주식회사 박막 증착 방법 및 박막 증착 장치
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN113424300A (zh) * 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) * 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20230112746A1 (en) * 2020-02-24 2023-04-13 Lam Research Corporation High modulus boron-based ceramics for semiconductor applications
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112553600B (zh) * 2020-11-24 2021-10-22 江南大学 一种原子层沉积技术生长VxC纳米材料的方法
CN112442675B (zh) * 2020-11-24 2021-10-22 江南大学 一种纳米碳化锰材料的制备方法
CN112458432B (zh) * 2020-11-26 2021-10-22 江南大学 一种原子层沉积技术生长NbxC薄膜的方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN112647059B (zh) * 2020-12-14 2021-10-22 江南大学 一种利用原子层沉积技术快速生长NixC薄膜的方法
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220351960A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Atomic Layer Deposition Of Metal Fluoride Films
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5348992A (en) * 1976-10-16 1978-05-02 Agency Of Ind Science & Technol Catalyst for reducing nitrogen oxides and productin thereof
JPS58140975A (ja) * 1982-02-15 1983-08-20 Hitachi Ltd 燃料電池用電極およびその製造方法
JPH03137938A (ja) * 1989-10-24 1991-06-12 Sumitomo Metal Ind Ltd 窒素酸化物還元用金属材料
JPH03237096A (ja) * 1990-02-14 1991-10-22 Sumitomo Electric Ind Ltd 立方晶窒化ホウ素薄膜の形成方法
JPH06224138A (ja) * 1992-07-27 1994-08-12 Texas Instr Inc <Ti> 原子層エピタキシー装置
JPH0987857A (ja) * 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
JP3150095B2 (ja) 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
PL190391B1 (pl) 1999-02-11 2005-12-30 Hardide Ltd Materiał na powłoki odporne na ścieranie, erozję i korozję, materiał obejmujący podłoże z osadzoną powłoką, powłoka zawierająca wolfram i węglik wolframu, sposób wytwarzania węglików wolframu i sposób osadzania powłok
US6399479B1 (en) * 1999-08-30 2002-06-04 Applied Materials, Inc. Processes to improve electroplating fill
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6541842B2 (en) 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5348992A (en) * 1976-10-16 1978-05-02 Agency Of Ind Science & Technol Catalyst for reducing nitrogen oxides and productin thereof
JPS58140975A (ja) * 1982-02-15 1983-08-20 Hitachi Ltd 燃料電池用電極およびその製造方法
JPH03137938A (ja) * 1989-10-24 1991-06-12 Sumitomo Metal Ind Ltd 窒素酸化物還元用金属材料
JPH03237096A (ja) * 1990-02-14 1991-10-22 Sumitomo Electric Ind Ltd 立方晶窒化ホウ素薄膜の形成方法
JPH06224138A (ja) * 1992-07-27 1994-08-12 Texas Instr Inc <Ti> 原子層エピタキシー装置
JPH0987857A (ja) * 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007039806A (ja) * 2005-07-07 2007-02-15 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
KR101540077B1 (ko) * 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
JP2011520251A (ja) * 2008-04-16 2011-07-14 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US9378964B2 (en) 2011-09-14 2016-06-28 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
US9012323B2 (en) 2011-09-14 2015-04-21 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
US9355850B2 (en) 2011-09-14 2016-05-31 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
US8951912B2 (en) 2011-09-14 2015-02-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
US9406520B2 (en) 2011-09-14 2016-08-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
US9745656B2 (en) 2011-09-14 2017-08-29 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium
KR20200100859A (ko) * 2018-01-15 2020-08-26 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 탄화물 막에 대한 접착 및 결함들을 개선하기 위한 기법들
JP2021510934A (ja) * 2018-01-15 2021-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 炭化タングステン膜の接着性及び欠陥を改善する技法
JP7282785B2 (ja) 2018-01-15 2023-05-29 アプライド マテリアルズ インコーポレイテッド 炭化タングステン膜の接着性及び欠陥を改善する技法
US11859275B2 (en) 2018-01-15 2024-01-02 Applied Materials, Inc. Techniques to improve adhesion and defects for tungsten carbide film
KR102628317B1 (ko) 2018-01-15 2024-01-23 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 탄화물 막에 대한 접착 및 결함들을 개선하기 위한 기법들
JP7471492B2 (ja) 2018-01-15 2024-04-19 アプライド マテリアルズ インコーポレイテッド 炭化タングステン膜の接着性及び欠陥を改善する技法

Also Published As

Publication number Publication date
US7485340B2 (en) 2009-02-03
US20070190248A1 (en) 2007-08-16
US20030031807A1 (en) 2003-02-13
JP4965782B2 (ja) 2012-07-04
US6482262B1 (en) 2002-11-19
US6800552B2 (en) 2004-10-05
US20020187256A1 (en) 2002-12-12
US7144809B2 (en) 2006-12-05
US6821889B2 (en) 2004-11-23
US6475276B1 (en) 2002-11-05
US20050064098A1 (en) 2005-03-24

Similar Documents

Publication Publication Date Title
JP4965782B2 (ja) 遷移金属炭化物の堆積
WO2001029280A1 (en) Deposition of transition metal carbides
JP7203111B2 (ja) ビス(アルキル-アレーン)モリブデン前駆体を使用したモリブデンの蒸着
US9670582B2 (en) ALD of metal-containing films using cyclopentadienyl compounds
US6863727B1 (en) Method of depositing transition metal nitride thin films
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
US8268409B2 (en) Plasma-enhanced deposition of metal carbide films
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
JP2002526651A (ja) 銅をベースとするフィルムの化学蒸着方法及びその銅源前駆体
WO2013192220A1 (en) Tungsten nitrido precursors for the cvd of tungsten nitride, carbonitride, and oxide films
TW202031923A (zh) 以原子層沈積法製造釕金屬薄膜之方法
Claessen et al. The 2, 2, 6, 6-tetramethyl-2-sila-3, 5-heptanedione route to the chemical vapor deposition of copper for gigascale interconnect applications

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040701

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040701

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071010

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120313

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120330

R150 Certificate of patent or registration of utility model

Ref document number: 4965782

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150406

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250