DE112017003172T5 - Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente - Google Patents

Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente Download PDF

Info

Publication number
DE112017003172T5
DE112017003172T5 DE112017003172.9T DE112017003172T DE112017003172T5 DE 112017003172 T5 DE112017003172 T5 DE 112017003172T5 DE 112017003172 T DE112017003172 T DE 112017003172T DE 112017003172 T5 DE112017003172 T5 DE 112017003172T5
Authority
DE
Germany
Prior art keywords
metal
layer
dielectric
air gap
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112017003172.9T
Other languages
English (en)
Other versions
DE112017003172B4 (de
Inventor
Van Nguyen Son
Tenko Yamashita
Kangguo Cheng
Jasper Haigh Thomas Jr
Chanro Park
Eric Liniger
Juntao Li
Sanjay Mehta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Solutions LLC
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112017003172T5 publication Critical patent/DE112017003172T5/de
Application granted granted Critical
Publication of DE112017003172B4 publication Critical patent/DE112017003172B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Pressure Sensors (AREA)

Abstract

Es werden Halbleiterbauelemente mit Luftspalt-Abstandhaltern bereitgestellt, die als Teil von BEOL- oder MOL-Schichten der Halbleiterbauelemente ausgebildet sind, sowie Verfahren zur Herstellung solcher Luftspalt-Abstandhalter. Ein Verfahren umfasst beispielsweise ein Bilden einer ersten Metallstruktur und einer zweiten Metallstruktur auf einem Substrat, wobei die erste und zweite Metallstruktur benachbart zueinander angeordnet sind mit Isoliermaterial, das zwischen der ersten und zweiten Metallstruktur angeordnet ist. Das Isoliermaterial wird geätzt, um eine Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden. Eine Schicht aus dielektrischem Material wird mithilfe eines abschnürenden Abscheideprozesses über der ersten und zweiten Metallstruktur abgeschieden, um einen Luftspalt in der Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden, wobei sich ein Teilbereich des Luftspalts über eine Oberseite von mindestens einer der ersten Metallstruktur und der zweiten Metallstruktur hinaus erstreckt.

Description

  • Technischer Bereich
  • Das Fachgebiet bezieht sich im Allgemeinen auf Halbleiterherstellung und insbesondere auf Verfahren zur Herstellung von Luftspalt-Abstandhaltern für Halbleiterbauelemente.
  • Hintergrund
  • Im Lauf der Weiterentwicklung der Halbleiterfertigungstechnologie in Richtung kleinerer Designregeln und höherer Integrationsdichten wird die Trennung zwischen benachbarten Strukturen in integrierten Schaltungen immer kleiner. Dadurch kann es zu unerwünschter kapazitiver Kopplung zwischen benachbarten Strukturen integrierter Schaltungen kommen, wie z.B. benachbarten Metallleitungen in BEOL- (back-end-of-line-) Verbindungsstrukturen, benachbarten Kontakten (z.B. MOL- (middle-of-the-line-) Gerätekontakten) von FEOL- (frontend-of-line-) Geräten, usw. Diese strukturbedingten parasitären Kapazitäten können zu einer Verschlechterung der Leistung von Halbleitervorrichtungen führen. So kann beispielsweise eine kapazitive Kopplung zwischen Transistorkontakten zu erhöhten Parasitärkapazitäten zwischen Gate und Source oder Gate und Drain führen, die die Betriebsgeschwindigkeit eines Transistors beeinträchtigen, den Energieverbrauch einer integrierten Schaltung erhöhen, usw. Darüber hinaus kann eine unerwünschte kapazitive Kopplung zwischen benachbarten Metallleitungen einer BEOL-Struktur zu einer erhöhten Widerstand-Kapazität-Verzögerung (oder -Latenz), Übersprechen, einer erhöhten dynamischen Verlustleistung im Verbindungsstapel usw. führen.
  • In dem Bestreben, die parasitäre Kopplung zwischen benachbarten leitenden Strukturen zu reduzieren, ist die Halbleiterindustrie zur Verwendung von Dielektrika mit niedriger (low-k) und ultra-niedriger Dielektrizitätskonstante (ultra-low-k, ULK) (anstelle von konventionellem SiO2 (k = 4,0)) als Isoliermaterialien für MOL- und BEOL-Schichten von integrierten Schaltungen mit ultra-großem Integrationsgrad (ultra-large-scale integration, ULSI) übergegangen. Das Aufkommen von Low-k-Dielektrika in Verbindung mit aggressiver Skalierung hat jedoch zu kritischen Herausforderungen bei der langfristigen Zuverlässigkeit solcher Low-k-Materialien geführt. Beispielsweise wird Low-k-TDDB (time-dependent dielectric breakdown, zeitabhängiger dielektrischer Durchschlag) allgemein als kritisches Problem angesehen, da Low-k-Materialien im Allgemeinen eine schwächere intrinsische Durchschlagsfestigkeit aufweisen als herkömmliche SiO2-Dielektrika. Im Allgemeinen bezieht sich TDDB auf den Verlust der Isolationseigenschaften eines Dielektrikums im Laufe der Zeit, wenn es Belastungen durch Spannungen / Ströme und Temperaturen ausgesetzt ist. TDDB verursacht einen Anstieg des Leckstroms und beeinträchtigt damit die Leistung in nanoskaligen integrierten Schaltungen.
  • Zusammenfassung
  • Zu Ausführungsformen der Erfindung zählen Halbleiterbauelemente mit Luftspalt-Abstandhaltern, die als Teil von BEOL- oder MOL-Schichten der Halbleiterbauelemente ausgebildet sind, sowie Verfahren zur Herstellung von Luftspalt-Abstandhaltern als Teil von BEOL- und MOL-Schichten einer Halbleitervorrichtung.
  • Ein Verfahren zur Herstellung einer Halbleitervorrichtung weist beispielsweise ein Bilden einer ersten Metallstruktur und einer zweiten Metallstruktur auf einem Substrat auf, wobei die erste und zweite Metallstruktur benachbart zueinander angeordnet sind mit Isoliermaterial, das zwischen der ersten und zweiten Metallstruktur angeordnet ist. Das Isoliermaterial wird geätzt, um eine Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden. Eine Schicht aus dielektrischem Material wird über der ersten und zweiten Metallstruktur abgeschieden, um einen Luftspalt in der Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden, wobei sich ein Teilbereich des Luftspalts über eine Oberseite von mindestens einer der ersten Metallstruktur und der zweiten Metallstruktur erstreckt.
  • In einer Ausführungsform weist die erste Metallstruktur eine erste Metallleitung auf, die in einer dielektrischen Zwischenschicht einer BEOL-Verbindungsstruktur ausgebildet ist, und weist die zweite Metallstruktur weist eine zweite Metallleitung auf, die in der ILD-Schicht der BEOL-Verbindungsstruktur ausgebildet ist.
  • In einer weiteren Ausführungsform weist die erste Metallstruktur einen Bauteilkontakt und die zweite Metallstruktur eine Gate-Struktur eines Transistors auf. In einer Ausführungsform ist der Bauteilkontakt höher als die Gate-Struktur, und der Teilbereich des Luftspalts erstreckt sich über die Gate-Struktur hinaus sowie unterhalb einer Oberseite des Bauteilkontakts.
  • Weitere Ausführungsformen werden in der folgenden ausführlichen Beschreibung von Ausführungsformen beschrieben, die in Verbindung mit den beiliegenden Zeichnungen zu lesen ist.
  • Figurenliste
    • 1A und 1B sind schematische Ansichten einer Halbleitervorrichtung mit Luftspalt-Abstandhaltern, die gemäß einer Ausführungsform der Erfindung integral in einer BEOL-Struktur der Halbleitervorrichtung ausgebildet sind.
    • Die 2A und 2B veranschaulichen schematisch Verbesserungen der TDDB-Zuverlässigkeit sowie eine reduzierte kapazitive Kopplung zwischen Metallleitungen einer BEOL-Struktur, die mit Luftspaltstrukturen realisiert werden, die mit einem abschnürenden Abscheideverfahren nach Ausführungsformen der Erfindung gebildet werden, im Vergleich zu Luftspaltstrukturen, die mit herkömmlichen Verfahren gebildet werden.
    • 3 ist ein schematischer seitlicher Querschnitt einer Halbleitervorrichtung mit Luftspalt-Abstandhaltern, die integral in einer BEOL-Struktur der Halbleitervorrichtung gemäß einer weiteren Ausführungsform der Erfindung ausgebildet sind.
    • 4 bis 10 veranschaulichen schematisch ein Verfahren zur Herstellung der Halbleitervorrichtung von 1A gemäß einer Ausführungsform der Erfindung, wobei:
    • 4 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung in einem Zwischenstadium der Herstellung ist, in dem ein Muster von Öffnungen in einer ILD-Schicht (inter-layer dielectric) gebildet wird;
    • 5 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 4 nach dem Abscheiden einer gleichförmigen Schicht Auskleidungsmaterial und dem Abscheiden einer Schicht aus metallischem Material ist, um die Öffnungen in der ILD-Schicht zu füllen;
    • 6 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 5 nach dem Planarisieren der Oberfläche der Halbleiterstruktur bis hinunter zur ILD-Schicht ist, um eine Metallverdrahtungsschicht zu bilden;
    • 7 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 6 nach dem Bilden von Schutzkappen auf Metallleitungen der Metallverdrahtungsschicht ist;
    • 8 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 7 nach dem Ätzen der ILD-Schicht ist, um Aussparungen zwischen Metallleitungen der Metallverdrahtungsschicht zu bilden;
    • 9 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 8 nach dem Abscheiden einer gleichförmigen Schicht Isoliermaterial ist, um eine isolierende Auskleidung zu bilden, die freiliegende Oberflächen der Metallverdrahtungsschicht und der ILD-Schicht bedeckt; und
    • 10 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 9 ist, die einen Prozess des Abscheidens eines dielektrischen Materials unter Verwendung eines nicht-gleichförmigen Abscheideprozesses veranschaulicht, um zu bewirken, dass sich im abgeschiedenen dielektrischen Material über den Aussparungen zwischen den Metallleitungen der metallischen Verdrahtungsschicht Abschnürungsbereiche zu bilden beginnen.
    • 11 ist ein schematischer seitlicher Querschnitt einer Halbleitervorrichtung mit Luftspalt-Abstandhaltern, die integral in einer FEOL/MOL-Struktur der Halbleitervorrichtung gemäß einer weiteren Ausführungsform der Erfindung ausgebildet sind.
    • 12 bis 19 veranschaulichen schematisch ein Verfahren zur Herstellung der Halbleitervorrichtung von 11 gemäß einer Ausführungsform der Erfindung, wobei:
    • 12 ein schematischer Querschnitt der Halbleitervorrichtung in einem Zwischenstadium der Herstellung ist, in dem vertikale Transistorstrukturen auf einem Halbleitersubstrat gebildet werden;
    • 13 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 12 nach dem Strukturieren einer dielektrischen Vormetallschicht ist, um Kontaktöffnungen zwischen Gate-Strukturen der vertikalen Transistorstrukturen zu bilden;
    • 14 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 13 nach dem Bilden einer gleichförmigen Auskleidungsschicht über der Oberfläche der Halbleitervorrichtung ist, um die Kontaktöffnungen mit einem Auskleidungsmaterial auszukleiden;
    • 15 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 14 ist, nachdem eine Schicht aus metallischem Material abgeschieden wurde, um die Kontaktöffnungen mit metallischem Material zu füllen, und die Oberfläche der Halbleitervorrichtung planarisiert wurde, um MOL-Bauteilkontakte zu bilden;
    • 16 ein seitlicher Querschnitt der Halbleitervorrichtung von 15 nach dem Rückbilden von Gate-Deckschichten und Seitenwand-Abstandhaltern der Gate-Konstruktionen der vertikalen Transistorstrukturen ist;
    • 17 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 16 nach dem Abscheiden einer gleichförmigen Schicht Isoliermaterial ist, um eine isolierende Auskleidung zu bilden, die die freiliegenden Oberflächen der Gate-Strukturen und die Kontakte der MOL-Vorrichtung auskleidet;
    • 18 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 17 nach dem Abscheiden eines dielektrischen Materials unter Verwendung eines nicht-gleichförmigen Abscheideprozesses ist, um Abschnürungsbereiche auszubilden, die Luftspalte in Aussparungen zwischen den Gate-Strukturen und MOL-Bauteilkontakten bilden; und
    • 19 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 18 ist, nachdem die Oberfläche der Halbleitervorrichtung bis hinunter zu den MOL-Bauteilkontakten planarisiert und eine ILD-Schicht als Teil einer ersten Verbindungsebene einer BEOL-Struktur abgeschieden wurde.
  • Detaillierte Beschreibung
  • Ausführungsformen werden nun im Hinblick auf integrierte Halbleiterschaltungsvorrichtungen mit Luftspalt-Abstandhaltern, die als Teil von BEOL- und / oder MOL-Schichten ausgebildet sind, sowie Verfahren zur Herstellung von Luftspalt-Abstandhaltern als Teil von BEOL- und / oder MOL-Schichten einer integrierten Halbleiterschaltungsvorrichtung näher beschrieben. Wie im Folgenden näher erläutert, zählen zu Ausführungsformen der Erfindung Verfahren zur Herstellung von Luftspalt-Abstandhaltern unter Verwendung von „abschnürenden“ Abscheidetechniken, die bestimmte dielektrische Materialien verwenden, und Abscheidetechniken, um die Größe und Form der gebildeten Luftspalt-Abstandhalter zu kontrollieren und dadurch die Ausbildung von Luftspalt-Abstandhaltern für eine Zielanwendung zu optimieren. Die hierin diskutierten beispielhaften abschnürenden Abscheideverfahren zur Bildung von Luftspalt-Abstandhaltern bieten eine verbesserte TDDB-Zuverlässigkeit sowie eine optimale Kapazitätsreduzierung in BEOL- und MOL-Schichten von integrierten Halbleiterschaltungsvorrichtungen.
  • Es ist zu verstehen, dass die verschiedenen Schichten, Strukturen und Bereiche, die in den beiliegenden Zeichnungen dargestellt sind, schematische Darstellungen sind, die nicht maßstabsgetreu dargestellt sind. Darüber hinaus können zur Vereinfachung der Erklärung eine oder mehrere Schichten, Strukturen und Bereiche eines Typs, der üblicherweise zur Bildung von Halbleitervorrichtungen oder -strukturen verwendet wird, in einer gegebenen Zeichnung nicht explizit dargestellt sein. Dies bedeutet nicht, dass nicht explizit dargestellte Schichten, Strukturen und Bereiche in den eigentlichen Halbleiterstrukturen weggelassen werden.
  • Darüber hinaus ist zu verstehen, dass die hierin beschriebenen Ausführungsformen nicht auf die hierin dargestellten und beschriebenen Materialien, Merkmale und Bearbeitungsschritte beschränkt sind. Insbesondere im Hinblick auf die Schritte der Halbleiterbearbeitung ist hervorzuheben, dass die hierin enthaltenen Beschreibungen nicht alle Bearbeitungsschritte umfassen sollen, die zur Bildung einer funktionsfähigen integrierten Halbleiterschaltung erforderlich sein können. Vielmehr werden bestimmte Bearbeitungsschritte, die üblicherweise bei der Herstellung von Halbleitervorrichtungen verwendet werden, wie z.B. Nassreinigungs- und Glühschritte, hierin aus Gründen der Ökonomie der Beschreibung bewusst nicht beschrieben.
  • Darüber hinaus werden in allen Zeichnungen dieselben oder ähnliche Referenznummern verwendet, um dieselben oder ähnliche Merkmale, Elemente oder Strukturen zu bezeichnen, so dass eine detaillierte Erklärung der gleichen oder ähnlichen Merkmale, Elemente oder Strukturen nicht für jede der Zeichnungen wiederholt wird. Es ist zu verstehen, dass die hierin verwendeten Begriffe „etwa“, „ungefähr“ oder „im Wesentlichen“ in Bezug auf Dicken, Breiten, Prozentsätze, Bereiche usw. als nahe oder annähernd, aber nicht genau bezeichnet werden sollen. So implizieren beispielsweise die Begriffe „etwa“, „ungefähr“ oder „im Wesentlichen“, wie sie hier verwendet werden, dass eine geringe Fehlerquote vorliegt, wie beispielsweise 1% oder weniger als der angegebene Betrag.
  • 1A und 1B sind schematische Ansichten einer Halbleitervorrichtung 100 mit Luftspalt-Abstandhaltern, die gemäß einer Ausführungsform der Erfindung integral in einer BEOL-Struktur der Halbleitervorrichtung ausgebildet sind. 1A ist ein schematischer seitlicher Querschnitt der Halbleitervorrichtung 100 entlang der Linie 1A-1A in 1B, und 1B ist eine schematische Draufsicht der Halbleitervorrichtung 100 entlang einer Ebene, die die Linie 1B-1B beinhaltet, wie in 1A dargestellt. Genauer gesagt, ist 1A ein schematischer seitlicher Querschnitt der Halbleitervorrichtung 100 in einer X-Z-Ebene und 1B eine Draufsicht, die eine Anordnung verschiedener Elemente innerhalb einer X-Y-Ebene zeigt, wie durch die jeweiligen kartesischen XYZ-Koordinaten in den 1A und 1B verdeutlicht. Es ist zu verstehen, dass der hier verwendete Begriff „vertikal“ oder „vertikale Richtung“ eine Z-Richtung der in den Abbildungen dargestellten kartesischen Koordinaten und der hier verwendete Begriff „horizontal“ oder „horizontale Richtung“ eine X-Richtung und / oder Y-Richtung der in den Abbildungen dargestellten kartesischen Koordinaten bezeichnet.
  • Insbesondere veranschaulicht 1A schematisch die Halbleitervorrichtung 100, die ein Substrat 110, eine FEOL/MOL-Struktur 120 und eine BEOL-Struktur 130 aufweist. In einer Ausführungsform weist das Substrat 110 ein Halbleitergroßsubstrat auf, das z.B. aus Silicium oder anderen Arten von Halbleitersubstratmaterialien wie Germanium, einer Silicium-Germanium-Legierung, Siliciumcarbid, einer Silicium-Germanium-Carbid-Legierung oder Verbindungshalbleitermaterialien (z.B. III-V und II-VI) gebildet ist, die häufig in Halbleiter-Massenherstellungsprozessen verwendet werden. Nicht einschränkende Beispiele für Verbindungshalbleitermaterialien sind Galliumarsenid, Indiumarsenid und Indiumphosphid. Die Dicke des Basissubstrats 100 variiert je nach Anwendung. In einer weiteren Ausführungsform weist das Substrat 110 ein SOI-Substrat (Silicium auf Isolator) auf, das eine Isolierschicht (z.B. eine Oxidschicht) aufweist, die zwischen einem Halbleiter-Basissubstrat (z.B. einem Siliciumsubstrat) und einer aktiven Halbleiterschicht (z.B. einer aktiven Siliciumschicht) angeordnet ist, in der aktive Schaltungskomponenten (z.B. Feldeffekttransistoren) als Teil einer FEOL-Schicht gebildet werden.
  • Insbesondere weist die FEOL/MOL-Struktur 120 eine auf dem Substrat 110 gebildete FEOL-Schicht auf. Die FEOL-Schicht weist verschiedene Halbleitervorrichtungen und -komponenten auf, die in oder auf der aktiven Oberfläche des Halbleitersubstrats 110 ausgebildet sind, um integrierte Schaltungen für eine Zielanwendung bereitzustellen. So weist die FEOL-Schicht beispielsweise FET-Vorrichtungen (wie FinFET-Vorrichtungen, planare MOSFET-Vorrichtungen usw.), bipolare Transistoren, Dioden, Kondensatoren, Induktoren, Widerstände, Isolationsvorrichtungen usw. auf, die in oder auf der aktiven Oberfläche des Halbleitersubstrats 110 ausgebildet sind. Im Allgemeinen weisen FEOL-Prozesse typischerweise ein Vorbereiten des Substrats 110 (oder Wafers), ein Bilden von Isolationsstrukturen (z.B. Flachgrabenisolierung), ein Bilden von Vorrichtungsbecken, ein Strukturieren von Gate-Strukturen, ein Bilden von Abstandhaltern, ein Bilden von Source / Drain-Bereichen (z.B. durch Implantation), ein Bilden von Silizidkontakten auf den Source / Drain-Bereichen, ein Bilden von Belastungsauskleidungen, usw. auf.
  • Die FEOL/MOL-Struktur 120 weist ferner eine MOL-Schicht auf, die auf der FEOL-Schicht gebildet ist. Im Allgemeinen besteht die MOL-Schicht aus einer PMD (pre-metal dielectric layer, Vormetall-Dielektrikum-Schicht) und leitenden Kontakten (z.B. Durchkontakten), die in der PMD-Schicht gebildet werden. Die PMD-Schicht wird auf den Komponenten und Vorrichtungen der FEOL-Schicht gebildet. In der PMD-Schicht wird ein Muster von Öffnungen gebildet und die Öffnungen werden mit einem leitenden Material wie beispielsweise Wolfram gefüllt, um leitfähige Durchkontakte zu bilden, die in elektrischem Kontakt mit Geräteanschlüssen (z.B. Source / Drain-Bereichen, Gate-Kontakten usw.) der integrierten Schaltung der FEOL-Schicht stehen. Die leitfähigen Durchkontakte der MOL-Schicht stellen elektrische Verbindungen zwischen der integrierten Schaltung der FEOL-Schicht und einer ersten Metallisierungsebene der BEOL-Struktur 130 her.
  • Die BEOL-Struktur 130 ist auf der FEOL/MOL-Struktur 120 ausgebildet, um die verschiedenen Komponenten der integrierten Schaltung der FEOL-Schicht zu verbinden. Wie in der Technik bekannt ist, weist eine BEOL-Struktur mehrere Dielektrikumsebenen und Metallisierungsebenen auf, die in das dielektrische Material eingebettet sind. Die BEOL-Metallisierung weist horizontale Verdrahtung, Verbindungen, Pads usw. sowie vertikale Verdrahtung in Form von leitfähigen Durchkontakten auf, die Verbindungen zwischen verschiedenen Verbindungsebenen der BEOL-Struktur bilden. Ein BEOL-Herstellungsprozess weist ein sukzessives Abscheiden und Strukturieren mehrerer Schichten aus dielektrischem und metallischem Material auf, um ein Netzwerk von elektrischen Verbindungen zwischen den FEOL-Vorrichtungen zu bilden und I/O-Verbindungen zu externen Komponenten bereitzustellen.
  • In der beispielhaften Ausführungsform von 1A weist die BEOL-Struktur 130 eine erste Verbindungsebene 140 und eine zweite Verbindungsebene 150 auf. Die erste Verbindungsebene 140 ist generisch dargestellt und kann eine weitere dielektrische Zwischenschicht (ILD) mit niedrigem k-Wert sowie metallische Durchkontaktierungs- und Verdrahtungsebenen (z.B. Kupfer-Damaszenerstrukturen) aufweisen. Zwischen der ersten Verbindungsebene 140 und der zweiten Verbindungsebene 150 ist eine Deckschicht 148 ausgebildet. Die Deckschicht 148 dient zur Isolierung der Metallisierung der ersten Verbindungsebene 140 von dem dielektrischen Material der ILD-Schicht 151. So dient beispielsweise die Deckschicht 148 dazu, die Zuverlässigkeit der Verbindung zu verbessern und zu verhindern, dass die Kupfermetallisierung in die ILD-Schicht 151 der zweiten Verbindungsebene 150 eindringt. Die Deckschicht 148 kann jedes geeignete isolierende oder dielektrische Material aufweisen, einschließlich, aber nicht beschränkt auf Siliciumnitrid (SiN), Siliciumcarbid (SiC), Siliciumcarbonitrid (SiCN), hydriertes Siliciumcarbid (SiCH), einen Mehrschichtstapel mit gleichen oder verschiedenen Arten von Dielektrika, usw. Die Deckschicht 148 kann mit Standardabscheidetechniken wie z.B. der chemischen Dampfabscheidung abgeschieden werden. Die Deckschicht 148 kann mit einer Dicke in einem Bereich von etwa 2 nm bis etwa 60 nm gebildet werden.
  • Die zweite Verbindungsebene 150 weist eine ILD-Schicht 151 und eine in der ILD-Schicht 151 gebildete Metallverdrahtungsschicht 152 auf. Die ILD-Schicht 151 kann aus jedem geeigneten dielektrischen Material gebildet werden, einschließlich, aber nicht beschränkt auf Siliciumoxid (z.B. SiO2), SiN (z.B. (Si3N4), hydriertes Siliciumkohlenstoffoxid (SiCOH), siliciumbasierte Low-k-Dielektrika, poröse Dielektrika oder andere bekannte ULK- (Ultra-Low-k-) Dielektrika. Die ILD-Schicht 151 kann mit bekannten Abscheidetechniken aufgetragen werden, wie z.B. ALD (Atomlagenabscheidung), CVD (chemische Gasphasenabscheidung), PECVD (plasmaverstärkte CVD) oder PVD (physikalische Gasphasenabscheidung). Die Dicke der ILD-Schicht 151 variiert je nach Anwendung und kann beispielsweise eine Dicke in einem Bereich von etwa 30 nm bis etwa 200 nm aufweisen.
  • Die Metallverdrahtungsschicht 152 weist eine Vielzahl von eng beabstandeten Metallleitungen 152-1, 152-2, 152-3, 152-4, 152-5 und 152-6 auf, die innerhalb von Gräben / Öffnungen gebildet sind, die in der ILD-Schicht 151 strukturiert und mit metallischem Material gefüllt sind, um die Metallleitungen zu bilden. Die Gräben / Öffnungen sind mit einer gleichförmigen Auskleidungsschicht 153 ausgekleidet, die als Barrierediffusionsschicht dient, um eine Migration des metallischen Materials (z.B. Cu) in die ILD-Schicht 151 zu verhindern, sowie mit einer Haftschicht, um eine gute Haftung auf dem metallischen Material (z.B. Cu) zu gewährleisten, das zum Ausfüllen der Gräben / Öffnungen in der ILD-Schicht 151 und zum Bilden der Metallleitungen 152-1, ..., 152-6 verwendet wird.
  • Wie weiter in 1A dargestellt, weist die zweite Verbindungsebene 150 ferner Schutzkappen 154 auf, die selektiv auf einer Oberseite der Metallleitungen 152-1, 152-2, 152-3, 152-4, 152-5 und 152-6 ausgebildet sind, eine gleichförmige Isolierauskleidung 155, die die Metallleitungsschicht 152 gleichförmig abdeckt, und eine dielektrische Deckschicht 156, die mit einer abschnürenden Abscheidetechnik abgeschieden wird, um Luftspalt-Abstandhalter 158 zwischen den Metallleitungen 152-1, 152-2, 152-3, 152-4, 152-5 und 152-6 zu bilden. Die Schutzkappen 154 und die gleichförmige Isolierung 155 dienen dem Schutz der Metallverdrahtung 152 vor möglichen strukturellen Schäden oder Verunreinigungen, die durch nachfolgende Bearbeitungsschritte und Umgebungsbedingungen entstehen können. Beispielmaterialien und -verfahren zum Bilden der Schutzkappen 154 und der gleichförmigen Isolierung 155 werden nachfolgend anhand der 7~9 näher erläutert.
  • Die Luftspalt-Abstandhalter 158 sind in Aussparungen zwischen den Metallleitungen 152-1, 152-2, 152-3, 152-3, 152-4, 152-5 und 152-6 der Metall-Leitungsschicht 152 ausgebildet, um die parasitäre kapazitive Kopplung zwischen benachbarten Metallleitungen der Metall-Leitungsschicht 152 zu verringern. Wie im Folgenden näher erläutert, wird im Rahmen des BEOL-Herstellungsprozesses ein dielektrischer Luftspalt-Integrationsprozess durchgeführt, bei dem Teile des dielektrischen Materials der ILD-Schicht 151 weggeätzt werden, um Aussparungen zwischen den Metallleitungen 152-1, 152-2, 152-3,152-4, 152-5 und 152-6 der Verdrahtungsschicht 152 zu bilden. Die dielektrische Deckschicht 156 wird unter Verwendung eines nichtgleichförmigen Abscheideprozesses (z.B. chemische Gasphasenabscheidung) gebildet, um ein dielektrisches Material abzuscheiden, das oberhalb der oberen Abschnitte der Aussparungen zwischen den Metallleitungen der Leitungsschicht 152 „abgeschnürte“ Bereiche 156-1 bildet, wodurch die Luftspalt-Abstandhalter 158 gebildet werden. Wie in 1A dargestellt, werden in einer Ausführungsform der Erfindung die Abschnürungsbereiche 156-1 über den oberen Oberflächen der Metallleitungen 152-1, ...., 152-6 der Metall-Leitungsschicht 152 gebildet, wie durch die gestrichelte Linie 1B-1B angezeigt. In diesem Zusammenhang erstrecken sich die zwischen den Metallleitungen 152-1, ...., 152-6 gebildeten Luftspalt-Abstandhalter 158 vertikal in die dielektrische Deckschicht 156 über den Metallleitungen 152-1, ...., 152-6.
  • Darüber hinaus erstrecken sich die zwischen den Metallleitungen 152-1, ...., 152-6 gebildeten Luftspalt-Abstandhalter 158 in einer Ausführungsform der Erfindung, wie in 1B dargestellt, horizontal (z.B. in Y-Richtung) über Endabschnitte benachbarter Metallleitungen hinaus. Insbesondere zeigt 1B eine beispielhafte verzahnte Kamm-Kamm-Musteranordnung der Metallverdrahtungsschicht 152, wobei die Metallleitungen 152-1, 152-3 und 152-5 üblicherweise an einem Ende mit einer verlängerten Metallleitung 152-7 verbunden sind, und wobei die Metallleitungen 152-2, 152-4 und 152-6 an einem Ende mit einer verlängerten Metallleitung 152-8 verbunden sind. Wie in 1B dargestellt, erstrecken sich die Luftspalt-Abstandhalter 158 horizontal über die offenen (nicht verbundenen) Enden der Metallleitungen 152-1, ...., 152-6. Im Vergleich zu herkömmlichen Luftspaltstrukturen bieten Größe und Form der in den 1A und 1B dargestellten Luftspalt-Abstandhalter 158 eine verbesserte TDDB-Zuverlässigkeit sowie eine reduzierte kapazitive Kopplung zwischen den Metallleitungen aus Gründen, die nun anhand der 2A und 2B näher erläutert werden.
  • Die 2A und 2B veranschaulichen schematisch Verbesserungen der TDDB-Zuverlässigkeit und eine reduzierte kapazitive Kopplung zwischen Metallleitungen einer BEOL-Struktur, die mit Luftspaltstrukturen erzielt werden, die mit abschnürenden Abscheideverfahren nach Ausführungsformen der Erfindung gebildet werden, im Vergleich zu Luftspaltstrukturen, die mit herkömmlichen Verfahren gebildet werden. Insbesondere veranschaulicht 2A schematisch einen Abschnitt der Metallverdrahtungsschicht 152 von 1A einschließlich der Metallleitungen 152-1 und 152-2 und den Luftspalt 158, der zwischen den Metallleitungen durch Bilden der dielektrischen Deckschicht 156 unter Verwendung eines abschnürenden Abscheideverfahrens gemäß einer Ausführungsform der Erfindung gebildet wird. Wie in 2A dargestellt, sind die Metallleitungen 152-1 und 152-2 und die zugehörigen Auskleidungen 153 so ausgebildet, dass sie eine Breite W aufweisen und um einen Abstand S voneinander beabstandet sind. Weiterhin veranschaulicht 2B schematisch eine Halbleiterstruktur mit einem Luftspalt 168, der zwischen den gleichen beiden Metallleitungen 152-1 und 152-2 mit der gleichen Breite W und dem gleichen Abstand S wie in 2A angeordnet ist, wobei der Luftspalt 168 jedoch durch Bilden einer dielektrischen Deckschicht 166 unter Verwendung eines herkömmlichen abschnürenden Abscheideverfahrens gebildet wird.
  • Wie in 2A dargestellt, ist der „Abschnürungs“-Bereich 156-1 in der dielektrischen Deckschicht 156 so ausgebildet, dass sich der Luftspalt 158 über die Oberseite der Metallleitungen 152-1 und 152-2 erstreckt. Im Gegensatz dazu führt ein herkömmlicher abschnürender Abscheideprozess, wie in 2B dargestellt, zur Bildung eines Abschnürungsbereichs 166-1 in der dielektrischen Deckschicht 166 unterhalb der Oberseite der Metallleitungen 152-1 und 152-2, so dass sich der resultierende Luftspalt 168 nicht über die Metallleitungen 152-1 und 152-2 erstreckt. Darüber hinaus ist, wie in den 2A und 2B vergleichsweise veranschaulicht, die Menge an dielektrischem Material, das auf den Seitenwand- und Bodenflächen in der Aussparung zwischen den Metallleitungen 152-1 und 152-2 abgeschieden wird, wie in 2B unter Verwendung eines konventionellen abschnürenden Abscheideverfahrens dargestellt, deutlich größer als die Menge an dielektrischem Material, das auf den Seitenwand- und Bodenflächen in der Aussparung zwischen den Metallleitungen 152-1 und 152-2 abgeschieden wird, wie in 2A unter Verwendung eines abschnürenden Abscheideverfahrens gemäß einer Ausführungsform der Erfindung dargestellt. Infolgedessen ist ein Volumen V1 des resultierenden Luftspalts 158 in 2A deutlich größer als ein Volumen V2 des resultierenden Luftspalts 168 in 2B.
  • Die Struktur in 2A hat gegenüber der in 2B dargestellten konventionellen Struktur verschiedene Vorteile. So führt beispielsweise das größere Volumen V1 des Luftspalts 158 (mit weniger dielektrischem Material in der Aussparung zwischen den Metallleitungen) zu einer kleineren parasitären Kapazität zwischen den Metallleitungen 152-1 und 152-2 (im Vergleich zur Struktur von 2B). Tatsächlich gibt es eine reduzierte effektive Dielektrizitätskonstante in der Aussparung zwischen den Metallleitungen 152-1 und 152-2 in 2A im Vergleich zu 2B, da es weniger dielektrisches Material und ein großes Luftvolumen V1 (k=1) in der Aussparung zwischen den Metallleitungen 152-1 und 152-2 in 2A gibt.
  • Darüber hinaus bietet die Struktur von 2A eine verbesserte Zuverlässigkeit der TDDB im Vergleich zur Struktur von 2B. Insbesondere, wie in 2A dargestellt, gibt es, da sich der Luftspalt 158 über die Metallleitungen 152-1 und 152-2 erstreckt, einen langen Diffusions-/Leitungsweg P1 zwischen den kritischen Schnittstellen der Metallleitungen 152-1 und 152-2 (die kritischen Schnittstellen sind eine Schnittstelle zwischen der dielektrischen Deckschicht 156 und den oberen Oberflächen der Metallleitungen 152-1 und 152-2). Dies steht im Gegensatz zu einem kürzeren Diffusions-/Leitungsweg P2 in der dielektrischen Deckschicht 166 zwischen den kritischen Schnittstellen der Metallleitungen 152-1 und 152-2 in der in 2B dargestellten Struktur. Ein TDDB-Ausfallmechanismus in der Struktur von 2A oder 2B würde sich aus dem Durchbruch des dielektrischen Materials und der Bildung eines Leitungsweges durch das dielektrische Material zwischen den oberen Oberflächen der Metallleitungen 152-1 und 152-2 aufgrund von Elektronentunnelstrom ergeben. Der längere Diffusionsweg P1 in der in 2A dargestellten Struktur, gekoppelt mit der optionalen Verwendung eines dichten dielektrischen Auskleidungsmaterials 155 mit verbesserter Durchschlagsfestigkeit, würde eine verbesserte TDDB-Zuverlässigkeit der Struktur in 2A im Vergleich zu der in 2B dargestellten Struktur bieten.
  • Darüber hinaus würde die horizontale Verlängerung der Luftspalt-Abstandhalter 158 über die Endabschnitte der Metallleitungen hinaus, wie in 1B dargestellt, zu einer weiteren Verbesserung der Zuverlässigkeit der TDDB und einer reduzierten kapazitiven Kopplung aus den gleichen Gründen beitragen, die in Bezug auf 2A diskutiert wurden. Insbesondere, wie in 1B dargestellt, würde die Verlängerung des Luftspalts 158 über das Ende der Metallleitung 152-1 hinaus beispielsweise einen langen Diffusions-/Leitungsweg zwischen der kritischen Schnittstelle am offenen Ende der Metallleitung 152-1 und der angrenzenden Metallleitung 152-2 ermöglichen. In einer alternativen Ausführungsform von 1B könnten Luftspalt-Abstandhalter zwischen der verlängerten Metallleitung 152-8 und den angrenzenden offenen Enden der Metallleitungen 152-1, 152-2 und 152-5 sowie Luftspalt-Abstandhalter zwischen der verlängerten Metallleitung 152-7 und den angrenzenden offenen Enden der Metallleitungen 152-2, 152-4 und 152-6 gebildet werden, um dadurch die TDDB-Zuverlässigkeit weiter zu optimieren und die kapazitive Kopplung zwischen den verzahnten Kammstrukturen zu reduzieren.
  • 3 ist ein schematischer seitlicher Querschnitt einer Halbleitervorrichtung mit Luftspalt-Abstandhaltern, die integral in einer BEOL-Struktur der Halbleitervorrichtung gemäß einer weiteren Ausführungsform der Erfindung ausgebildet sind. Insbesondere veranschaulicht 3 schematisch eine Halbleitervorrichtung 100', die in ihrer Struktur der in den 1A/1B dargestellten Halbleitervorrichtung 100 ähnlich ist, mit der Ausnahme, dass sich die in 3 dargestellten Luftspalt-Abstandhalter 158 nicht über eine Unterseite der Metallleitungen der metallischen Verdrahtungsschicht 152 hinaus erstrecken. Bei dieser Struktur würde die ILD-Schicht 151 bis zur unteren Ebene der Metallleitungen vertieft (im Vergleich zur Vertiefung unter die Unterseite der Metallleitungen, wie in 8 dargestellt, um die in 1A dargestellten Abstandhalter für den erweiterten Luftspalt zu bilden). In anderen Ausführungsformen der Erfindung, während die 1A und 3 die BEOL-Struktur 130 mit ersten und zweiten Verbindungsebenen 140 und 150 zeigen, kann die BEOL-Struktur 130 eine oder mehrere zusätzliche Verbindungsebenen aufweisen, die über die zweite Verbindungsebene 150 gebildet sind. Solche zusätzlichen Verbindungsebenen können mit Luftspalt-Abstandhaltern unter Verwendung der hierin beschriebenen Techniken und Materialien gebildet werden.
  • Verfahren zur Herstellung der Halbleitervorrichtung 100 aus 1A (und 3) werden nun anhand der 4 bis 10, die die Halbleitervorrichtung 100 in verschiedenen Fertigungsstufen schematisch darstellen, näher erläutert. 4 ist beispielsweise ein schematischer Querschnitt der Halbleitervorrichtung 100 in einem Zwischenstadium der Herstellung, in der ein Muster von Öffnungen 151-1 (z.B. Damaszeneröffnungen mit Gräben und Durchgangsöffnungen) in der ILD-Schicht 151 gemäß einer Ausführungsform der Erfindung gebildet wird. Insbesondere veranschaulicht 4 schematisch die Halbleitervorrichtung 100 von 1A in einem Zwischenstadium der Herstellung, nachdem die FEOL/MOL-Struktur 120, die erste Verbindungsebene 140, die Deckschicht 148 und die ILD-Schicht 151 auf dem Substrat 110 sequentiell gebildet wurden, und nachdem die ILD-Schicht 151 strukturiert wurde, um die Öffnungen 151-1 in der ILD-Schicht 151 zu bilden. Nach dem Abscheiden der ILD-Schicht 151 können Standard-Photolithographie- und -Ätzprozesse durchgeführt werden, um die Öffnungen 151-1 in der ILD-Schicht 151 zu ätzen, die anschließend mit metallischem Material gefüllt werden, um die Metallverdrahtungsschicht 152 aus 1A zu bilden. Es ist zu beachten, dass in der ILD-Schicht 151 zwar keine vertikalen Durchkontakte dargestellt werden, es ist jedoch zu verstehen, dass in der zweiten Verbindungsebene 150 vertikale Durchkontakte vorhanden wären, um vertikale Verbindungen zur Metallisierung in der darunter liegenden Verbindungsebene 140 herzustellen.
  • In 4 sind die Öffnungen 151-1 mit einer Breite W und einem Abstand S dargestellt. In einer Ausführungsform der Erfindung kann im Rahmen der Bildung von Luftspalt-Abstandhaltern zwischen eng beieinander liegenden Metallleitungen mittels abschnürenden Abscheideverfahren die Breite W der Öffnungen (in denen die Metallleitungen gebildet werden) in einem Bereich von etwa 2 nm bis etwa 25 nm mit einem bevorzugten Bereich von etwa 6 nm bis etwa 10 nm liegen. Darüber hinaus kann in einer Ausführungsform der Abstand S zwischen den Metallleitungen in einem Bereich von etwa 2 nm bis etwa 25 nm mit einem bevorzugten Bereich von etwa 6 nm bis etwa 10 nm liegen.
  • Ein nächstes Prozessmodul im beispielhaften Herstellungsprozess umfasst ein Bilden der in 1A dargestellten Metallverdrahtungsschicht 152 mit einem Prozessablauf, wie er in den 5 und 6 schematisch dargestellt ist. Insbesondere ist 5 ein schematischer Querschnitt der Halbleitervorrichtung von 4 nach dem Abscheiden einer gleichförmigen Schicht aus Auskleidungsmaterial 153A und dem Abscheiden einer Schicht aus metallischem Material 152A auf der gleichförmigen Schicht aus Auskleidungsmaterial 153A, um die Öffnungen 151-1 in der ILD-Schicht 151 zu füllen. Darüber hinaus ist 6 ein schematischer Querschnitt der Halbleitervorrichtung von 5 nach dem Planarisieren der Oberfläche der Halbleiterstruktur bis hinunter zur ILD-Schicht 151 zur Bildung der Metallverdrahtungsschicht 152. Die Metallverdrahtungsschicht 152 kann mit bekannten Materialien und bekannten Techniken gebildet werden.
  • So wird beispielsweise die gleichförmige Schicht aus dem Auskleidungsmaterial 153A vorzugsweise abgeschieden, um die Seitenwand- und Bodenflächen der Öffnungen 151-1 in der ILD-Schicht 151 mit einer dünnen Auskleidungsschicht zu versehen. Die dünne Auskleidungsschicht kann durch gleichförmige Abscheidung einer oder mehrerer dünner Materialschichten, wie beispielsweise Tantalnitrid (TaN), Kobalt (Co) oder Ruthenium (Ru), Mangan (Mn) oder Mangannitrid (MnN) oder anderer Auskleidungsmaterialien (oder Kombinationen von Auskleidungsmaterialien wie Ta/TaN, TiN, CoWP, NiMoP, NiMoB) gebildet werden, die für die jeweilige Anwendung geeignet sind. Die dünne Auskleidungsschicht erfüllt mehrere Funktionen. So dient beispielsweise die dünne Auskleidungsschicht als Barrierediffusionsschicht, um eine Migration / Diffusion des metallischen Materials (z.B. Cu) in die ILD-Schicht 151 zu verhindern. Darüber hinaus dient die dünne Auskleidungsschicht als Haftschicht, um eine gute Haftung auf der Schicht aus dem metallischen Werkstoff 152A (z.B. Cu) zu gewährleisten, die zum Füllen der Öffnungen 151-1 in der ILD-Schicht 151 verwendet wird.
  • In einer Ausführungsform weist die Schicht aus metallischem Material 152A ein metallisches Material wie beispielsweise Kupfer (Cu), Aluminium (Al), Wolfram (W), Kobalt (Co) oder Ruthenium (Ru) auf, das mit bekannten Techniken wie Galvanisieren, stromloser Abscheidung, CVD, PVD oder einer Kombination von Verfahren abgeschieden wird. Vor dem Füllen der Öffnungen 151-1 in der ILD-Schicht 151 mit dem leitfähigen Material kann optional eine dünne Saatschicht (z.B. Cu-Saatschicht) mit einer geeigneten Abscheidetechnik wie ALD, CVD oder PVD abgeschieden werden (auf der gleichförmigen Auskleidungsschicht 153A). Die Saatschicht kann aus einem Material gebildet werden, das die Haftung des metallischen Materials auf dem Grundmaterial verbessert und das bei einem nachfolgenden Beschichtungsprozess als katalytisches Material dient. So kann beispielsweise eine dünne gleichförmige Cu-Saatschicht mittels PVD auf die Oberfläche des Substrats aufgebracht werden, gefolgt von Cu-Galvanisierung zum Füllen der in der ILD-Schicht 151-1 gebildeten Öffnungen (z.B. Gräben und Durchkontakten) und damit Ausbilden einer Cu-Metallisierungsschicht 152. Die Deckschicht-, Saat- und Metallisierungsmaterialien werden dann durch einen chemisch-mechanischen Polierprozess (CMP) entfernt, um die Oberfläche der Halbleiterstruktur bis zur ILD-Schicht 151 zu planarisieren, was zu der in 6 dargestellten Zwischenstruktur führt.
  • In einer Ausführungsform der Erfindung kann nach Durchführung des CMP-Prozesses eine Schutzschicht auf den freiliegenden Oberflächen der Metallleitungen 152-1, ...., 152-6 gebildet werden, um die Metallisierung vor möglichen Schäden durch nachfolgende Bearbeitungsbedingungen und -umgebungen zu schützen. So ist beispielsweise 7 ein schematischer Querschnitt der Halbleitervorrichtung von 6 nach dem Bilden von Schutzkappen 154 auf den Metallleitungen 152-1, ...., 152-6 gemäß einer Ausführungsform der Erfindung. In einer Ausführungsform können die Schutzkappen 154 für die Kupfermetallisierung unter Verwendung eines selektiven Co-Abscheideprozesses gebildet werden, um selektiv eine dünne Deckschicht aus Co auf den freiliegenden Oberflächen der Metallleitungen 152-1, ...., 152-6 abzuscheiden. In weiteren Ausführungsformen der Erfindung können die Schutzkappen 154 aus anderen Materialien wie Tantal (Ta) oder Ruthenium (Ru) gebildet werden. Die Schutzkappen 154 auf den Metallleitungen 152-1, ...., 152-6 sind optionale Merkmale, die auf Wunsch eingesetzt werden können, um aggressivere Ätzbedingungen usw. beim Bilden von Luftspalt-Abstandhaltern und anderen Strukturen mit den im Folgenden beschriebenen Techniken zu ermöglichen.
  • Ein nächster Schritt im Herstellungsprozess umfasst ein Bilden von Luftspalt-Abstandhaltern in der zweiten Verbindungsebene 150 unter Verwendung eines Prozessablaufs, wie er in den 8, 9 und 10 schematisch dargestellt ist. Insbesondere ist 8 ein schematischer Querschnitt der Halbleitervorrichtung von 7 nach dem Ätzen freiliegender Abschnitte der ILD-Schicht 151, um Aussparungen 151-2 zwischen den Metallleitungen 152-1, ...., 152-6 gemäß einer Ausführungsform der Erfindung zu bilden. In einer Ausführungsform kann jede geeignete Maskierungs- (z.B. Photoresistmaske) und Ätztechnik (z.B. RIE (reaktives Ionenätzen, reactive ion etch)) verwendet werden, um Abschnitte der ILD-Schicht 151 zu vertiefen und die Aussparungen 151-2 zu bilden, wie in 8 dargestellt. So kann beispielsweise in einer Ausführungsform mit einer Trockenätztechnik unter Verwendung eines fluorbasierten Ätzmittels das dielektrische Material der ILD-Schicht 151 weggeätzt werden, um die Aussparungen 151-2 zu bilden. In einer Ausführungsform sind die Aussparungen 151-2 so ausgebildet, dass die vertiefte Oberfläche der ILD-Schicht 151 unterhalb der Bodenflächen der Metallleitungen 152-1, ...., 152-6 liegt, wie in 8 dargestellt. In einer weiteren Ausführungsform kann der Ätzprozess so durchgeführt werden, dass die Aussparungen 151-2 bis auf eine Ebene der Bodenflächen der Metallverdrahtung 152 vertieft werden (siehe 3). In Bereichen der Metallverdrahtung 152, in denen die Metallleitungen relativ weit auseinander liegen, wird die ILD-Schicht 151 nicht entfernt, da die Kapazität zwischen den weit auseinander liegenden Metallleitungen als vernachlässigbar angenommen wird.
  • Ein nächster Schritt in dem Prozess besteht darin, eine gleichförmige Schicht aus Isoliermaterial über der Halbleiterstruktur von 8 abzulagern, um die gleichförmige Isolierung 155 zu bilden, wie in 9 dargestellt. Die gleichförmige Isolierung 155 ist eine optionale Schutzfunktion, die vor dem abschnürenden Abscheideprozess gebildet werden kann, um die freiliegenden Oberflächen der ILD-Schicht 151 und der Metallverdrahtungsschicht 152 zusätzlich zu schützen. So kann beispielsweise in der beispielhaften Ausführungsform von 9, während die gleichförmigen Auskleidungsschichten 153 die Seitenwände der Metallleitungen 152-1, ...., 152-6 in gewissem Umfang schützen, die gleichförmige Isolierauskleidung 155 einen zusätzlichen Schutz vor Oxidation der Metallleitungen 152-1, ...., 152-6 bieten, wenn die Metallleitungen aus Kupfer gebildet sind und die Auskleidungsschichten 153 nicht ausreichen, um die Diffusion von Sauerstoff in die Metallleitungen aus den später gebildeten Luftspalt-Abstandhaltern 158 zu verhindern. Obwohl die Luftspalt-Abstandhalter 158, wie sie später gebildet werden, eine vakuumähnliche Umgebung aufweisen, gibt es nämlich immer noch einen gewissen Sauerstoffgehalt in den Luftspalt-Abstandhaltern 158, der in Fällen, in denen die Auskleidungsschichten 153 den Restsauerstoff in den Luftspalt-Abstandhaltern 158 durch die Auskleidungsschichten 153 zu den Metallleitungen diffundieren lassen, zu einer Oxidation der Kupfer-Metallleitungen führen kann.
  • Weiterhin kann die gleichförmige Isolierung 155 mit einer oder mehreren robusten ultradünnen Schichten aus dielektrischem Material gebildet werden, die die gewünschten elektrischen und mechanischen Eigenschaften wie geringen Leckstrom, einen hohen elektrischen Durchschlag, Hydrophobie usw. aufweisen und die Schäden durch nachfolgende Halbleiterbearbeitungsschritte gering halten können. So kann beispielsweise die gleichförmige Isolierung 155 aus einem dielektrischen Material wie SiN, SiCN, SiNO, SiCNO, SiBN, SiCBN, SiC oder anderen dielektrischen Materialien mit den gewünschten elektrischen / mechanischen Eigenschaften gebildet werden, wie vorstehend erwähnt. In einer Ausführungsform wird die gleichförmige Isolierung 155 mit einer Dicke in einem Bereich von etwa 0,5 nm bis etwa 5 nm ausgebildet. Die gleichförmige Isolierung 155 kann aus mehreren gleichförmigen Schichten aus gleichen oder unterschiedlichen dielektrischen Materialien gebildet werden, die mittels eines zyklischen Abscheideprozesses abgeschieden werden. So kann beispielsweise in einer Ausführungsform die gleichförmige Isolierung 155 aus mehreren dünnen gleichförmigen SiN-Schichten (z.B. 0,1 nm - 0,2 nm dicke SiN-Schichten) gebildet werden, die nacheinander abgeschieden werden, um eine SiN-Schicht zu bilden, die eine insgesamt gewünschte Dicke aufweist.
  • Wie in 9 dargestellt, werden nach der Bildung der gleichförmigen Isolierung 155 die Aussparungen 151-2 zwischen den Metallleitungen der Metallverdrahtungsschicht 152 mit einem Anfangsvolumen Vi dargestellt. Insbesondere in einer Ausführungsform, in der die gleichförmige Isolierung 155 gebildet wird, wird das Volumen Vi durch die Seitenwand- und Bodenflächen der gleichförmigen Isolierung 155 und eine gestrichelte Linie L definiert, die eine Oberseite der gleichförmigen Isolierung 155 auf der Metallverdrahtungsschicht 152 bezeichnet. In einer weiteren Ausführungsform der Erfindung, wenn die gleichförmige Isolierungsauskleidung 155 nicht gebildet wird, würde das Anfangsvolumen Vi durch die freiliegenden Oberflächen der Auskleidungsschichten 153, die vertiefte Oberfläche der ILD-Schicht 151 und eine Oberseite der Metallleitungen der Metallverdrahtungsschicht 152 definiert. Wie nachstehend erläutert, verbleibt ein bedeutender Teil des Ausgangsvolumens Vi in den Aussparungen 151-2 zwischen den Metallleitungen, nachdem die Luftspalt-Abstandhalter 158 mittels eines abschnürenden Abscheideprozesses gemäß einer Ausführungsform der Erfindung gebildet wurden.
  • Ein nächster Schritt im Herstellungsprozess umfasst ein Abscheiden von dielektrischem Material über der Halbleiterstruktur von 9 unter Verwendung eines abschnürenden Abscheideprozesses, um die Luftspalt-Abstandhalter 158 in der Aussparung 151-2 zwischen den Metallleitungen der Metallleiterschicht 152 zu bilden. 10 veranschaulicht beispielsweise schematisch einen Abscheideprozess einer Schicht aus dielektrischem Material 156A unter Verwendung eines nicht-gleichförmigen Abscheideprozesses (z.B. PECVD oder PVD), um zu bewirken, dass sich im abgeschiedenen dielektrischen Material 156A über den Aussparungen 151-2 zwischen den Metallleitungen der Metallverdrahtungsschicht 152 gemäß einer Ausführungsform der Erfindung Abschnürungsbereiche bilden. 1A veranschaulicht die Halbleitervorrichtung 100 nach Abschluss des abschnürenden Abscheideprozesses, bei dem die dielektrische Deckschicht 156 mit Abschnürungsbereichen 156-1 in der dielektrischen Deckschicht und Luftspalt-Abstandhalter 158 in den Räumen 151-2 zwischen den Metallleitungen der Metallverdrahtungsschicht 152 gebildet werden.
  • Gemäß Ausführungsformen der Erfindung können die strukturellen Eigenschaften (z.B. Größe, Form, Volumen, usw.) der Luftspalt-Abstandhalter, die durch abschnürende Abscheidung gebildet werden, gesteuert werden, z.B. basierend auf (i) der Art der einen oder mehreren dielektrischen Materialien, die zur Bildung der dielektrischen Deckschicht 156 verwendet werden, und / oder (ii) dem Abscheideprozess und den zugehörigen Abscheidungsparametern (z.B. Gasdurchsatz, HF-Leistung, Druck, Abscheiderate, usw.), die zur Durchführung der abschnürenden Abscheidung verwendet werden. So wird beispielsweise in einer Ausführungsform der Erfindung die Deckschicht 158 durch PECVD-Abscheidung eines dielektrischen Materials mit niedrigem k-Wert (z.B. k in einem Bereich von etwa 2,0 bis etwa 5,0) gebildet. Dieses dielektrische Material mit niedrigem k-Wert beinhaltet, ohne Einschränkung, SiCOH, poröses p-SiCOH, SiCN, kohlenstoffreiches SiCNH, p-SiCNH, SiN, SiC, usw. Ein SiCOH-Dielektrikum weist eine Dielektrizitätskonstante k=2,7 auf, und ein poröses SiCOH-Material weist eine Dielektrizitätskonstante von etwa 2,3-2,4 auf. In einer beispielhaften Ausführungsform der Erfindung wird ein abschnürender Abscheideprozess implementiert, bei dem ein dielektrischer SiCN-Film über einen Plasma-CVD-Abscheideprozess unter Verwendung eines industriellen 300-mm-Parallelplatten-Einzelwafer-CVD-Reaktors mit den folgenden Abscheidungsparametern abgeschieden wird: Gas [Trimethylsilan (200 - 500 Normkubikzentimeter pro Minute (sccm)) und Ammoniak (300 - 800 sccm)]; HF-Leistung [300 - 600 Watt]; Druck[2 - 6 Torr]; und Abscheidungsrate [0,5 - 5 nm/sec].
  • Darüber hinaus kann der Gleichförmigkeitsgrad des mit PECVD abgeschiedenen dielektrischen Materials kontrolliert werden, um eine „Abschnürung“ der dielektrischen Deckschicht entweder oberhalb der Oberfläche benachbarter Metallleitungen oder unterhalb der Oberfläche benachbarter Metallleitungen zu erreichen. Der Begriff „Gleichförmigkeitsgrad“ eines isolierenden / dielektrischen Films, der über einem Graben mit einem Seitenverhältnis R von 2 (wobei R = Grabentiefe / Grabenöffnung) abgeschieden wird, ist hierin definiert als ein Verhältnis der Dicke des isolierenden / dielektrischen Films, der auf einer Seitenwand in der Mitte des Grabenstandortes abgeschieden wird, dividiert durch die Dicke des isolierenden / dielektrischen Films an der Oberseite des Grabenstandortes. So sollte beispielsweise ein 33%iger Gleichförmigkeitsgrad eines isolierenden / dielektrischen Films mit einer Dicke von 3 nm, der über einer Grabenstruktur mit einer Öffnung von 12 nm und einer Tiefe von 24 nm (Seitenverhältnis 2) abgeschieden wurde, etwa 1 nm Dicke an der Seitenwand in der Mitte des Grabens und 3 nm oberhalb des Grabens aufweisen (Gleichförmigkeitsgrad = 1 nm/3 nm ~33 %).
  • So werden beispielsweise bei einem Gleichförmigkeitsgrad von etwa 40% und weniger die in 1A dargestellten „Abschnürungs“-Bereiche 156-1 in der dielektrischen Deckschicht 156 oberhalb der Metallleitungen der Metallverdrahtungsschicht 152 gebildet. Dies führt zur Bildung der Luftspalt-Abstandhalter 158, die sich über die Metallleitungen der Metallverdrahtungsschicht 152 erstrecken. Andererseits würden bei einem Gleichförmigkeitsgrad von mehr als etwa 40% die „Abschnürungs“-Bereiche in der dielektrischen Deckschicht unterhalb der Oberseite der Metallleitungen der Metall-Leitungsschicht 152 gebildet. Dies würde zur Bildung von Luftspalt-Abstandhaltern führen, die sich nicht über die Metallleitungen der Metallverdrahtungsschicht 152 erstrecken.
  • Abhängig von der jeweiligen Anwendung und den Abmessungen der Luftspalt / Luftabstandhalter-Strukturen kann durch Einstellen der Prozessparameter der Abscheidung ein Soll-Gleichförmigkeitsgrad des per PECVD abgeschiedenen dielektrischen Materials erreicht werden. So kann beispielsweise für dielektrische PECVD-Materialien wie SiN, SiCN, SiCOH, poröses p-SiCOH und andere dielektrische ULK-Materialien ein niedrigerer Gleichförmigkeitsgrad erreicht werden, indem die HF-Leistung erhöht, der Druck erhöht und / oder die Abscheiderate erhöht wird (z.B. Erhöhung der Durchflussrate von Vorläufermaterialien). Mit abnehmender Gleichförmigkeit bilden sich die „Abschnürungs“-Bereiche oberhalb der Metallleitungen mit minimaler Ablagerung des dielektrischen Materials auf den freiliegenden Seitenwand- und Bodenflächen innerhalb der Aussparungen 151-2, was zur Bildung großer, voluminöser Luftspalt-Abstandhalter 158 führt, die sich über die Metallleitungen der Metallverdrahtungsschicht 152 erstrecken, wie in den 1A und 3 zum Beispiel.
  • Es ist zu beachten, dass experimentelle BEOL-Teststrukturen, wie sie in den 1A und 3 gezeigt werden, hergestellt wurden, in denen nicht-gleichförmige Deckschichten (Gleichförmigkeit weniger als 40%) aus ULK-Materialien (z.B. SiCOH, poröses p-SiCOH) unter Verwendung von hierin diskutierten „abschnürenden“ Abscheideverfahren gebildet wurden, um große, voluminöse Luftspalt-Abstandhalter zwischen eng beabstandeten Metallleitungen zu erhalten, wobei sich die Luftspalt-Abstandhalter über die Metallleitungen erstrecken, wie in den 1A und 3 dargestellt. Darüber hinaus haben experimentelle Ergebnisse gezeigt, dass die abschnürende Abscheidung solcher nicht-gleichförmigen Deckschichten zu einer sehr geringen Abscheidung von dielektrischem Material auf den Seitenwänden und Bodenflächen der Lufträume zwischen den Metallleitungen führt. Unter der Annahme, dass die Aussparungen 151-2 zwischen den Metallleitungen vor der Bildung der Deckschicht (wie in 9 dargestellt) ein Anfangsvolumen Vi aufweisen, wurden die experimentellen BEOL-Teststrukturen hergestellt, bei denen ein resultierendes Volumen von etwa nVi (wobei n in einem Bereich von etwa 0,70 bis fast 1,0 liegt) nach dem Bilden der Luftspalt-Abstandhalter unter Verwendung eines nicht-gleichförmigen abschnürenden Abscheideverfahrens, wie hierin beschrieben, erreicht wurde.
  • Die Dielektrizitätskonstante von Luft ist etwa eins, was viel kleiner ist als die Dielektrizitätskonstante der dielektrischen Materialien, die zur Bildung der gleichförmigen Isolierung 155 und der dielektrischen Deckschicht 156 verwendet werden. In diesem Zusammenhang ermöglicht die Fähigkeit einer strengen Kontrolle und Minimierung der Menge an dielektrischem Material, die in den Aussparungen 151-2 zwischen benachbarten Metallleitungen der Metallverdrahtungsschicht 152 unter Verwendung der hierin beschriebenen Techniken abgeschieden wird, eine Optimierung der elektrischen Leistung von BEOL-Strukturen durch Reduzierung der effektiven Dielektrizitätskonstante (und damit der parasitären Kapazität) zwischen benachbarten Metallleitungen der Metallverdrahtungsschicht 152. Darüber hinaus führt die Fähigkeit, eine abschnürende Abscheidung mit ULK-Dielektrika durchzuführen, um eine dielektrische Deckschicht 156 mit niedrigem k-Wert und großvolumige Luftspalt-Abstandhalter 158 zu bilden, zu einer allgemeinen Verringerung der effektiven Dielektrizitätskonstante (und damit einer reduzierten parasitären Kapazität) der BEOL-Struktur.
  • Während beispielhafte Ausführungsformen der oben genannten Erfindung die Bildung von Luftspalt-Abstandhaltern als Teil von BEOL-Strukturen veranschaulichen, können ähnliche Techniken zur Bildung von Luftspalt-Abstandhaltern als Teil von FEOL/MOL-Strukturen angewendet werden, um parasitäre Kopplungen zwischen benachbarten FEOL/MOL-Strukturen zu reduzieren. So können beispielsweise Luftspalt-Abstandhalter zwischen MOL-Bauteilkontakten und metallischen Gate-Strukturen von vertikalen Transistorbauteilen in einer FEOL/MOL-Struktur mit Techniken gebildet werden, wie nun anhand der 11 - 19 näher erläutert wird.
  • 11 ist ein schematischer seitlicher Querschnitt einer Halbleitervorrichtung mit Luftspalt-Abstandhaltern, die integral in einer FEOL/MOL-Struktur der Halbleitervorrichtung gemäß einer weiteren Ausführungsform der Erfindung ausgebildet sind. Insbesondere veranschaulicht 11 schematisch eine Halbleitervorrichtung 200, die ein Substrat 210/215 aufweist, das eine Großsubstratschicht 210 und eine Isolationsschicht 215 (z.B. eine vergrabene Oxidschicht eines SOI-Substrats) und eine Vielzahl von vertikalen Transistorstrukturen M1, M2, M3 (siehe 12) aufweist, die auf dem Substrat 210/215 ausgebildet sind. Die vertikalen Transistorstrukturen M1, M2, M3 weisen einen Standardstrukturrahmen auf, der eine Halbleiterrippe 220 (die sich entlang des Substrats in X-Richtung erstreckt), epitaktisch gewachsene Source-(S)/Drain-(D)-Bereiche 225 und entsprechende metallische Gate-Strukturen 230-1, 230-2 und 230-3 aufweist. Die Halbleiterrippe 220 dient als vertikaler Kanal für die vertikalen Transistorstrukturen M1, M2, M3 in Bereichen der Halbleiterrippe 220, die von den jeweiligen metallischen Gate-Strukturen 230-1, 230-2, 230-3 umgeben sind. Die Halbleiterrippe 220 kann durch Ätzen / Strukturieren einer aktiven Siliciumschicht gebildet werden, die auf der Isolationsschicht 215 ausgebildet ist (z.B. eine SOI-Schicht eines SOI-Substrats). Die Halbleiterrippe 220 ist in 11 nicht spezifisch dargestellt, aber eine Oberseite der Halbleiterrippe 220 wird durch die gestrichelte Linie in 11 dargestellt (d.h. Kanalabschnitte der Halbleiterrippe 220 werden von den Gate-Strukturen 230-1, 230-2 und 230-3 abgedeckt, und von den Gate-Strukturen ausgehende Abschnitte der Halbleiterrippe 220 werden in epitaktisches Material eingekapselt, das auf den exponierten Oberflächen der Halbleiterrippe 220 gewachsen ist).
  • In einer Ausführungsform umfassen die metallischen Gate-Strukturen 230-1, 230-2 und 230-3 jeweils eine gleichförmige High-k-Metall-Gate-Stapelstruktur, die an einer vertikalen Seitenwand und Oberseite der Halbleiterrippe 220 ausgebildet ist, und eine Gate-Elektrode, die über der High-k-Metall-Gate-Stapelstruktur ausgebildet ist. Jede gleichförmige High-k-Metall-Gate-Stapelstruktur weist eine gleichförmige Schicht aus dielektrischem Gate-Material (z.B. High-k-Dielektrikum wie HfO2, Al2O3, usw.) auf, die an der Seitenwand und der Oberseite der Halbleiterrippe 220 ausgebildet ist, und eine gleichförmige Schicht aus Metallmaterial (z.B. Zr, W, Ta, Hf, Ti, Al, Ru, Pa, TaN, TiN, usw.), die auf der gleichförmigen Schicht aus dielektrischem Gate-Material ausgebildet ist. Das Gate-Elektrodenmaterial, das auf der High-k-Metall-Gate-Stapelstruktur gebildet wird, weist ein niederohmiges leitfähiges Material auf, einschließlich, aber nicht beschränkt auf Wolfram, Aluminium oder jedes metallische oder leitende Material, das üblicherweise zum Bilden von Gate-Elektrodenstrukturen verwendet wird.
  • Die epitaktischen Source-(S)/Drain-(D)-Bereiche 225 weisen epitaktisches Halbleitermaterial (z.B. SiGe, III-V-Verbindungshalbleitermaterial, usw.) auf, das epitaktisch auf freiliegenden Abschnitten der Halbleiter-Rippenstrukturen 220 aufgewachsen ist, die sich von den metallischen Gate-Strukturen 230-1, 230-2, 230-3 ausgehend erstrecken. Eine Vielzahl von MOL-Bauteilkontakten 240/245 sind als Teil einer MOL-Schicht der Halbleitervorrichtung 200 ausgebildet, um vertikale Kontakte zu den Source / Drain-Bereichen 225 herzustellen. Jeder MOL-Bauteilkontakt 240/245 weist eine Auskleidungs- / Barriereschicht 240 und einen leitfähigen Durchkontakt 245 auf.
  • Wie weiter in 11 dargestellt, sind die metallischen Gate-Strukturen 230-1, 230-2, 230-3 von den MOL-Kontakten 240/245 und anderen umgebenden Strukturen durch Isoliermaterialschichten 234, 250, 260 und Luftspalt-Abstandhalter 262 elektrisch isoliert. Zu den Schichten des Isoliermaterials gehören die unteren Seitenwandabstandhalter 234, die gleichförmigen Isolierauskleidungen 250 und dielektrischen Deckschichten 260. Die unteren Seitenwandabstandhalter 234 isolieren die metallischen Gate-Strukturen 230-1, 230-2, 230-3 elektrisch von den angrenzenden Source/Drain-Bereichen 223. Die gleichförmigen Isolierauskleidungen 250 (die in ihrer Zusammensetzung und Funktion ähnlich sind wie die gleichförmigen Isolierauskleidungen 155 der BEOL-Struktur, 1A) bedecken gleichförmig die Seitenwandflächen der MOL-Gerätekontakte 240/245 und die metallischen Gate-Strukturen 230-1, 230-2, 230-3. Die gleichförmigen Isolierauskleidungen 250 sind optionale Merkmale, die gebildet werden können, um die MOL-Gerätekontakte 240/245 und die metallischen Gate-Strukturen 230-1, 230-2, 230-3 vor möglichen strukturellen Schäden oder Verunreinigungen zu schützen, die durch nachfolgende Bearbeitungsschritte und Umgebungsbedingungen entstehen können.
  • Gemäß Ausführungsformen der Erfindung werden die dielektrischen Deckschichten 260 durch Abscheiden eines dielektrischen Materials mit niedrigem k-Wert unter Verwendung eines abschnürenden Abscheideverfahrens gebildet, um die oberen Bereiche der metallischen Gate-Strukturen 230-1, 230-2, 230-3 mit dielektrischem Material mit niedrigem k-Wert zu verkapseln und die Luftspalt-Abstandhalter 262 zwischen den metallischen Gate-Strukturen und den MOL-Bauteilkontakten zu bilden. Ein Prozessablauf zur Herstellung der Luftspalt-Abstandhalter 262 wird im Folgenden näher erläutert. Wie in 11 dargestellt, sind die Luftspalt-Abstandhalter 262 relativ groß und voluminös und erstrecken sich vertikal über die metallischen Gate-Strukturen 230-1, 230-2, 230-3. Aus ähnlichen Gründen wie vorstehend in Bezug auf die in 2A dargestellten BEOL-Luftspalt-Abstandhalter 158 bieten die Größe und Form der in 11 dargestellten FEOL/MOL-Luftspalt-Abstandhalter 262 eine verbesserte TDDB-Zuverlässigkeit sowie eine reduzierte kapazitive Kopplung zwischen den MOL-Gerätekontakten und metallischen Gate-Strukturen.
  • So reduzieren beispielsweise die großvolumigen Luftspalt-Abstandhalter 262 die effektive Dielektrizitätskonstante in der Aussparung zwischen den metallischen Gate-Strukturen 230-1, 230-2, 230-3 und den MOL-Bauteilkontakten 240/245. Da sich die Luftspalt-Abstandhalter 262, wie in 11 dargestellt, über die metallischen Gate-Strukturen 230-1, 230-2, 230-3 erstrecken, besteht zudem ein relativ langer Diffusions-/Leitungsweg P zwischen den kritischen Schnittstellen der metallischen Gate-Strukturen 230-1, 230-2, 230-3 (die kritischen Schnittstellen sind eine Schnittstelle zwischen den dielektrischen Deckschichten 260 und den oberen Oberflächen der metallischen Gate-Strukturen 230-1, 230-2, 230-3) und den benachbarten MOL-Bauteilkontakten 240/245. Somit dienen die Luftspalt-Abstandhalter 262 in 11 dazu, die TDDB-Zuverlässigkeit der FEOL/MOL-Halbleiterstruktur zu erhöhen.
  • 11 veranschaulicht ferner eine erste Verbindungsebene einer BEOL-Struktur, die über den FEOL/MOL-Schichten gebildet ist, wobei die erste Verbindungsebene eine ILD-Schicht 270 und eine Vielzahl von Metallleitungen 272/274 aufweist, die in der ILD-Schicht 270 in elektrischem Kontakt mit entsprechenden MOL-Bauteilkontakten 240/245 gebildet sind. Die Metallleitungen 272/274 werden durch Ätzen von Öffnungen (z.B. Gräben oder Durchkontakten) in der ILD-Schicht 270, Auskleiden der Öffnungen mit Barriere-Auskleidungsschichten 272 und das Füllen der Öffnungen mit metallischem Material 274 wie Kupfer mit bekannten Techniken gebildet.
  • Ein Prozessablauf zur Herstellung der Halbleitervorrichtung 200 aus 11 wird nun anhand der 12 bis 19, die die Halbleitervorrichtung 200 in verschiedenen Fertigungsstufen schematisch darstellen, näher erläutert. 12 ist zunächst ein schematischer Querschnitt der Halbleitervorrichtung 200 in einer Zwischenstufe der Herstellung, in der vertikale Transistorstrukturen M1, M2 und M3 auf dem Halbleitersubstrat 210/215 gebildet werden. In einer Ausführungsform weist das Substrat 210/215 ein SOI-Substrat (Silicium auf Isolator) auf, wobei das Basissubstrat 210 aus Silicium oder anderen Arten von Halbleitersubstratmaterialien wie Germanium, eine Silicium-Germanium-Legierung, Siliciumcarbid, eine Silicium-Germanium-Carbid-Legierung oder Verbindungshalbleitermaterialien (z.B. III-V und II-VI) gebildet ist, die häufig in Halbleiter-Massenherstellungsprozessen verwendet werden. Nicht einschränkende Beispiele für Verbindungshalbleitermaterialien sind Galliumarsenid, Indiumarsenid und Indiumphosphid. Die Isolationsschicht 215 (z.B. Oxidschicht) ist zwischen dem Basishalbleitersubstrat 210 und einer aktiven Halbleiterschicht (z.B. einer aktiven Siliciumschicht) angeordnet, wobei die aktive Halbleiterschicht nach bekannten Verfahren zur Herstellung der Halbleiterrippenstruktur 220 strukturiert ist. Darüber hinaus können die epitaktischen Source/Drain-Bereiche 225 epitaktisch auf freiliegende Abschnitte der HalbleiterRippenstruktur 220 mit bekannten Methoden aufgewachsen werden.
  • Wie weiter in 12 dargestellt, sind die metallischen Gate-Strukturen 230-1, 230-2 und 230-3 in isolierende / dielektrische Materialstrukturen einschließlich isolierender Deckschichten 232 und Seitenwand-Abstandhalter 234 gekapselt. Die Deckschichten 232 und die Seitenwand-Abstandhalter 234 werden mit bekannten Techniken und Isoliermaterialien (z.B. SiN) hergestellt. Die metallischen Gate-Strukturen 230-1, 230-2 und 230-3 können beispielsweise durch ein RMG-Verfahren (Ersatz-Metall-Gate, replacement metal gate) gebildet werden, bei dem zunächst Dummy-Gate-Strukturen gebildet und dann nach Bildung der epitaktischen Source/Drain-Bereiche 225, jedoch vor Bildung der MOL-Bauteilkontakte, durch die metallischen Gate-Strukturen 230-1, 230-2, 230-3 ersetzt werden. In der Ausführungsform von 12 wird davon ausgegangen, dass ein RMG-Prozess abgeschlossen wurde, der zur Bildung der metallischen Gate-Strukturen 230-1, 230-2, 230-3 geführt hat, und dass eine PMD-Schicht 236 (pre-metal dielectric, Vormetall-Dielektrikum) abgeschieden und planarisiert wurde, was zu der in 12 dargestellten Struktur führt.
  • Die PMD-Schicht 236 wird gebildet, indem eine Schicht aus dielektrischem Material auf die Oberfläche der Halbleitervorrichtung aufgebracht wird und anschließend das dielektrische Material bis hinab zur Oberseite der Deckschichten 232 planarisiert wird. Die PMD-Schicht 236 kann mit allen geeigneten isolierenden / dielektrischen Materialien wie beispielsweise Siliciumoxid, Siliciumnitrid, hydriertem Siliciumkohlenstoffoxid, siliciumbasierten Low-k-Dielektrika, porösen Dielektrika oder organischen Dielektrika einschließlich poröser organischer Dielektrika usw. gebildet werden. Die PMD-Schicht 236 kann mit bekannten Abscheidetechniken wie z.B. ALD, CVD, PECVD, Rotationsbeschichtung oder PVD gebildet werden, gefolgt von einem Standard-Planarisierungsprozess (z.B. CMP).
  • Ein weiteres Prozessmodul beinhaltet ein Bilden der MOL-Bauteilkontakte unter Verwendung eines Prozessablaufs, wie in den 13, 14 und 15 schematisch dargestellt. Insbesondere ist 13 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 12 nach dem Strukturieren der PMD-Schicht 236, um Kontaktöffnungen 236-1 zwischen den Gate-Strukturen 230-1, 230-2, 230-3 der vertikalen Transistorstrukturen M1, M2, M3 bis hinunter zu den Source / Drain-Bereichen 225 zu bilden. Die Kontaktöffnungen 236-1 können mit bekannten Ätztechniken und Ätzchemikalien gebildet werden, um das Material der PMD-Schicht 236 selektiv bis zum Isoliermaterial der Deckschichten 232 und der Seitenwandabstandhalter 234 zu ätzen.
  • Als nächstes ist 14 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 13 nach dem Abscheiden einer gleichförmigen Auskleidungsschicht 240A über der Oberfläche der Halbleitervorrichtung. Die gleichförmige Auskleidungsschicht 240A kann ein Material wie TaN usw. aufweisen, das als Barrierediffusionsschicht und / oder Haftschicht für das metallische Material dient, das zum Füllen der Öffnungen 236-1 und zum Bilden der MOL-Gerätekontakte verwendet wird. Als nächstes ist 15 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 14, nachdem eine Schicht aus metallischem Material abgeschieden wurde, um die Kontaktöffnungen 236-1 zwischen den metallischen Gate-Strukturen 230-1, 230-2, 230-3 mit leitfähigem Material 245 zu füllen und die Oberfläche der Halbleitervorrichtung bis zu den Gate-Deckschichten 232 zu planarisieren, um die Deckschicht und die leitenden Materialien zu entfernen und dadurch die MOL-Kontakte 240/245 zu bilden. Das leitfähige Material 245 kann Kupfer, Wolfram, Kobalt, Aluminium oder andere leitfähige Materialien umfassen, die für die Verwendung beim Bilden von vertikalen MOL-Bauteilkontakten zu den Source / Drain-Bereichen und Gate-Elektroden geeignet sind.
  • Obwohl in 15 nicht ausdrücklich dargestellt, können MOL-Gate-Kontakte in Öffnungen gebildet werden, die durch die PMD-Schicht 236 und die Deckschichten 232 bis hinab zu einer Oberseite der metallischen Gate-Strukturen 230-1, 230-2 und 230-3 gebildet werden. Es ist zu verstehen, dass sich die metallischen Gate-Strukturen 230-1, 230-2, 230-3 in Y-Y-Richtung (in die Zeichnungsebene hinein und aus der Zeichnungsebene heraus, basierend auf dem in 11 dargestellten kartesischen Koordinatensystem) erstrecken und somit die MOL-Gate-Kontakte in der PMD-Schicht 236 in Ausrichtung an den verlängerten Endabschnitten der metallischen Gate-Strukturen 230-1, 230-2, 230-3 gebildet werden können, wie es von einer Fachperson mit üblichen technischen Fertigkeiten verstanden wird.
  • Nach der Bildung der MOL-Bauteilkontakte beinhaltet ein weiteres Prozessmodul ein Bilden von Luftspalt-Abstandhaltern zwischen den metallischen Gate-Strukturen und den MOL-Bauteilkontakten unter Verwendung eines Prozessablaufs, wie in den 16-19 schematisch dargestellt. Ein erster Schritt in diesem Prozess beinhaltet ein Ätzen der Gate-Abdeckschichten 232 und der Seitenwand-Abstandhalter 234. Insbesondere ist 16 ein seitlicher Querschnitt der Halbleitervorrichtung von 15 nach dem Wegätzen der Gate-Deckschichten 232 und dem Vertiefen der Seitenwand-Abstandhalter 234 bis hinab zu einer Oberseite der HalbleiterRippenstruktur 220, wodurch enge Aussparungen S zwischen den Seitenwänden der metallischen Gate-Strukturen 230-1, 230-2, 230-3 und benachbarten MOL-Bauteilkontakten 240/245 gebildet werden. Während die beispielhafte Ausführungsform von 16 zeigt, dass die Gate-Deckschichten 232 vollständig weggeätzt sind, kann in einer alternativen Ausführungsform der Ätzprozess so implementiert werden, dass eine dünne Schicht der geätzten Gate-Deckschichten 232 auf den oberen Oberflächen der metallischen Gate-Konstruktionen 230-1, 230-2, 230-3 verbleibt.
  • Als nächstes ist 17 ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 16 nach dem Abscheiden einer gleichförmigen Schicht aus Isoliermaterial 250A, um eine Isolierung auf den freiliegenden Oberflächen der metallischen Gate-Strukturen 230-1, 230-2, 230-3 und der MOL-Bauteilkontakte 240/245 zu bilden. Die gleichförmige isolierende Auskleidungsschicht 250A ist eine optionale Schutzfunktion, die vor dem abschnürenden Abscheideprozess gebildet werden kann, um die freiliegenden Oberflächen der metallischen Gate-Strukturen 230-1, 230-2, 230-3 und der MOL-Bauteilkontakte 240/245 aus den gleichen oder ähnlichen Gründen wie oben beschrieben zusätzlich zu schützen.
  • Weiterhin kann die gleichförmige isolierende Auskleidungsschicht 250A aus einer oder mehreren robusten ultradünnen Schichten aus dielektrischem Material gebildet werden, die die gewünschten elektrischen und mechanischen Eigenschaften wie einen geringen Leckstrom, einen hohen elektrischen Durchschlag, Hydrophobie usw. aufweisen und die Schäden durch nachfolgende Halbleiterbearbeitungsschritte geringe halten können. So kann beispielsweise die gleichförmige isolierende Auskleidungsschicht 250A aus einem dielektrischen Material wie SiN, SiCN, SiNO, SiCNO, SiC oder anderen dielektrischen Materialien mit den gewünschten elektrischen / mechanischen Eigenschaften gebildet werden, wie vorstehend erwähnt. In einer Ausführungsform, wenn der Abstand S (16) in einem Bereich von etwa 4 nm bis etwa 15 nm liegt, wird die gleichförmige isolierende Auskleidungsschicht 250A mit einer Dicke in einem Bereich von etwa 1,0 nm bis etwa 2 nm gebildet, wodurch der Abstand S um etwa 2 nm auf etwa 4 nm reduziert wird, und zwar durch die Auskleidungsschicht 250A an den Seitenwänden der benachbarten Strukturen.
  • Ähnlich wie bei den vorstehend erläuterten BEOL-Ausführungsformen kann die gleichförmige isolierende Auskleidungsschicht 250A aus mehreren gleichförmigen Schichten aus gleichen oder unterschiedlichen dielektrischen Materialien gebildet werden, die mittels eines zyklischen Abscheideprozesses abgeschieden werden. So kann beispielsweise in einer Ausführungsform die gleichförmige isolierende Auskleidungsschicht 250A aus mehreren dünnen gleichförmigen SiN-Schichten gebildet werden, die nacheinander abgeschieden werden, um eine SiN-Auskleidungsschicht mit einer gewünschten Gesamtdicke zu bilden (z.B. unter Verwendung eines Plasma-CVD- oder CVD-Verfahrens mit Silan und NH3 zur zyklischen Abscheidung von 0,1 nm - 0,2 nm dicken SiN-Schichten).
  • Ein nächster Schritt im Herstellungsprozess umfasst ein Abscheiden von dielektrischem Material über der Halbleiterstruktur von 17 unter Verwendung eines abschnürenden Abscheideprozesses, um Luftspalt-Abstandhalter zwischen den metallischen Gate-Strukturen und den Kontakten der MOL-Vorrichtung zu bilden. 18 ist beispielsweise ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 17 nach dem Abscheiden einer Schicht aus dielektrischem Material 260A unter Verwendung eines nicht-gleichförmigen Abscheideprozesses, um Abschnürungsbereiche zu erzeugen, die die Luftspalt-Abstandhalter 262 in den engen Räumen zwischen den metallischen Gate-Strukturen 230-1, 230-2, 230-3 und benachbarten MOL-Bauteilkontakten 240/245 bilden. Wie vorstehend erläutert, können gemäß den Ausführungsformen der Erfindung die strukturellen Eigenschaften (z.B. Größe, Form, Volumen usw.) der Luftspalt-Abstandhalter 262, die durch abschnürende Abscheidung gebildet werden, gesteuert werden, beispielsweise basierend auf (i) der Art der einen oder mehreren dielektrischen Materialien, die zur Bildung der dielektrischen Schicht 260A verwendet werden, und / oder (ii) dem Abscheidungsprozess und den zugehörigen Abscheidungsparametern (z.B. Gasdurchsatz, HF-Leistung, Druck, Abscheiderate usw.), die zur Durchführung der abschnürenden Abscheidung verwendet werden.
  • So wird beispielsweise in einer Ausführungsform der Erfindung die Schicht aus dielektrischem Material 260A durch PECVD-Abscheidung eines dielektrischen Materials mit niedrigem k-Wert (z.B. k in einem Bereich von etwa 2,0 bis etwa 5,0) gebildet. Dieses dielektrische Material mit niedrigem k-Wert beinhaltet ohne Einschränkung SiCOH, poröses p-SiCOH, SiCN, SiNO, kohlenstoffreiches SiCNH, p-SiCNH, SiN, SiC, SiC, usw. Ein SiCOH-Dielektrikum weist eine Dielektrizitätskonstante k=2,7 auf, und ein poröses SiCOH-Material weist eine Dielektrizitätskonstante von etwa 2,3-2,4 auf. In einer beispielhaften Ausführungsform der Erfindung wird ein abschnürender Abscheideprozess durch Abscheiden eines dielektrischen SiN-Films über einen Plasma-CVD-Abscheideprozess unter Verwendung eines industriellen 300-mm-Parallelplatten-Einzelwafer-CVD-Reaktors mit folgenden Abscheidungsparametern realisiert: Gas [Silan (100 - 500 sccm) und Ammoniak (200 - 1000 sccm)]; HF-Leistung [200 - 600 Watt]; Druck [1-8 Torr]; und Abscheidungsraten [0,5 - 8 nm/sec].
  • 19 ist ein schematischer seitlicher Querschnitt der Halbleitervorrichtung von 18, nachdem die Oberfläche der Halbleitervorrichtung bis hinab zu den MOL-Bauteilkontakten planarisiert und eine ILD-Schicht 270 als Teil einer ersten Verbindungsebene einer BEOL-Struktur abgeschieden wurde. Die Halbleiterstruktur von 18 kann mit einem Standard-CMP-Prozess planarisiert werden, wobei der CMP-Prozess durchgeführt wird, um das dielektrische Abraummaterial 260A und Teile der isolierenden Auskleidungsschicht 250A, die auf den Kontakten der MOL-Vorrichtung angeordnet sind, zu entfernen, was zu der in 19 dargestellten Struktur führt. Wie in 19 dargestellt, bilden die verbleibenden Abschnitte des abschnürend abgeschiedenen dielektrischen Materials 260A separate dielektrische Abdeckungsstrukturen 260 über den metallischen Gate-Strukturen 230-1, 230-2, 230-3 und separaten Isolierauskleidungen 250. Obwohl nicht ausdrücklich in den 11 und 19 dargestellt, kann vor der Bildung der ILD-Schicht 270 eine zusätzliche Deckschicht auf der planarisierten FEOL/MOL-Oberfläche gebildet werden, um das leitende Material 245 der MOL-Bauteilkontakte vom dielektrischen Material der ILD-Schicht 270 zu isolieren.
  • Experimentelle Teststrukturen wurden auf der Grundlage der in 11 schematisch dargestellten Halbleiterstruktur hergestellt, wobei die gleichförmigen Isolierauskleidungen 250 mit zyklischen SiN-Schichten mit Dicken von 1 nm, 1,5 nm, 2 nm und 3 nm gebildet wurden und wobei die abschnürende Abscheidung mit PECVD-SiCN-Füllungen und PECVD-ULK-Schichten mit k=2,7 und 2,4 durchgeführt wurde. Die experimentellen Ergebnisse zeigten, dass großvolumige Luftspalt-Abstandhalter (Luftspalt-Abstandhalter 262, schematisch dargestellt in 11) erhalten werden können, die sich oberhalb der metallischen Gate-Strukturen erstrecken. Darüber hinaus haben experimentelle Ergebnisse gezeigt, dass Größe, Form, Volumen usw. von Luftspalt-Abstandhaltern für verschiedene Anwendungen optimiert werden können, indem die Prozessparameter der Abscheidung oder die für die abschnürende Abscheidung verwendeten Materialien variiert werden.
  • Es ist zu verstehen, dass die hierin beschriebenen Verfahren zur Herstellung von Luftspalt-Abstandhaltern in FEOL/MOL- oder BEOL-Schichten in Halbleiter-Bearbeitungsabläufe zur Herstellung von Halbleitervorrichtungen und integrierten Schaltungen mit verschiedenen analogen und digitalen Schaltungen oder Mischsignalschaltungen integriert werden können. Insbesondere können Chips mit integrierten Schaltkreisen mit verschiedenen Vorrichtungen wie Feldeffekttransistoren, bipolaren Transistoren, Metalloxid-Halbleitertransistoren, Dioden, Kondensatoren, Induktivitäten usw. hergestellt werden. Eine integrierte Schaltung gemäß der vorliegenden Erfindung kann in Anwendungen, Hardware und / oder elektronischen Systemen eingesetzt werden. Geeignete Hardware und Systeme zur Durchführung der Erfindung können unter anderem PCs, Kommunikationsnetze, E-Commerce-Systeme, tragbare Kommunikationsgeräte (z.B. Mobiltelefone), Festkörperspeichergeräte, Funktionsschaltungen usw. umfassen. Systeme und Hardware, die solche integrierten Schaltungen aufweisen, gelten als Teil der hierin beschriebenen Ausführungsformen. Angesichts der Lehren der hierin enthaltenen Erfindung wird eine Fachperson mit üblichen technischen Fertigkeiten in der Lage sein, andere Implementierungen und Anwendungen der Techniken der Erfindung zu betrachten.
  • Obwohl hierin beispielhafte Ausführungsformen unter Bezugnahme auf die beiliegenden Zeichnungen beschrieben wurden, ist zu verstehen, dass sich die Erfindung nicht auf diese genauen Ausführungsformen beschränkt und dass verschiedene andere Änderungen und Modifikationen von einem Fachmann vorgenommen werden können, ohne vom Umfang der beigefügten Ansprüche abzuweichen.

Claims (20)

  1. Verfahren, aufweisend: Bilden einer ersten Metallstruktur und einer zweiten Metallstruktur auf einem Substrat, wobei die erste und zweite Metallstruktur benachbart zueinander angeordnet sind, mit Isoliermaterial, das zwischen der ersten und zweiten Metallstruktur angeordnet ist; Ätzen des Isoliermaterials, um eine Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden; und Abscheiden einer Schicht aus dielektrischem Material über der ersten und zweiten Metallstruktur, um einen Luftspalt in der Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden; wobei sich ein Teilbereich des Luftspalts über eine Oberseite von mindestens einer der ersten Metallstruktur und der zweiten Metallstruktur hinaus erstreckt.
  2. Verfahren nach Anspruch 1, wobei die erste Metallstruktur eine erste Metallleitung aufweist, die in einer dielektrischen Zwischenschicht (ILD-Schicht) einer BEOL- (back-end-of-line-) Verbindungsstruktur ausgebildet ist, und wobei die zweite Metallstruktur eine zweite Metallleitung aufweist, die in der ILD-Schicht der BEOL-Verbindungsstruktur ausgebildet ist.
  3. Verfahren nach Anspruch 2, wobei sich der Teilbereich des Luftspalts über die erste Metallleitung hinaus und über die zweite Metallleitung hinaus erstreckt.
  4. Verfahren nach Anspruch 1, wobei die erste Metallstruktur einen Bauteilkontakt aufweist, und wobei die zweite Metallstruktur eine Gate-Struktur eines Transistors aufweist.
  5. Verfahren nach Anspruch 4, wobei der Bauteilkontakt höher als die Gate-Struktur ist, und wobei sich der Teilbereich des Luftspalts über die Gate-Struktur hinaus sowie unterhalb einer Oberseite des Bauteilkontakts erstreckt.
  6. Verfahren nach Anspruch 1, wobei das Abscheiden einer Schicht aus dielektrischem Material über der ersten und zweiten Metallstruktur aufweist: Abscheiden einer nicht-gleichförmigen Schicht aus dielektrischem Material, um eine dielektrische Deckschicht mit einem Abschnürungsbereich zu bilden, der bündig mit der Aussparung zwischen der ersten und zweiten Metallstruktur angeordnet ist; wobei der Abschnürungsbereich in der dielektrischen Deckschicht oberhalb der Oberseite der mindestens einen ersten Metallstruktur und zweiten Metallstruktur ausgebildet ist.
  7. Verfahren nach Anspruch 6, wobei das Abscheiden der nicht-gleichförmigen Schicht aus dielektrischem Material ein Einstellen von Abscheidungsparametern eines plasmaverstärkten chemischen Gasphasenabscheidungsverfahrens aufweist, um einen Gleichförmigkeitsgrad von etwa 40% oder weniger zu erhalten.
  8. Verfahren nach Anspruch 1, wobei das dielektrische Material ein Low-k-Dielektrikum mit einer Dielektrizitätskonstante von etwa 5,0 oder weniger aufweist.
  9. Verfahren nach Anspruch 1, wobei das dielektrische Material mindestens eines von SiCOH, porösem p-SiCOH, SiCN, SiNO, kohlenstoffreichem SiCNH, SiC, p-SiCNH und SiN aufweist.
  10. Verfahren nach Anspruch 1, ferner aufweisend ein Bilden einer gleichförmigen Auskleidungsschicht innerhalb der Aussparung zwischen der ersten und zweiten Metallstruktur, bevor das dielektrische Material über der ersten und zweiten Metallstruktur abgeschieden wird, um den Luftspalt in der Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden.
  11. Halbleitervorrichtung, aufweisend: eine erste Metallstruktur und eine zweite Metallstruktur, die benachbart zueinander auf einem Substrat mit einem Zwischenraum zwischen der ersten und zweiten Metallstruktur angeordnet sind; und eine dielektrische Deckschicht, die über der ersten und zweiten Metallstruktur ausgebildet ist, um einen Luftspalt in der Aussparung zwischen der ersten und zweiten Metallstruktur zu bilden; wobei sich ein Teilbereich des Luftspalts über eine Oberseite von mindestens einer der ersten Metallstruktur und der zweiten Metallstruktur hinaus erstreckt.
  12. Vorrichtung nach Anspruch 11, wobei die erste Metallstruktur eine erste Metallleitung aufweist, die in einer dielektrischen Zwischenschicht (ILD-Schicht) einer BEOL- (back-end-of-line-) Verbindungsstruktur ausgebildet ist, und wobei die zweite Metallstruktur eine zweite Metallleitung aufweist, die in der ILD-Schicht der BEOL-Verbindungsstruktur ausgebildet ist.
  13. Vorrichtung nach Anspruch 12, wobei sich der Teilbereich des Luftspalts über die erste Metallleitung hinaus und über die zweite Metallleitung hinaus erstreckt.
  14. Vorrichtung nach Anspruch 11, wobei die erste Metallstruktur einen Bauteilkontakt aufweist, und wobei die zweite Metallstruktur eine Gate-Struktur eines Transistors aufweist.
  15. Vorrichtung nach Anspruch 14, wobei der Bauteilkontakt höher als die Gate-Struktur ist, und wobei sich der Teilbereich des Luftspalts über die Gate-Struktur hinaus sowie unterhalb einer Oberseite des Bauteilkontakts erstreckt.
  16. Vorrichtung nach Anspruch 11, wobei die dielektrische Deckschicht eine nicht-gleichförmige Schicht aus dielektrischem Material aufweist, die einen Abschnürungsbereich aufweist, der bündig mit der Aussparung zwischen der ersten und zweiten Metallstruktur angeordnet ist; wobei der Abschnürungsbereich in der dielektrischen Deckschicht oberhalb der Oberseite der mindestens einen ersten Metallstruktur und zweiten Metallstruktur angeordnet ist.
  17. Vorrichtung nach Anspruch 16, wobei ein Gleichförmigkeitsgrad der nicht-gleichförmigen Schicht aus dielektrischem Material etwa 40% oder weniger beträgt.
  18. Vorrichtung nach Anspruch 11, wobei die dielektrische Deckschicht ein Low-k-Dielektrikum mit einer Dielektrizitätskonstante von etwa 5,0 oder weniger aufweist.
  19. Vorrichtung nach Anspruch 11, wobei die dielektrische Deckschicht mindestens eines von SiCOH, porösem p-SiCOH, SiCN, SiNO, kohlenstoffreichem SiCNH, SiC, p-SiCNH und SiN aufweist.
  20. Vorrichtung nach Anspruch 11, ferner aufweisend eine gleichförmige Auskleidungsschicht, die auf Oberflächen in der Aussparung zwischen der ersten und zweiten Metallstruktur ausgebildet ist.
DE112017003172.9T 2016-08-09 2017-07-21 Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente und Halbleitervorrichtung Active DE112017003172B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/232,341 US9892961B1 (en) 2016-08-09 2016-08-09 Air gap spacer formation for nano-scale semiconductor devices
US15/232,341 2016-08-09
PCT/IB2017/054419 WO2018029556A1 (en) 2016-08-09 2017-07-21 Air gap spacer formation for nano-scale semiconductor devices

Publications (2)

Publication Number Publication Date
DE112017003172T5 true DE112017003172T5 (de) 2019-03-28
DE112017003172B4 DE112017003172B4 (de) 2024-05-29

Family

ID=61147503

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017003172.9T Active DE112017003172B4 (de) 2016-08-09 2017-07-21 Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente und Halbleitervorrichtung

Country Status (6)

Country Link
US (5) US9892961B1 (de)
JP (2) JP7102389B2 (de)
CN (5) CN117558708A (de)
DE (1) DE112017003172B4 (de)
GB (1) GB2567363B (de)
WO (1) WO2018029556A1 (de)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US9608065B1 (en) 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10319627B2 (en) * 2016-12-13 2019-06-11 Globalfoundries Inc. Air-gap spacers for field-effect transistors
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US9941211B1 (en) * 2017-03-24 2018-04-10 International Business Machines Corporation Reducing metallic interconnect resistivity through application of mechanical strain
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10670641B2 (en) * 2017-08-22 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor test device and manufacturing method thereof
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10236358B1 (en) * 2017-10-16 2019-03-19 Globalfoundries Inc. Integration of gate structures and spacers with air gaps
US10600684B2 (en) 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
JP7158415B2 (ja) 2018-01-11 2022-10-21 ソニーセミコンダクタソリューションズ株式会社 半導体装置およびその製造方法、並びに電子機器
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US10388770B1 (en) * 2018-03-19 2019-08-20 Globalfoundries Inc. Gate and source/drain contact structures positioned above an active region of a transistor device
US11404536B2 (en) * 2018-03-30 2022-08-02 Intel Corporation Thin-film transistor structures with gas spacer
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10490447B1 (en) 2018-05-25 2019-11-26 International Business Machines Corporation Airgap formation in BEOL interconnect structure using sidewall image transfer
US10347643B1 (en) 2018-06-07 2019-07-09 Micron Technology, Inc. Methods of forming integrated assemblies having dielectric regions along conductive structures
CN108550564B (zh) * 2018-06-12 2024-06-07 长江存储科技有限责任公司 形成导电互连结构的方法、导电互连结构以及三维存储器
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10665499B2 (en) * 2018-06-28 2020-05-26 Intel Corporation Integrated circuit with airgaps to control capacitance
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US10483375B1 (en) * 2018-07-17 2019-11-19 International Business Machines Coporation Fin cut etch process for vertical transistor devices
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US10573753B1 (en) * 2018-09-10 2020-02-25 Globalfoundries Inc. Oxide spacer in a contact over active gate finFET and method of production thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US20200194301A1 (en) * 2018-12-12 2020-06-18 United Microelectronics Corp. Metal interconnection and forming method thereof
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US10923388B2 (en) * 2019-01-18 2021-02-16 Globalfoundries U.S. Inc. Gap fill void and connection structures
US10910273B2 (en) * 2019-02-25 2021-02-02 International Business Machines Corporation Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
CN111696867B (zh) * 2019-03-15 2023-09-19 中芯国际集成电路制造(上海)有限公司 半导体结构及形成方法
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
CN110148583B (zh) * 2019-05-14 2021-06-18 上海华虹宏力半导体制造有限公司 形成金属互连结构的方法
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11152486B2 (en) * 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11094794B2 (en) * 2019-09-27 2021-08-17 Globalfoundries U.S. Inc. Air spacer structures
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112750753B (zh) * 2019-10-29 2022-06-03 长鑫存储技术有限公司 半导体器件及其制作方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11133248B2 (en) * 2019-11-11 2021-09-28 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor structure and method for fabricating the same
US11127678B2 (en) 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
CN113013100A (zh) * 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 用于形成半导体器件的方法
US11901220B2 (en) * 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US10991651B1 (en) * 2020-03-03 2021-04-27 Nanya Technology Corporation Interconnection structure having reduced capacitance and method of manufacturing the same
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
CN113497144A (zh) * 2020-04-01 2021-10-12 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US11621188B2 (en) * 2020-04-13 2023-04-04 Nanya Technology Corporation Method for fabricating a semiconductor device with air gaps
US11335638B2 (en) * 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11264390B2 (en) * 2020-04-16 2022-03-01 Nanya Technology Corporation Semiconductor memory device with air gaps between conductive features and method for preparing the same
US11355435B2 (en) 2020-04-24 2022-06-07 Nanya Technology Corporation Semiconductor device with air gaps
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
DE102020133440B4 (de) * 2020-05-29 2024-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dielektrische Finnen mit Luftspalt und selbstjustiertem Rückseitenkontakt und zugehörige Herstellungsverfahren
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
CN113948401A (zh) * 2020-07-16 2022-01-18 长鑫存储技术有限公司 半导体结构及其形成方法
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11978661B2 (en) 2020-12-11 2024-05-07 Globalfoundries U.S. Inc. Ultralow-K dielectric-gap wrapped contacts and method
CN113161295B (zh) * 2021-04-07 2022-04-12 长鑫存储技术有限公司 半导体器件及其制备方法
CN113611655A (zh) * 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法
US20230016154A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with interconnect structure having air gap
US11876117B2 (en) * 2021-10-18 2024-01-16 International Business Machines Corporation Field effect transistor with reduced parasitic capacitance and resistance

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL9101692A (nl) 1991-10-08 1993-05-03 Arnold Cornelis Maria Van Lind Kussenachtig orgaan voor buikoperaties.
US5924006A (en) * 1994-11-28 1999-07-13 United Microelectronics Corp. Trench surrounded metal pattern
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5736446A (en) 1997-05-21 1998-04-07 Powerchip Semiconductor Corp. Method of fabricating a MOS device having a gate-side air-gap structure
TW393693B (en) 1997-07-26 2000-06-11 United Microelectronics Corp MOS device with air-gap spacers and its manufacturing method
US6346484B1 (en) 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
JP2002231941A (ja) 2001-02-06 2002-08-16 Hitachi Ltd 半導体集積回路装置
JP2002329861A (ja) 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP2005136152A (ja) * 2003-10-30 2005-05-26 Renesas Technology Corp 半導体装置の製造方法
US7122462B2 (en) 2003-11-21 2006-10-17 International Business Machines Corporation Back end interconnect with a shaped interface
JP2006135069A (ja) * 2004-11-05 2006-05-25 Elpida Memory Inc 半導体装置およびその製造方法
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7442597B2 (en) * 2005-02-02 2008-10-28 Texas Instruments Incorporated Systems and methods that selectively modify liner induced stress
JP4956919B2 (ja) 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
US7485540B2 (en) 2005-08-18 2009-02-03 International Business Machines Corporation Integrated BEOL thin film resistor
US7348280B2 (en) 2005-11-03 2008-03-25 International Business Machines Corporation Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions
JP2007200972A (ja) * 2006-01-24 2007-08-09 Nec Electronics Corp 半導体装置およびその製造方法
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
US7534696B2 (en) 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080265377A1 (en) * 2007-04-30 2008-10-30 International Business Machines Corporation Air gap with selective pinchoff using an anti-nucleation layer
US20090075470A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US7879683B2 (en) 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP2009123743A (ja) * 2007-11-12 2009-06-04 Panasonic Corp 半導体装置の製造方法
JP2009188250A (ja) * 2008-02-07 2009-08-20 Panasonic Corp 半導体装置及びその製造方法
US7943480B2 (en) 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure
KR101564052B1 (ko) 2009-05-11 2015-10-28 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US7790601B1 (en) 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
US7939446B1 (en) * 2009-11-11 2011-05-10 International Business Machines Corporation Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8232618B2 (en) * 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
US8492270B2 (en) * 2010-09-20 2013-07-23 International Business Machines Corporation Structure for nano-scale metallization and method for fabricating same
KR101164972B1 (ko) 2010-12-31 2012-07-12 에스케이하이닉스 주식회사 에어갭 스페이서를 구비한 반도체장치 및 그 제조 방법
JP5665557B2 (ja) * 2011-01-14 2015-02-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8735279B2 (en) 2011-01-25 2014-05-27 International Business Machines Corporation Air-dielectric for subtractive etch line and via metallization
JP5734757B2 (ja) * 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
WO2013040751A1 (en) * 2011-09-20 2013-03-28 Acm Research (Shanghai) Inc. Method for forming air gap interconnect structure
US8604618B2 (en) 2011-09-22 2013-12-10 International Business Machines Corporation Structure and method for reducing vertical crack propagation
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
KR101690392B1 (ko) * 2011-12-20 2016-12-27 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20130333923A1 (en) * 2012-06-13 2013-12-19 International Business Machines Corporation MODULATED COMPOSITIONAL AND STRESS CONTROLLED MULTILAYER ULTRATHIN CONFORMAL SiNx DIELECTRICS USED IN NANO DEVICE FABRICATION
KR101921465B1 (ko) * 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
CN103715134B (zh) * 2012-09-29 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102001511B1 (ko) 2012-12-26 2019-07-19 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9231106B2 (en) * 2013-03-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with an asymmetric source/drain structure and method of making same
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US10269634B2 (en) * 2013-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having voids and method of forming same
KR102092863B1 (ko) * 2013-12-30 2020-03-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9871121B2 (en) 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9449811B2 (en) 2014-03-12 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap scheme for BEOL process
KR102414130B1 (ko) * 2014-04-01 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 집적된 금속 스페이서 및 에어 갭 인터커넥트
JP6249888B2 (ja) 2014-06-19 2017-12-20 ルネサスエレクトロニクス株式会社 半導体装置
US9991200B2 (en) * 2014-09-25 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
US9748311B2 (en) * 2014-11-07 2017-08-29 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9312383B1 (en) * 2015-08-12 2016-04-12 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
US10483160B2 (en) * 2015-09-23 2019-11-19 Intel Corporation Ultra thin helmet dielectric layer for maskless air gap and replacement ILD processes
US20170365504A1 (en) * 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US10032711B2 (en) * 2016-07-25 2018-07-24 International Business Machines Corporation Integrating metal-insulator-metal capacitors with air gap process flow
US9831346B1 (en) * 2016-07-27 2017-11-28 GlobalFoundries, Inc. FinFETs with air-gap spacers and methods for forming the same
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices

Also Published As

Publication number Publication date
US20180261494A1 (en) 2018-09-13
CN109478534B (zh) 2023-10-27
US20240079266A1 (en) 2024-03-07
JP2022140451A (ja) 2022-09-26
GB2567363B (en) 2019-08-28
CN117410270A (zh) 2024-01-16
US9892961B1 (en) 2018-02-13
CN117558708A (zh) 2024-02-13
DE112017003172B4 (de) 2024-05-29
GB2567363A (en) 2019-04-10
GB201901614D0 (en) 2019-03-27
US20180047615A1 (en) 2018-02-15
US10418277B2 (en) 2019-09-17
US20180047617A1 (en) 2018-02-15
JP7102389B2 (ja) 2022-07-19
CN109478534A (zh) 2019-03-15
US11658062B2 (en) 2023-05-23
CN117423678A (zh) 2024-01-19
US20190267279A1 (en) 2019-08-29
WO2018029556A1 (en) 2018-02-15
US10115629B2 (en) 2018-10-30
JP2019527933A (ja) 2019-10-03
CN117457623A (zh) 2024-01-26

Similar Documents

Publication Publication Date Title
DE112017003172B4 (de) Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente und Halbleitervorrichtung
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102014109562B4 (de) Verfahren zum Ausbilden einer Halbleitervorrichtung und Verfahren zum Ausbilden einer Kontaktstruktur
DE112011100788B4 (de) Elektrisches Bauelement, insbesondere CMOS-Bauelement, und Verfahren zum Herstellen eines Halbleiterbauelements
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE112018000914B4 (de) Halbleitereinheiten und verfahren zu deren herstellung
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020111378B4 (de) Reduzierte rc-verzögerung in halbleitervorrichtungen
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
DE102020129523A1 (de) Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen
DE102007030058B3 (de) Technik zur Herstellung eines dielektrischen Zwischenschichtmaterials mit erhöhter Zuverlässigkeit über einer Struktur, die dichtliegende Leitungen aufweist
DE102013104368A1 (de) Verfahren für die Ausbildung einer Verbindungsstruktur
DE102020127451B4 (de) Verfahren zur Bildung einer rückseitigen Langkanalstromschienenvorrichtung und zugehörige Halbleitervorrichtung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE102005052053B4 (de) Verfahren zur Herstellung einer Ätzstoppschicht für eine Metallisierungsschicht mit verbesserter Ätzselektivität und besserem Einschlussverhalten
DE102021110539A1 (de) Selfausrichtendes metall-gate für eine multi-gate-vorrichtung und verfahren zu dessen herstellung
US20230077888A1 (en) Semiconductor device and method for manufacturing the same
DE102021129111A1 (de) Transistor mit Luftspalt unter Source/Drain-Bereich in Bulk-Halbleitersubstrat

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: TESSERA, INC., SAN JOSE, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: TESSERA, INC., SAN JOSE, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, NY, US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 112017008456

Country of ref document: DE