WO2013040751A1 - Method for forming air gap interconnect structure - Google Patents

Method for forming air gap interconnect structure Download PDF

Info

Publication number
WO2013040751A1
WO2013040751A1 PCT/CN2011/079859 CN2011079859W WO2013040751A1 WO 2013040751 A1 WO2013040751 A1 WO 2013040751A1 CN 2011079859 W CN2011079859 W CN 2011079859W WO 2013040751 A1 WO2013040751 A1 WO 2013040751A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
dielectric layer
dielectric
copper
air gap
Prior art date
Application number
PCT/CN2011/079859
Other languages
French (fr)
Inventor
Hui Wang
Jian Wang
Yinuo JIN
Zhaowei Jia
Original Assignee
Acm Research (Shanghai) Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Acm Research (Shanghai) Inc. filed Critical Acm Research (Shanghai) Inc.
Priority to PCT/CN2011/079859 priority Critical patent/WO2013040751A1/en
Priority to KR1020147010406A priority patent/KR101842903B1/en
Publication of WO2013040751A1 publication Critical patent/WO2013040751A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • the present invention relates to semiconductor manufacture. More specifically, the present invention relates to improvements to an air gap interconnect structure for applications in 65nm or smaller technology.
  • VLSI and ULSI typically have complex multilevel structures, tiny line width and line space which contain patterns of metal wiring layer and dielectric material to insulate the metal wire.
  • the performance of VLSI and ULSI are limited by the interconnect capacitance, especially in ultra tiny feature size integrated circuit.
  • the value of time constant is equal to the product of the circuit resistance and the circuit capacitance (RC)
  • the power consumption is equal to the product of the capacitance, square of the voltage and the frequency (CV 2 /). Therefore the resistance and capacitance determine the performance of the semiconductor devices.
  • Cu copper
  • Al aluminum
  • SiLK aromatics hydrocarbon thermosetting polymer
  • air gap interconnection structure is developed.
  • the permittivity of air is equal to 1, which is much lower than traditional dielectric material (Si0 2 ) at approximately 3.9, if air is formed between copper lines, the dielectric constant of the dielectric layer will be decreased significantly.
  • the air gap process can provide a relative low-k dielectric constant, the air gap combined with dielectric material can be consider as a porous material with permittivity depending on the volume of the air gap.
  • SFP stress-free-polishing
  • an improved damascene technique integrated with SFP technique uses copper as a main conductor layer and solves the following problems: [0008] 1) No hard mask is used.
  • the hard mask in order to protect the sacrificial polymer layer, commonly a hard mask is formed above the sacrificial polymer layer, the hard mask has a higher mechanical strength to resist the stress during the CMP process.
  • the method according to the present invention utilizes SFP instead of CMP to ! 3 ⁇ 4if ⁇
  • the method of the present invention simplifies the whole process and reduces the cost by removing the processes of the hard mask.
  • the air gaps can not be formed in narrow line space areas or only smaller air gaps can be formed in these areas. That is the reason why air gap interconnect structure is not applied to narrow interconnect structures, such as first metal layer (Ml layer) structures, even the permittivity has the most significant impact in this layer.
  • the method of the present invention may also remove the reserved dielectric materials since no stress will be applied by the SFP process. Therefore, the air gaps can be formed in narrow line spaces, such as the spaces having a scale less than 65nm.
  • a relatively larger air gap may be formed in the spaces between copper lines and the shape of the air gap is optimized.
  • the permittivity of dielectric will be significantly reduced, and the RC delay and the power consumption will be further reduced.
  • the tiny node VLSI and ULSI dissipation problem will be solved.
  • a method for forming air gap interconnect structure is provided.
  • Trench and via are selectively etched in an insulating structure composed by sacrificial material.
  • Conductive structures are formed in the trench and via, the conductive structures are separated by sacrificial material.
  • a scale of the conductive structures is lower than 65nm.
  • the conductive structures are plated by copper and the copper is polished by both Chemical Mechanical Polishing (CMP) and Stress-Free ElectroPolishing (SFP) in sequence.
  • CMP Chemical Mechanical Polishing
  • SFP Stress-Free ElectroPolishing
  • Dielectric material is non-conformally deposited over the conductive structure and in the recesses by Chemical vapor deposition (CVD) so as to form air gaps within the dielectric material in the recesses. No hard mask is deposited during the formation of the conductive structures.
  • CVD Chemical vapor deposition
  • a copper sealing layer is non-conformally deposited on the conductive structure after removing the sacrificial material and prior to non-conformally depositing dielectric material.
  • a sacrificial removal stop layer is formed on the substrate prior to forming conductive structure on the substrate.
  • FIG. 1 is a diagram illustrating the relation between copper line width and its mechanical strength.
  • FIG. 2 is a cross-sectional view of the initial structure of the substrate according to the method for forming an air gap interconnect structure of the present invention.
  • FIG. 3 is a cross-sectional view of the structure of the substrate after a pattern process according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 4 is a cross-sectional view of the structure of the substrate after recesses are formed according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 5 is a cross-sectional view of the structure of the substrate after a barrier layer and a main conductive copper layer is deposited according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 6 is a cross-sectional view of the structure of the substrate after the main conductive copper layer is smoothed by CMP according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 7 is a cross-sectional view of the structure of the substrate after the main conductive copper layer is polished by SFP according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 8 is a cross-sectional view of the structure of the substrate after etching the barrier layer according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 9 is a cross-sectional view of the structure of the substrate after removing the insulating film according to the method for forming air gap interconnect structure of the present invention.
  • FIG. 10 is a cross-sectional view of the structure of the air gap interconnect structure formed according to the method for forming air gap interconnect structure of the present invention.
  • a first dielectric layer 302 is deposited on substrate 301.
  • the first dielectric layer 302 may be one of SiCN, SiC, SiN and SiOC or their combination.
  • a second dielectric layer (sacrificial layer) 303 is deposited on the first dielectric layer 302.
  • the second dielectric layer 303 may be a low-k material or insulating film like an organic film such as a SiLK film.
  • a reflection preventive film 304 and a photo-resist film 305 is sequentially deposited on the second dielectric layer 303.
  • the photo-resist film 305 is patterned by exposure to form a photo-resist pattern 405 as shown in FIG. 3.
  • the reflection preventive film 304 is selectively removed by dry etching using the photo-resist pattern 405 as an etching mask and forms a patterned reflection preventive film 404.
  • the second dielectric layer 503 is selectively removed to form trenches by dry etching using the photo-resist pattern 405 as an etching mask. Thereafter, the photo-resist pattern 405 and the patterned reflection preventive film 404 are removed. Refer to FIG. 4, trenches 506 are formed within the second dielectric layer 503. [0026] A barrier layer of TaN/Ta 606a is deposited on entire main surface of substrate 301 by using sputtering. Then, the metal layer of copper 606b is deposited on the barrier layer of TaN/Ta 606a by using sputtering and plating in sequence. FIG. 5 shows the structure after the depositions of the barrier layer of TaN/Ta 606a and the metal layer of copper 606b.
  • the metal layer of copper 606b is polished to 100nm ⁇ 200nm of remaining copper film thickness by CMP for smoothing the surface topography, as shown in FIG 6.
  • the remaining copper film is numbered as 706b.
  • FIG.7 illustrates the structure after a stress-free electropolihsing (SFP) process.
  • the copper film 806b is polished back to the surface of non-recessed areas, such that metal layer within the recessed regions, i.e., the trenches and/or vias, is isolated from adjacent recessed regions.
  • SFP is a chemical-electrical process: the copper on the wafer substrate works as an anode and an electrolyte nozzle works as a cathode. The copper is dissolved and polished by contacted electrolyte when positive voltage is applied between the anode and the cathode.
  • SFP is a stress free and selective copper removal process.
  • WO2010/020092 discloses a detailed process of SFP.
  • the barrier layer of Ta/TaN 906a on the top surface of wafer is removed by XeF 2 gas phase etching.
  • the XeF 2 reacts spontaneously with Ta/TaN at a certain temperature and pressure.
  • XeF 2 is an isotropic selective etching method of Ta/TaN (the barrier layer of Ta/TaN 606a).
  • the XeF 2 gas has good selectivity to both copper 806b and the second dielectric layer 503, which is formed by dielectric materials such as Si0 2 , SiLK, or low k Si- C-O-H based materials.
  • the value k of the low k Si-C-O-H based materials varies from 1.2 to 4.2 and 1.3-2.4 is preferred.
  • the temperature of the substrate varies from 0°C to 300°C and 25°C ⁇ 200°C is preferred.
  • the pressure of XeF 2 gas during the process is between O. lTorr and 100 Torr and 0.5 Torr ⁇ 20 Torr is preferred.
  • FIG. 8 shows the above process.
  • the chemical reaction products of XeF 2 and Ta/TaN are in gas phase, such as Xe or volatiles with the processing pressure.
  • An example of the volatiles is tantalum fluoride.
  • the trenches 906 in the semiconductor device is electrically separated completely.
  • the copper layer or copper film 806b and the remaining barrier layer (of Ta/TaN) 906a are completely separated by the low k dielectric layer 503 (formed from the second dielectric layer 303).
  • the low k dielectric layer 503 (formed from the second dielectric layer 303) is removed by using reducing gas NH 3 , or H 2 /N 2 to form trench 1007, and first dielectric layer 302 serves as an etching stopper. The depth for removal becomes uniform. At the same time, the copper layer or copper film 806b and remaining barrier layer of TaN/Ta 906a does not get any damage.
  • the FIG. 9 shows the above process.
  • a third dielectric layer 1008 is deposited on entire surface of substrate, and serves as a sealing dielectric in FIG. 9.
  • the third dielectric layer 1008 maybe one of SiCN, SiC, SiN and SiOC or their combination.
  • a fourth dielectric layer 1109 is deposited on the third dielectric layer of SiCN 1008 by using non-conformally plasma enhance chemical vapor deposition (PECVD) or thermal chemical vapor deposition (TCVD). Tetraethyl Orthosillicate (TEOS) and Ozone (0 3 ) are applied in TCVD. Air gap structure are formed by TCVD with temperature from 300°C and 450°C, with best temperature 400°C. Air gaps 1110 are formed in trenches 1007. The trenches 1007 shall have a space between lOnm to 250nm.
  • the fourth dielectric layer 1109 may be one of SiOF, SiOC, Black Diamond (BD) and Black Diamond II (BDII) or their combination.

Abstract

Embodiments of the present invention provide a method for forming air gap interconnect structure. A first step of the method is to form conductive structures on a substrate, the conductive structures are separated by sacrificial material. A scale of the conductive structures is lower than 65nm and the conductive structures are polished by both Chemical Mechanical Polishing (CMP) and Stress-Free ElectroPolishing (SFP). A second step of this method is to remove the sacrificial material to form recesses between the conductive structures. And a third step of this method is to non-conformally deposit dielectric material over the conductive structure and in the recesses, so as to form air gaps within the dielectric material in the recesses. No hard mask is deposited during the formation of the conductive structures.

Description

METHOD FOR FORMING AIR GAP INTERCONNECT STRUCTURE
FIELD OF THE INVENTION
[0001] The present invention relates to semiconductor manufacture. More specifically, the present invention relates to improvements to an air gap interconnect structure for applications in 65nm or smaller technology.
BACKGROUND
[0002] With the recent semiconductor development, very large scale integrated circuits (VLSI) and ultra large scale integration (ULSI) are widely required in the semiconductor industry. VLSI and ULSI typically have complex multilevel structures, tiny line width and line space which contain patterns of metal wiring layer and dielectric material to insulate the metal wire. The performance of VLSI and ULSI are limited by the interconnect capacitance, especially in ultra tiny feature size integrated circuit. In a RC circuit, the value of time constant is equal to the product of the circuit resistance and the circuit capacitance (RC), and the power consumption is equal to the product of the capacitance, square of the voltage and the frequency (CV2/). Therefore the resistance and capacitance determine the performance of the semiconductor devices. In order to reduce the resistance, copper (Cu) is used as an effectively conductive line to replace aluminum (Al) in VLSI and ULSI, and a low-k dielectric material, such as aromatics hydrocarbon thermosetting polymer (SiLK) is considered to replace the traditional dielectric material, such as silicon dioxide (Si02), which can effectively reduce the capacitance of VLSI and ULSI.
[0003] The mechanical property of low-k dielectric material restricts the developments of VLSI and ULSI, due to the low Young's modulus of low-k dielectric, as shown in TABLE 1. The mechanical strength of copper will weaken square with the copper line width decrement, as shown in FIG.1. With the purpose of removing the excess copper line, a planarizing polish process, such as a chemical mechanical planarization (CMP) is applied. Because of the mechanical weakness of low-k material and the huge young's modulus difference between the low-k dielectric material and copper, the conductive line will appear deformed during the CMP process and result in a short circuit or an open circuit of VLSI and ULSI. It would be very possible that the copper line may be destroyed by the CMP during the process. Therefore, low-k dielectric material can not become a main current dielectric material and replace the traditional dielectric material.
[0004] Table 1 Mechanical and electrical property of dielectric material
Figure imgf000003_0001
[0005] In order to overcome this issue, air gap interconnection structure is developed. The permittivity of air is equal to 1, which is much lower than traditional dielectric material (Si02) at approximately 3.9, if air is formed between copper lines, the dielectric constant of the dielectric layer will be decreased significantly. The air gap process can provide a relative low-k dielectric constant, the air gap combined with dielectric material can be consider as a porous material with permittivity depending on the volume of the air gap. US 7,501,347, US 7,629,268 and US 7,361,991 have provided some methods to form air-gap structures lager than 90-nm scale, however, when the feature size is decreased, the traditional damascene processes, especially the processes using CMP to planarize the copper line surfaces, are facing serious bottleneck. It would be a big problem on how to eliminate the stress on the copper lines.
[0006] Hence, the stress-free-polishing (SFP) is invented to overcome this bottleneck. SFP bases on the electrochemical mechanism to polish the excess copper line without erosion and mechanical stress. By using SFP, the copper line will not be damaged. The damascene technique integrated with SFP process can form an air-gap structure with a scale smaller than 65 nm.
SUMMARY
[0007] According to the present invention, an improved damascene technique integrated with SFP technique is provided. The technique uses copper as a main conductor layer and solves the following problems: [0008] 1) No hard mask is used. In prior art, in order to protect the sacrificial polymer layer, commonly a hard mask is formed above the sacrificial polymer layer, the hard mask has a higher mechanical strength to resist the stress during the CMP process. The method according to the present invention utilizes SFP instead of CMP to ! ¾if}|¾3 l ffi¾¾ o the excess copper, as a result the processes of depositing and removing the hard mark may be removed because the SFP process will not bring any stress to the copper lines. The method of the present invention simplifies the whole process and reduces the cost by removing the processes of the hard mask.
[0009] 2) In prior art, a part of the dielectric materials will be reserved to protect the flanks of copper lines so as to avoid potential damages to the copper lines caused by the polishing process. Therefore, the air gaps can not be formed in narrow line space areas or only smaller air gaps can be formed in these areas. That is the reason why air gap interconnect structure is not applied to narrow interconnect structures, such as first metal layer (Ml layer) structures, even the permittivity has the most significant impact in this layer. The method of the present invention may also remove the reserved dielectric materials since no stress will be applied by the SFP process. Therefore, the air gaps can be formed in narrow line spaces, such as the spaces having a scale less than 65nm. And a relatively larger air gap may be formed in the spaces between copper lines and the shape of the air gap is optimized. The permittivity of dielectric will be significantly reduced, and the RC delay and the power consumption will be further reduced. The tiny node VLSI and ULSI dissipation problem will be solved.
[0010] According to an embodiment of the present invention, a method for forming air gap interconnect structure is provided. Trench and via are selectively etched in an insulating structure composed by sacrificial material. Conductive structures are formed in the trench and via, the conductive structures are separated by sacrificial material. A scale of the conductive structures is lower than 65nm. Then deposit a barrier and remove the barrier by XeF2 selective thermal flow etching. The conductive structures are plated by copper and the copper is polished by both Chemical Mechanical Polishing (CMP) and Stress-Free ElectroPolishing (SFP) in sequence. The sacrificial material are removed by plasma etch to form recesses between the conductive structures. Then deposit a insulating film serving as a sealing dielectric on entire surface. Dielectric material is non-conformally deposited over the conductive structure and in the recesses by Chemical vapor deposition (CVD) so as to form air gaps within the dielectric material in the recesses. No hard mask is deposited during the formation of the conductive structures.
[0011] According to an embodiment of the present invention, a copper sealing layer is non-conformally deposited on the conductive structure after removing the sacrificial material and prior to non-conformally depositing dielectric material.
[0012] According to an embodiment of the present invention, a sacrificial removal stop layer is formed on the substrate prior to forming conductive structure on the substrate.
BRIFE DESCRIPTION OF THE DRAWING
[0013] FIG. 1 is a diagram illustrating the relation between copper line width and its mechanical strength.
[0014] FIG. 2 is a cross-sectional view of the initial structure of the substrate according to the method for forming an air gap interconnect structure of the present invention.
[0015] FIG. 3 is a cross-sectional view of the structure of the substrate after a pattern process according to the method for forming air gap interconnect structure of the present invention.
[0016] FIG. 4 is a cross-sectional view of the structure of the substrate after recesses are formed according to the method for forming air gap interconnect structure of the present invention.
[0017] FIG. 5 is a cross-sectional view of the structure of the substrate after a barrier layer and a main conductive copper layer is deposited according to the method for forming air gap interconnect structure of the present invention.
[0018] FIG. 6 is a cross-sectional view of the structure of the substrate after the main conductive copper layer is smoothed by CMP according to the method for forming air gap interconnect structure of the present invention. [0019] FIG. 7 is a cross-sectional view of the structure of the substrate after the main conductive copper layer is polished by SFP according to the method for forming air gap interconnect structure of the present invention.
[0020] FIG. 8 is a cross-sectional view of the structure of the substrate after etching the barrier layer according to the method for forming air gap interconnect structure of the present invention.
[0021] FIG. 9 is a cross-sectional view of the structure of the substrate after removing the insulating film according to the method for forming air gap interconnect structure of the present invention.
[0022] FIG. 10 is a cross-sectional view of the structure of the air gap interconnect structure formed according to the method for forming air gap interconnect structure of the present invention.
DEDETAIL DESCRIPTION OF EMBODIMENTS
[0023] As shown in FIG. 2, a first dielectric layer 302 is deposited on substrate 301. The first dielectric layer 302 may be one of SiCN, SiC, SiN and SiOC or their combination. A second dielectric layer (sacrificial layer) 303 is deposited on the first dielectric layer 302. The second dielectric layer 303 may be a low-k material or insulating film like an organic film such as a SiLK film. A reflection preventive film 304 and a photo-resist film 305 is sequentially deposited on the second dielectric layer 303.
[0024] The photo-resist film 305 is patterned by exposure to form a photo-resist pattern 405 as shown in FIG. 3. The reflection preventive film 304 is selectively removed by dry etching using the photo-resist pattern 405 as an etching mask and forms a patterned reflection preventive film 404.
[0025] As shown in FIG. 4, the second dielectric layer 503 is selectively removed to form trenches by dry etching using the photo-resist pattern 405 as an etching mask. Thereafter, the photo-resist pattern 405 and the patterned reflection preventive film 404 are removed. Refer to FIG. 4, trenches 506 are formed within the second dielectric layer 503. [0026] A barrier layer of TaN/Ta 606a is deposited on entire main surface of substrate 301 by using sputtering. Then, the metal layer of copper 606b is deposited on the barrier layer of TaN/Ta 606a by using sputtering and plating in sequence. FIG. 5 shows the structure after the depositions of the barrier layer of TaN/Ta 606a and the metal layer of copper 606b.
[0027] The metal layer of copper 606b is polished to 100nm~200nm of remaining copper film thickness by CMP for smoothing the surface topography, as shown in FIG 6. The remaining copper film is numbered as 706b.
[0028] A stress-free electropolishing (SFP) is performed on the remaining copper film 706b. FIG.7 illustrates the structure after a stress-free electropolihsing (SFP) process. After the SFP process, the copper film 806b is polished back to the surface of non-recessed areas, such that metal layer within the recessed regions, i.e., the trenches and/or vias, is isolated from adjacent recessed regions. SFP is a chemical-electrical process: the copper on the wafer substrate works as an anode and an electrolyte nozzle works as a cathode. The copper is dissolved and polished by contacted electrolyte when positive voltage is applied between the anode and the cathode. SFP is a stress free and selective copper removal process. WO2010/020092 discloses a detailed process of SFP.
[0029] The barrier layer of Ta/TaN 906a on the top surface of wafer is removed by XeF2 gas phase etching. The XeF2 reacts spontaneously with Ta/TaN at a certain temperature and pressure. XeF2 is an isotropic selective etching method of Ta/TaN (the barrier layer of Ta/TaN 606a). The XeF2 gas has good selectivity to both copper 806b and the second dielectric layer 503, which is formed by dielectric materials such as Si02, SiLK, or low k Si- C-O-H based materials. The value k of the low k Si-C-O-H based materials varies from 1.2 to 4.2 and 1.3-2.4 is preferred. During the whole process there is no mechanical stress applied to the barrier layer of Ta/TaN 906a or the second dielectric layer 503 directly, so there is no damage to copper 806b or the second dielectric layer 503 (the dielectric materials). According to an embodiment, the temperature of the substrate varies from 0°C to 300°C and 25°C~200°C is preferred. The pressure of XeF2 gas during the process is between O. lTorr and 100 Torr and 0.5 Torr~20 Torr is preferred. FIG. 8 shows the above process. [0030] The chemical reaction products of XeF2 and Ta/TaN are in gas phase, such as Xe or volatiles with the processing pressure. An example of the volatiles is tantalum fluoride. Thus, there is no residual on the surface of the wafer.
[0031] As shown in Fig. 8, when the barrier layer 906a exposed on the top surface is removed completely by XeF2 gas phase etching, the trenches 906 in the semiconductor device is electrically separated completely. The copper layer or copper film 806b and the remaining barrier layer (of Ta/TaN) 906a are completely separated by the low k dielectric layer 503 (formed from the second dielectric layer 303).
[0032] The low k dielectric layer 503 (formed from the second dielectric layer 303) is removed by using reducing gas NH3, or H2/N2 to form trench 1007, and first dielectric layer 302 serves as an etching stopper. The depth for removal becomes uniform. At the same time, the copper layer or copper film 806b and remaining barrier layer of TaN/Ta 906a does not get any damage. The FIG. 9 shows the above process.
[0033] A third dielectric layer 1008 is deposited on entire surface of substrate, and serves as a sealing dielectric in FIG. 9. The third dielectric layer 1008 maybe one of SiCN, SiC, SiN and SiOC or their combination.
[0034] As shown in FIG. 10, a fourth dielectric layer 1109 is deposited on the third dielectric layer of SiCN 1008 by using non-conformally plasma enhance chemical vapor deposition (PECVD) or thermal chemical vapor deposition (TCVD). Tetraethyl Orthosillicate (TEOS) and Ozone (03) are applied in TCVD. Air gap structure are formed by TCVD with temperature from 300°C and 450°C, with best temperature 400°C. Air gaps 1110 are formed in trenches 1007. The trenches 1007 shall have a space between lOnm to 250nm. The fourth dielectric layer 1109 may be one of SiOF, SiOC, Black Diamond (BD) and Black Diamond II (BDII) or their combination.

Claims

What is claimed is:
1. A method for forming air gap interconnect structure comprising,
depositing a first dielectric layer;
depositing a second dielectric layer (sacrificial layer);
putting photo mask, then selectively etching the second dielectric layer to form trenches and vias in the second dielectric layer;
depositing barrier layer and seed layer on the second dielectric layer with trench and via;
depositing a metal layer on the seed layer;
partially polishing the metal layer by using Chemical Mechanical Polishing (CMP); polishing the metal layer to barrier layer by using Stress-Free ElectroPolishing (SFP); etching the barrier layer;
removing the second dielectric layer by plasma etch to form recesses between the metal structures;
depositing a third dielectric layer serving as a sealing dielectric on entire surface; non-conformally depositing a fourth dielectric layer over the metal structure and in the recesses by Chemical vapor deposition (CVD) , so as to form air gap structure between the metal lines;
planarizing the top surface of the fourth dielectric layer CMP for smoothing the surface topography.
2. The method of claim 1, wherein the first dielectric layer is on of one of SiCN, SiC, SiN and SiOC or their combination.
3. The method of claim 1, wherein the second dielectric layer is organic material.
4. The method of claim 3, wherein the organic material is SiLK.
5. The method of claim 1, wherein the second dielectric layer is SiOF, SiOC.
6. The method of claim 1, wherein the barrier layer is Ta/TaN, Ti/TiN, or Ru.
7. The method of claim 6, wherein the barrier layer of TaN/Ta or TiN/Ti is deposited by sputtering.
8. The method of claim 1, wherein the seed layer is copper.
9. The method of claim 1, wherein the metal layer is copper.
10. The method of claim 9, wherein the metal layer is deposited by sputtering or Electrochemical plating (ECP).
11. The method of claim 1, wherein partially polishing the copper layer to 100nm~200nm of remaining thickness by Chemical Mechanical Polishing (CMP) for smoothing the surface topography.
12. The method of claim 1, wherein the rest of the metal layer in non-recessed areas is polished by Stress-Free ElectroPolishing (SFP) to isolate the barrier layer of within the recessed regions.
13. The method of claim 1, wherein the barrier layer comprising Ta/TaN or Ti/TiN is removed by XeF2 gas phase etching.
14. The method of claim 1, wherein the barrier layer comprising Ta/TaN or Ti/TiN is removed by plasma etching.
15. The method of claim 1, wherein the second dielectric layer comprising SiLK comprises is plasma etched by using NH3, or H2/N2 as a reducing gas, and using the first dielectric layer as an etching stopper.
16. The method of claim 1, wherein one of SiCN, SiC, SiN and SiOC or their combination is deposited as the third dielectric layer.
17. The method of claim 1, wherein SiOF, SiOC, Black Diamond (BD), or Black Diamond II (BDII) is non-conformally deposited as the fourth dielectric layer by using Chemical Vapor Deposition (CVD).
18. The method of claim 17, wherein CVD is Plasma Enhance Chemical Vapor Deposition (PECVD) or Thermal Chemical Vapor Deposition (TCVD).
19. The method of claim 18, wherein Tetraethyl Orthosillicate (TEOS) and Ozone (03) are applied in the TCVD.
20. The method of claim 18, wherein air gap structure formed by TCVD with temperature from 300°C and 450°C, with best temperature 400°C.
21. The method of claim 1, wherein air gaps are only formed on recesses with a space between lOnm to 250nm.
PCT/CN2011/079859 2011-09-20 2011-09-20 Method for forming air gap interconnect structure WO2013040751A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/CN2011/079859 WO2013040751A1 (en) 2011-09-20 2011-09-20 Method for forming air gap interconnect structure
KR1020147010406A KR101842903B1 (en) 2011-09-20 2011-09-20 Method for forming air gap interconnect structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2011/079859 WO2013040751A1 (en) 2011-09-20 2011-09-20 Method for forming air gap interconnect structure

Publications (1)

Publication Number Publication Date
WO2013040751A1 true WO2013040751A1 (en) 2013-03-28

Family

ID=47913749

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2011/079859 WO2013040751A1 (en) 2011-09-20 2011-09-20 Method for forming air gap interconnect structure

Country Status (2)

Country Link
KR (1) KR101842903B1 (en)
WO (1) WO2013040751A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016058174A1 (en) * 2014-10-17 2016-04-21 Acm Research (Shanghai) Inc. Barrier layer removal method and semiconductor structure forming method
CN106158827A (en) * 2014-09-25 2016-11-23 台湾积体电路制造股份有限公司 Gap structure and method
CN106803496A (en) * 2016-12-29 2017-06-06 上海集成电路研发中心有限公司 A kind of method that air-gap structure is generated in post-channel interconnection
CN107210209A (en) * 2015-02-15 2017-09-26 盛美半导体设备(上海)有限公司 Optimize the method for metal planarization technique
CN108231920A (en) * 2018-01-23 2018-06-29 中国电子科技集团公司第四十四研究所 The silicon-based detector and production method of stress structure are caused with silicon nitride
CN109478534A (en) * 2016-08-09 2019-03-15 国际商业机器公司 The air gap separation object of the semiconductor devices of nanoscale constructs

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112928095B (en) * 2021-02-03 2022-03-15 长鑫存储技术有限公司 Interconnection structure, preparation method thereof and semiconductor structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060088975A1 (en) * 2004-10-25 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device and semiconductor device
US20060276030A1 (en) * 2005-06-01 2006-12-07 Jean Wang Novel method to implement stress free polishing
US20080102631A1 (en) * 2006-10-27 2008-05-01 Andryushchenko Tatyana N Chemical dissolution of barrier and adhesion layers
US20090068840A1 (en) * 2007-08-31 2009-03-12 Gaku Minamihaba Polishing liquid and method for manufacturing semiconductor device
WO2010020092A1 (en) * 2008-08-20 2010-02-25 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4856107B2 (en) 2008-02-14 2012-01-18 パナソニック株式会社 Semiconductor device manufacturing method and semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060088975A1 (en) * 2004-10-25 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device and semiconductor device
US20060276030A1 (en) * 2005-06-01 2006-12-07 Jean Wang Novel method to implement stress free polishing
US20080102631A1 (en) * 2006-10-27 2008-05-01 Andryushchenko Tatyana N Chemical dissolution of barrier and adhesion layers
US20090068840A1 (en) * 2007-08-31 2009-03-12 Gaku Minamihaba Polishing liquid and method for manufacturing semiconductor device
WO2010020092A1 (en) * 2008-08-20 2010-02-25 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106158827A (en) * 2014-09-25 2016-11-23 台湾积体电路制造股份有限公司 Gap structure and method
US10354949B2 (en) 2014-09-25 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
WO2016058174A1 (en) * 2014-10-17 2016-04-21 Acm Research (Shanghai) Inc. Barrier layer removal method and semiconductor structure forming method
CN107210209A (en) * 2015-02-15 2017-09-26 盛美半导体设备(上海)有限公司 Optimize the method for metal planarization technique
CN109478534A (en) * 2016-08-09 2019-03-15 国际商业机器公司 The air gap separation object of the semiconductor devices of nanoscale constructs
US11658062B2 (en) 2016-08-09 2023-05-23 Tessera Llc Air gap spacer formation for nano-scale semiconductor devices
CN109478534B (en) * 2016-08-09 2023-10-27 泰塞拉公司 Air gap spacer structure for nanoscale semiconductor devices
CN106803496A (en) * 2016-12-29 2017-06-06 上海集成电路研发中心有限公司 A kind of method that air-gap structure is generated in post-channel interconnection
CN106803496B (en) * 2016-12-29 2019-09-13 上海集成电路研发中心有限公司 A method of generating air-gap structure in post-channel interconnection
CN108231920A (en) * 2018-01-23 2018-06-29 中国电子科技集团公司第四十四研究所 The silicon-based detector and production method of stress structure are caused with silicon nitride

Also Published As

Publication number Publication date
KR20140065450A (en) 2014-05-29
KR101842903B1 (en) 2018-05-14

Similar Documents

Publication Publication Date Title
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US8791013B2 (en) Pattern forming method
US10978389B2 (en) Semiconductor device and manufacturing method thereof
US9679850B2 (en) Method of fabricating semiconductor structure
TWI608541B (en) Method for forming air gap interconnect structure
WO2013040751A1 (en) Method for forming air gap interconnect structure
US9040417B2 (en) Semiconductor devices and methods of manufacture thereof
EP1233449A2 (en) A method of fabricating a semiconductor device
US9824918B2 (en) Method for electromigration and adhesion using two selective deposition
US9330964B2 (en) Semiconductor structures and fabrication methods for improving undercut between porous film and hardmask film
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
CN107078040B (en) Method for removing barrier layer and method for forming semiconductor structure
JP4492949B2 (en) Manufacturing method of electronic device
US20190259650A1 (en) Method for protecting cobalt plugs
US20120199980A1 (en) Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
US20120168915A1 (en) Reliable interconnect integration scheme
US20130161798A1 (en) Graded density layer for formation of interconnect structures
WO2004070818A1 (en) Metal etching method for an interconnect structure and metal interconnect structure obtained by such method
US7662711B2 (en) Method of forming dual damascene pattern
KR20090024854A (en) Metal line and method for fabricating metal line of semiconductor device
US8048799B2 (en) Method for forming copper wiring in semiconductor device
KR100955838B1 (en) Semiconductor device and method for forming metal line in the same
US8772154B2 (en) Integrated circuits including barrier polish stop layers and methods for the manufacture thereof
WO2007130452A1 (en) Removing barnier layer using an electron polishing process
KR20070031237A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11872609

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20147010406

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 11872609

Country of ref document: EP

Kind code of ref document: A1