CN117423678A - 纳米尺度的半导体器件的气隙间隔物构造 - Google Patents

纳米尺度的半导体器件的气隙间隔物构造 Download PDF

Info

Publication number
CN117423678A
CN117423678A CN202311296358.9A CN202311296358A CN117423678A CN 117423678 A CN117423678 A CN 117423678A CN 202311296358 A CN202311296358 A CN 202311296358A CN 117423678 A CN117423678 A CN 117423678A
Authority
CN
China
Prior art keywords
layer
air gap
source
conformal
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311296358.9A
Other languages
English (en)
Inventor
S·V·恩古源
山下典洪
程慷果
T·J·小黑格
朴灿鲁
E·利宁格
李俊涛
S·梅赫塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Solutions LLC
Original Assignee
Tessera LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tessera LLC filed Critical Tessera LLC
Publication of CN117423678A publication Critical patent/CN117423678A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Abstract

提供了具有形成为半导体器件的BEOL或MOL层的一部分的气隙隔离物的半导体器件,以及制造这种气隙隔离物的方法。例如,一种方法包括在基板上形成第一金属结构和第二金属结构,其中第一和第二金属结构彼此相邻设置,在第一和第二金属结构之间设置绝缘材料。蚀刻绝缘材料以在第一和第二金属结构之间形成空间。使用夹断沉积工艺在第一和第二金属结构上沉积一层介电材料,以在第一和第二金属结构之间的空间中形成气隙,其中气隙的一部分在第一金属结构和第二金属结构中的至少一个的上表面上方延伸。

Description

纳米尺度的半导体器件的气隙间隔物构造
相关申请的交叉引用
本申请是国际申请号为PCT/IB2017/054419、国际申请日为2017年07月21日、优先权日为2016年08月09日、进入中国国家阶段日期为2019年01月02日、中国国家申请号为201780041377.3、发明名称为“纳米尺度的半导体器件的气隙间隔物构造”的发明专利申请的分案申请。
技术领域
本领域一般涉及半导体制造,并且特别涉及用于制造半导体器件的气隙间隔物的技术。
背景技术
随着半导体制造技术继续朝着更小的设计规则和更高的集成密度发展,集成电路中的相邻结构之间的间隔变得越来越小。因此,在集成电路的相邻结构之间可能发生不希望的电容耦合,例如BEOL(线路后端)互连结构中的相邻金属线,FEOL(线路前端)器件的相邻触点(例如,MOL(线路中间)器件触点)等。这些与寄生电容相关的结构会导致半导体器件的性能下降。例如,晶体管触点之间的电容耦合会导致栅极-源极或栅极-漏极寄生电容增加,这会对晶体管的工作速度产生不利影响,增加集成电路的能耗等。此外,BEOL结构的相邻金属线之间的不需要的电容耦合可导致互连堆栈中增加的电阻-电容延迟(或等待时间)、串扰、增加的动态功耗等。
为了减少相邻导电结构之间的寄生耦合,半导体工业已采用低介电常数(低k)电介质和超低k(ULK)电介质(代替传统的SiO2(k=4.0))作为超大规模集成电路(ULSI)的MOL和BEOL层的绝缘材料。然而,低k电介质的出现以及激进的缩放已经导致这种低k材料的长期可靠性的关键挑战。例如,低k TDDB(时间相关的介电击穿)通常被认为是一个关键问题,因为低k材料通常具有比传统SiO2电介质更弱的固有击穿强度。通常,TDDB指的是当电介质经受随时间的变化的电压/电流偏置和温度应力时,电介质的绝缘特性的损失。TDDB导致漏电流增加,从而降低纳米级集成电路的性能。
发明内容
本发明的实施例包括具有形成为半导体器件的BEOL或MOL层的一部分的气隙间隔物的半导体器件,以及用于制造作为半导体器件的BEOL和MOL层的一部分的气隙间隔物的方法。
例如,一种制造半导体器件的方法包括在衬底上形成第一金属结构和第二金属结构,其中第一和第二金属结构彼此相邻设置,在第一和第二金属结构之间设置绝缘材料。蚀刻绝缘材料以在第一和第二金属结构之间形成空间。在第一和第二金属结构上沉积一层介电材料,以在第一和第二金属结构之间的空间中形成气隙,其中气隙的一部分在第一金属结构和第二金属结构中的至少一个的上表面上方延伸。
在一个实施例中,第一金属结构包括形成在BEOL互连结构的层间介电层中的第一金属线,第二金属结构包括形成在BEOL互连结构的ILD层中的第二金属线。
在另一实施例中,第一金属结构包括器件触点,第二金属结构包括晶体管的栅极结构。在一个实施例中,器件触点高于栅极结构,并且气隙的一部分在栅极结构上方延伸并且在器件触点的上表面下方延伸。
将在以下实施例的详细描述中描述其他实施例,其将结合附图来阅读。
附图说明
图1A和1B是根据本发明实施例的半导体器件的示意图,该半导体器件包括整体形成在半导体器件的BEOL结构内的气隙间隔物;
图2A和2B示意性地示出了与使用传统方法形成的气隙结构相比,使用根据本发明实施例的夹断沉积方法形成的气隙结构实现的BEOL结构的金属线之间的TDDB可靠性和减小的电容耦合的改进;
图3是根据本发明另一实施例的半导体器件的横截面示意侧视图,该半导体器件包括整体形成在半导体器件的BEOL结构内的气隙隔离物;
图4至10示意性地示出了根据本发明的实施例的用于制造图1A的半导体器件的方法,其中:
图4是处于制造的中间阶段的半导体器件的横截面示意侧视图,其中在ILD(层间电介质)层中形成开口图案;
图5是图4的半导体器件在沉积保形衬里材料层并沉积一层金属材料以填充ILD层中的开口之后的横截面示意性侧视图;
图6是图5的半导体器件将半导体结构的表面平坦化到ILD层以形成金属布线层之后的横截面示意性侧视图;
图7是图6的半导体器件在金属布线层的金属线上形成保护帽之后的横截面示意性侧视图;
图8是图7的半导体器件在蚀刻ILD层以在金属布线层的金属线之间形成空间之后的横截面示意性侧视图;
图9是图8的半导体器件在沉积绝缘材料的保形层以形成覆盖金属布线层的暴露表面的绝缘衬垫和ILD层之后的横截面示意性侧视图;以及
图10是图9的半导体器件的横截面示意性侧视图,其描述了使用非保形沉积工艺沉积介电材料以使夹断区域在金属布线层的金属线之间的空间上方的沉积的介电材料中开始形成的过程;
图11是根据本发明的另一个实施例的半导体器件的横截面示意侧视图,该半导体器件包括整体形成在半导体器件的FEOL/MOL结构内的气隙间隔物;
图12至19示意性地示出了根据本发明的实施例的用于制造图11的半导体器件的方法,其中:
图12是处于制造的中间阶段的半导体器件的横截面示意图,其中在半导体衬底上形成垂直晶体管结构;
图13是图12的半导体器件在图案化预金属电介质层以在垂直晶体管结构的栅极结构之间形成接触开口之后的横截面示意性侧视图;
图14是图13的半导体器件在半导体器件的表面上形成保形衬垫层以在衬垫材料上给接触开口使用衬里材料安衬里之后的横截面示意性侧视图;
图15是图14的半导体器件在沉积一层金属材料以用金属材料填充接触开口并平坦化半导体器件的表面以形成MOL器件触点之后的横截面示意性侧视图;
图16是图15的半导体器件在凹陷栅极覆盖层和垂直晶体管结构的栅极结构的侧壁间隔物之后的横截面侧视图;
图17是图16的半导体器件在沉积绝缘材料的保形层以给栅极结构的暴露表面上和MOL器件触点安衬里形成绝缘衬垫之后的横截面示意性侧视图;
图18是图17的半导体器件在使用非保形沉积工艺沉积介电材料,以在栅极结构和MOL器件触点之间的空间中形成气隙得到夹断区域之后的横截面示意性侧视图;以及
图19是图18的半导体器件在将半导体器件的表面平面化到MOL器件触点并沉积作为BEOL结构的第一互连层的一部分的ILD层之后的横截面示意性侧视图。
具体实施方式
现在将进一步详细描述关于具有形成为BEOL和/或MOL层的一部分的气隙间隔物的半导体集成电路器件,以及用于制造半导体集成电路器件的作为BEOL和/或MOL层的一部分的气隙间隔物的方法的实施例。特别地,如下面进一步详细说明的,本发明的实施例包括使用“夹断”沉积技术制造气隙隔离物的方法,该技术利用某些介电材料和沉积技术来控制气隙隔离物的尺寸和形状。由此形成并优化用于目标应用的气隙间隔物的形成。这里讨论的用于形成气隙间隔物的示例性夹断沉积方法提供了半导体集成电路器件的BEOL和MOL层中的改进的TDDB可靠性以及优化的电容减少。
应理解,附图中所示的各种层、结构和区域是未按比例绘制的示意图。另外,为了便于解释,通常用于形成半导体器件或结构的一种或多种层、结构和区域可能未在给定附图中明确示出。这并不意味着从实际的半导体结构中省略了未明确示出的任何层、结构和区域。
此外,应理解,本文所讨论的实施方案不限于本文所示的特定材料、特征和处理步骤。特别地,关于半导体处理步骤,要强调的是,这里提供的描述并不旨在包含形成功能半导体集成电路器件可能需要的所有处理步骤。相反,为了描述的经济性,有目的地没有描述在形成半导体器件中常用的某些处理步骤,例如湿法清洗和退火步骤。
此外,在整个附图中使用相同或相似的附图标记来表示相同或相似的特征、元件或结构,因此,对于每个附图,将不再重复相同或相似的特征、元件或结构的详细说明。应理解,本文所用的关于厚度、宽度、百分比、范围等的术语“约”或“基本上”旨在表示接近或接近但不精确。例如,如本文所用的术语“约”或“基本上”意味着存在小的误差范围,例如1%或小于所述量。
图1A和1B是根据本发明实施例的半导体器件100的示意图,该半导体器件100包括整体形成在半导体器件的BEOL结构内的气隙间隔物。图1A是图1B中沿着线1A-1A截取的半导体器件100的横截面示意图。图1B是沿着包括图1A中所示的线1B-1B的平面的半导体器件100的示意性平面图。更具体地,如图1A和图1B所示的各个XYZ笛卡尔坐标所示,图1A是半导体器件100在X-Z平面中的示意性横截面图。图1B是示出X-Y平面内的各种元件的布局的平面图。应当理解,这里使用的术语“垂直”或“垂直方向”表示图中所示的笛卡尔坐标的Z方向,并且这里使用的术语“水平”或“水平方向”表示X-图中所示的笛卡尔坐标的X方向和/或Y方向。
特别地,图1A示意性地示出了包括衬底110、FEOL/MOL结构120和BEOL结构130的半导体器件100。在一个实施例中,衬底110包括由例如硅或其他类型的半导体衬底形成的块状半导体衬底,其他类型的半导体衬底是通常用于块状半导体制造工艺的材料,例如锗、硅锗合金、碳化硅、硅-锗碳化物合金或化合物半导体材料(例如III-V和II-VI)。化合物半导体材料的非限制性实例包括砷化镓、砷化铟和磷化铟。基础衬底100的厚度将根据应用而变化。在另一实施例中,衬底110包括SOI(绝缘体上硅)衬底,其包括设置在基础半导体衬底(例如,硅衬底)与有源半导体层(例如,有源硅)之间的绝缘层(例如,氧化物层),有源半导体层中有源电路元件(例如,场效应晶体管)形成为FEOL层的一部分。
具体地,FEOL/MOL结构120包括形成在衬底110上的FEOL层。FEOL层包括形成在半导体衬底110的有源表面中或之上的各种半导体器件和组件,以提供用于的目标应用的集成电路。例如,FEOL层包括FET器件(例如FinFET器件,平面MOSFET器件等)、双极晶体管、二极管、电容器、电感器、电阻器、隔离器件等,它们形成在半导体衬底110的有源表面之中或之上。通常,FEOL工艺通常包括制备衬底110(或晶片)、形成隔离结构(例如,浅沟槽隔离)、形成器件阱、图案化栅极结构、形成间隔物、形成源极/漏极区域(例如,通孔注入)、在源极/漏极区域上形成硅化物接触、形成应力衬垫等。
FEOL/MOL结构120还包括在FEOL层上形成的MOL层。通常,MOL层包括PMD(预金属电介质层)和在PMD层中形成的导电触点(例如,通孔触点)。PMD层在FEOL层的组件和器件上形成。在PMD层中形成开口图案,并且使用诸如钨的导电材料填充开口,以形成与FEOL层的集成电路的器件端子(例如,源极/漏极区域、栅极触点等)电接触的导电通孔触点。MOL层的导电通孔触点提供FEOL层的集成电路与BEOL结构130的第一金属化层之间的电连接。
在FEOL/MOL结构120上形成BEOL结构130,以连接FEOL层的各种集成电路部件。如本领域中已知的,BEOL结构包括多层介电材料和嵌入到介电材料中的金属化层次。BEOL金属化包括水平布线、互连、焊盘等,以及以导电通孔形式的垂直布线,该导电通孔形成BEOL结构的不同互连级别之间的连接。BEOL制造工艺涉及多层电介质和金属材料的连续沉积和图案化,以在FEOL器件之间形成电连接网络,并提供与外部元件的I/O连接。
在图1A的示例实施例中,BEOL结构130包括第一互连级别140和第二互连级别150。第一互连级140被一般地描绘,并且可以包括一个或多个低k层间电介质(ILD)层和金属通孔和布线级别(例如,铜镶嵌结构)。在第一互连级别140和第二互连级别150之间形成覆盖层148。覆盖层148用于使第一互连级别140的金属化与ILD层151的介电材料绝缘。例如,覆盖层148用于改善互连可靠性并防止铜金属化扩散到第二互连级别150的ILD层151中。覆盖层148可包括任何合适的绝缘或介电材料,包括但不限于氮化硅(SiN)、碳化硅(SiC)、碳氮化硅(SiCN)、氢化碳化硅(SiCH)、包括相同或不同类型的介电材料的多层叠层等。可以使用标准沉积技术(例如,化学蒸汽沉积)沉积覆盖层148。覆盖层148可以形成为具有约2nm至约60nm的厚度。
第二互连级别150包括ILD层151和形成在ILD层151中的金属布线层152。ILD层151可以使用任何合适的介电材料形成,包括但不限于氧化硅(例如SiO2)),SiN(例如,(Si3N4)、氢化硅碳氧化物(SiCOH)、硅基低k电介质、多孔电介质或其他已知的ULK(超低k)电介质材料。ILD层151可以使用已知的沉积技术,例如ALD(原子层沉积)、CVD(化学气相沉积)、PECVD(等离子体增强CVD)或PVD(物理气相沉积)沉积。ILD层151的厚度将根据应用不同而变化,例如,可以具有约30nm至约200nm的厚度。
金属布线层152包括多个紧密间隔的金属线152-1、152-2、152-3、152-4、152-5和152-6,它们形成在沟槽/开口内,沟槽/开口在ILD层151中图案化并填充金属材料以形成金属线。沟槽/开口衬有保形衬垫层153,其用作阻挡扩散层以防止金属材料(例如,Cu)迁移到ILD层151中,以及用作粘附层以提供对金属材料(例如,用于填充ILD层151中的沟槽/开口并形成金属线152-1、...、152-6的Cu)的良好粘附性。
如图1A中进一步描绘的那样,第二互连层150还包括选择性地形成在金属线152-1、152-2、152-3、152-4、152-5和152-6的上表面上的保护帽154、保形地覆盖金属布线层152的保形绝缘衬垫155、以及使用夹断沉积技术沉积,以在金属线152-1、152-2、152-3、152-4、152-5和152-6之间形成气隙隔离物158的电介质覆盖层156。保护帽154和保形绝缘衬垫155用于保护金属布线152免受可能由后续处理步骤和环境条件引起的潜在结构损坏或污染。用于形成保护帽154和保形绝缘衬垫155的示例材料和方法将在下面参考图7-9进一步详细讨论。
作为减小金属布线层152的相邻金属线之间的寄生电容耦合的手段,气隙隔离物158形成在金属布线层152的金属线152-1、152-2、152-3、152-4、152-5和152-6之间的空间中。如下面进一步详细说明的,作为BEOL制造工艺的一部分执行电介质气隙集成工艺,其中蚀刻ILD层151的部分电介质材料以在布线层152的金属线金属线152-1、152-2、152-3、152-4、152-5和152-6之间形成空间。使用非保形沉积介电盖层156(例如,化学气相沉积)的沉积工艺以沉积介电材料,该介电材料在布线层152的金属线之间的空隙的上部上方形成“夹断”区域156-1,从而形成气隙隔离物158。如图1A所示,在本发明的一个实施例中,夹断区域156-1形成在金属布线层152的金属线152-1、...、152-6的上表面上方,如虚线1B-1B所示。在这方面,形成在金属线152-1、...、152-6之间的气隙隔离物158垂直地延伸到金属线152-1、...、152-6上方的介电覆盖层156中。
此外,在本发明的一个实施例中,如图1B所示,形成在金属线152-1、...、152-6之间的气隙隔离物158水平地延伸(例如,沿Y方向)经过相邻金属线的端部。特别地,图1B示出了金属布线层152的示例交叉梳状布局图案,其中金属线152-1、152-3和152-5的一端共同连接到细长金属线152-7,并且其中金属线152-2、152-4和152-6的一端连接到细长的金属线152-8。如图1B所示,气隙隔离物158水平地延伸超过金属线152-1、...、152-6的开口(未连接)端。与传统的气隙结构相比,图1A和1B中所示的气隙隔离物158的尺寸和形状提供了改进的TDDB可靠性,以及减的小金属线之间的电容耦合,其原因现在将参考图2A和2B进一步详细讨论。
图2A和2B示意性地示出了根据本发明实施例的与使用传统方法形成的气隙结构相比,使用夹断沉积方法形成的气隙结构实现的BEDB结构的金属线之间的TDDB可靠性和减小的电容耦合的改进。特别地,图2A示意性地示出了图1A的金属布线层152的一部分,其包括金属线152-1和152-2,以及通过使用根据本发明实施例的夹断沉积工艺形成介电盖层156而在金属线之间形成的气隙158。如图2A所示,金属线152-1和152-2以及相关的衬垫153形成为具有宽度W,并且间隔距离S。图2B示意性地示出了包括气隙168的半导体结构,气隙168沉积在具有与图2中相同的宽度W和间隔S的相同的两条金属线152-1和152-2之间。但是,其中通过使用传统的夹断沉积工艺形成介电盖层166来形成气隙168。
如图2A所示,“夹断”区域156-1形成在电介质盖层156中,使得气隙158在金属线152-1和152-2的上表面上方延伸。相反,如图2B所示,传统的夹断沉积工艺导致在金属线152-1和152-2的上表面下方的介电盖层166中形成夹断区域166-1,使得产生的气隙168不延伸到金属线152-1和152-2之上。此外,如图2A和2B所示,使用传统的夹断沉积工艺如图2B所示沉积在金属线152-1和152-2之间的空间中的侧壁和底表面上的介电材料的量明显大于使用根据本发明实施例的夹断沉积工艺如图2A所示沉积在金属线152-1和152-2之间的空间中的侧壁和底表面上的电介质材料的量。结果,图2A得到的气隙158的体积V1明显大于图2中所示的所得气隙168的体积V2。
与图2B所示的传统结构相比,与图2A中的结构相关的具有各种优点。例如,气隙158的较大体积V1(具有设置在金属线之间的空间中的较少介电材料)导致金属线152-1和金属线152-2之间的较小寄生电容(与如图2B的结构相比)。实际上,与图2B相比,在图2A中的金属线152-1和152-2之间的空间中具有降低的有效介电常数,因为在图2A的金属线152-1和152-2之间的空间中存在较少的介电材料和大的空气体积V1(k=1)。
另外,与图2B的结构相比,图2A的结构提供了改进的TDDB可靠性。特别地,如图2A所示,由于气隙158在金属线152-1和152-2上方延伸,所以在金属线152-1和152-2的关键界面之间存在长的扩散/传导路径P1(关键界面是介电盖层156与金属线152-1和152-2的上表面之间的界面)。这与图2B所示结构中金属线152-1和152-2的关键界面之间的介电盖层166中较短的扩散/导电路径P2形成对比。图2A或2B结构中的TDDB失效机制将由电介质材料的击穿以及由于电子隧穿电流而在金属线152-1和152-2的上表面之间形成穿过电介质材料的导电路径引起。与图2B所示的结构相比,图2A中所示结构中的扩散路径P1越长,图2A中结合可选地使用具有优异介电击穿强度的致密电介质垫片155材料,将提供改进的TDDB结构的可靠性。
此外,如图1B所示,气隙间隔件158通过金属线的端部水平延伸将进一步提高TDDB的可靠性并减少电容耦合,原因与参考图2A讨论的相同。特别地,如图1B所示,气隙158延伸经过金属线152-1的端部,例如,将在金属线152-1和相邻的金属线152-2的开口端处的关键界面之间提供长的扩散/传导路径。在图1B的替换实施例中,可以在细长金属线152-8与金属线152-1、152-2和152-5的相邻开口端之间形成气隙隔离物,并且可以在细长金属线152-7和金属线152-2,152-4和152-6的相邻开口端之间形成气隙隔离物,从而进一步优化TDDB可靠性并减少交叉梳状结构之间的电容耦合。
图3是根据本发明另一实施例的半导体器件的横截面示意侧视图,该半导体器件包括整体形成在半导体器件的BEOL结构内的气隙隔离物。特别地,图3示意性地示出了半导体器件100',其结构类似于图1A/1B中所示的半导体器件100,除了图3中所示的气隙隔离物158不会延伸超过金属布线层152的金属线的底面。利用这种结构,ILD层151将向下凹陷到金属线的底部水平(与凹陷在金属线底部下方相比,如图8所示,以形成图1A中所示的延伸气隙隔离物。)在本发明的其他实施例中,图1A和图3示出了具有第一和第二互连级别140和150的BEOL结构130,BEOL结构130可以具有在第二互连级别150上形成的一个或多个附加互连级。这样的附加互连级可以使用利用本文讨论的技术和材料的气隙间隔器形成。
制造图1A(和图3)的半导体器件100的方法参考图4至10更详细地讨论图,图4至10示意性地示出了处于各个制造阶段的半导体器件100。例如,图4是根据本发明的一个实施例的处于制造的中间阶段的半导体器件100的横截面示意图,其中在ILD层151中形成开口151-1的图案(例如,包括沟槽的镶嵌开口和通孔开口)。特别地,图4示意性地示出了在依次在衬底110的顶部上形成FEOL/MOL结构120、第一互连级别140、覆盖层148和ILD层151之后,在图案化ILD层151以形成ILD层151中的开口之后,在制造的中间阶段的图1A的半导体器件100。在沉积ILD层151之后,可以执行标准光刻和蚀刻工艺以蚀刻ILD层151中的开口151-1,其随后用金属材料填充以形成图1A的金属布线层152。应注意,虽然在ILD层151中未示出垂直通孔,但应理解,垂直通孔将存在于第二互连级别150中以提供到底层互连级别140中的金属化的垂直连接。
在图4中,开口151-1示出为具有宽度W并且间隔开距离S。在本发明的一个实施例中,在紧密间隔的金属线之间使用夹断沉积方法形成气隙间隔物的情况下,开口的宽度W(其中形成金属线)可以在约2nm至约25nm的范围内,优选的范围为约6nm至约10nm。此外,在一个实施例中,金属线之间的间距S可以在约2nm至约25nm的范围内,优选的范围为约6nm至约10nm。
示例性制造工艺中的下一个工艺模块包括使用如图5和6中示意性示出的工艺流程来形成图1A中所示的金属布线层152。特别地,图5是图4的半导体器件在沉积衬垫材料153A的保形层并在衬垫材料153A的保形层上沉积金属材料层152A以填充ILD层151中的开口151-1之后的横截面示意图。此外,图6是图5的半导体器件将半导体结构的表面平坦化到ILD层151以形成金属布线层152之后的横截面示意图。金属布线层152可以使用已知材料和已知技术形成。
例如,衬垫材料153A的保形层优选地被沉积以使用薄衬垫层给在ILD层151中的开口151-1的侧壁和底表面上安衬里。薄衬垫层可以通过保形地沉积一个或多个薄层材料,例如氮化钽(TaN)、钴(Co)、或钌(Ru)、或锰(Mn)或氮化锰(MnN))或适用于给定应用的其他衬里材料(或衬里材料的组合,例如Ta/TaN、TiN、CoWP、NiMoP、NiMoB)形成。薄衬垫层用于多种目的。例如,薄衬垫层用作阻挡扩散层,以防止金属材料(例如,Cu)迁移/扩散到ILD层151中。此外,薄衬垫层用作粘附层以对用于填充ILD层151中的开口151-1的金属材料层152A(例如,Cu)提供良好的粘附性。
在一个实施例中,金属材料层152A包括金属材料,例如铜(Cu)、铝(Al)、钨(W)、钴(Co)或钌(Ru),其中使用已知技术,例如电镀、化学镀、CVD,PVD或方法的组合进行沉积。在用导电材料填充ILD层151中的开口151-1之前,可以使用合适的沉积技术,例如ALD、CVD或PVD,可选地沉积(在保形衬垫层153A上)薄种子层(例如,Cu种子层)。种子层可以由增强在底层材料上的金属材料的粘附性的材料,并且在随后的电镀过程中用作催化材料形成。例如,可以使用PVD在衬底的表面上沉积薄的保形Cu籽晶层,然后电镀Cu以填充在ILD层151中形成的开口151-1(例如,沟槽和通孔),并且因此形成Cu金属化层152。然后,通过执行化学机械抛光工艺(CMP)来去除覆盖层衬垫、种子和金属化材料,以将半导体结构的表面平坦化到ILD层151,从而形成如图6所示的中间结构。
在本发明的一个实施例中,在执行CMP工艺之后,可以在金属线152-1、...、152-6的暴露表面上形成保护层,以保护金属化免受作为后续处理条件和环境的结果的潜在的损坏。例如,图7是根据本发明的一个实施例,在金属线152-1、...、152-6上形成保护帽154之后,图6的半导体器件的横截面示意图。在一个实施例中,对于铜金属化,可以使用选择性Co沉积工艺形成保护帽154,以选择性地在金属线152-1、...、152-6的暴露表面上沉积Co的薄覆盖层。在本发明的其他实施例中,保护帽154可以由其他材料形成,例如钽(Ta)或钌(Ru)。金属线152-1、...、152-6上的保护帽154是可选的特征,如果需要,当使用下文讨论的技术形成气隙隔离物和其他结构时,可选的特征可以允许更积极的蚀刻条件等。
制造工艺中的下一步骤包括使用如图8、9和10中示意性描绘的工艺流程在第二互连级别150中形成气隙间隔物。特别地,图8是根据本发明的一个实施例,在蚀刻ILD层151的暴露部分以在金属线152-1,...,152-6之间形成空间151-2之后,图7的半导体器件的横截面示意图。在一个实施例中,可以使用任何合适的掩模(例如,光致抗蚀剂掩模)和蚀刻技术(例如,RIE(反应离子蚀刻))来凹陷ILD层151的部分并形成空间151-2,如图8所示。例如,在一个实施例中,可使用基于氟的蚀刻剂的干蚀刻技术蚀刻掉ILD层151的介电材料以形成空间151-2。在一个实施例中,形成空间151-2使得ILD层151的凹陷表面在金属线152-1,...,152-6的底表面下方,如图8所示。在另一个实施例中,可以执行蚀刻工艺,使得空间151-2向下凹陷到金属布线152的底表面的水平(参见图3)。在金属布线152的金属线相隔较远的区域中,不去除ILD层151,因为假设宽间隔金属线之间的线间电容可以忽略不计。
该工艺的下一步骤包括在图8的半导体结构上沉积保形绝缘材料层,以形成保形绝缘衬垫155,如图9所示。保形绝缘衬垫155是可选的保护特征,其可以在夹断沉积工艺之前形成,以对ILD层151和金属布线层152的暴露表面提供额外的保护。例如,在图9所示的示例性实施例中,当保形衬垫层153为金属线152-1,...,152-6的侧壁提供一些保护时,保形绝缘衬垫155可以提供额外的保护以防止当金属线由铜形成并且衬垫层153不足以防止氧气从随后形成的气隙隔离物158扩散到金属线中时金属线152-1,......,152的氧化。实际上,当气隙隔离物158随后形成为具有近真空环境时,气隙隔离物158中仍存在一定水平的氧气,当衬里层153允许气隙隔离物158中的氧气残留以通过衬垫层153扩散到金属线时,这会导致铜金属线的氧化。
此外,保形绝缘衬垫155可以形成有一个或多个坚固的超薄介电材料层,其具有所需的电气和机械特性,例如低泄漏、高电击穿、疏水等,并且可以承受来自后续的半导体处理步骤的低损伤。例如,保形绝缘衬垫155可以由介电材料,例如SiN、SiCN、SiNO、SiCNO、SiBN、SiCBN、SiC、或具有如上所述的所需电气/机械特性的其他介电材料形成。在一个实施例中,形成保形绝缘衬垫155,其厚度在约0.5nm至约5nm的范围内。保形绝缘衬垫155可以由相同或不同电介质材料的多个保形层形成,其使用循环沉积工艺沉积。例如,在一个实施例中,保形绝缘衬垫155可以由多个SiN的薄保形层(例如,0.1nm-0.2nm厚的SiN层)形成,其顺序地沉积以形成具有总期望厚度的SiN衬垫层。
如图9所示,在形成保形绝缘衬垫155之后,金属布线层152的金属线之间的空间151-2显示为具有初始体积Vi。特别地,在形成保形绝缘衬垫155的一个实施例中,体积Vi由保形绝缘衬垫155的侧壁和底表面以及虚线L限定,虚线L表示在金属布线层152之上的保形绝缘衬垫155的上表面。在本发明的另一个实施例中,当没有形成保形绝缘衬垫155时,初始体积Vi将由衬垫层153的暴露表面,ILD层151的凹陷表面和金属布线层152的金属线的上表面限定。如下所述,根据本发明的一个实施例,在使用夹断的沉积方法形成气隙隔离物158之后,初始体积Vi的重要部分保留在金属线之间的空间151-2中。
制造工艺中的下一步骤包括在图9的半导体结构上使用夹断沉积工艺沉积介电材料以在金属布线层152的金属线之间的间隔物151-2中形成气隙隔离物158。图10根据本发明的实施例示意性地示出了使用非保形沉积工艺(例如,PECVD或PVD)沉积介电材料层156A,以使得夹断区域在金属布线层152的金属线之间的空间151-2之上开始形成沉积的介电材料156A的过程。图1A示出了在夹断沉积工艺完成时的半导体器件100,其中介电盖层156在介电盖层中使用夹断区156-1形成,并且气隙隔离物158在在金属布线层152的金属线之间的空间151-2中形成。
根据本发明的实施例,可以控制通过夹断沉积形成的气隙隔离物的结构特征(例如,尺寸、形状、体积等),例如,基于(i)用于形成介电覆盖层156的介电材料的类型,和/或(ii)用于执行夹断沉积的沉积工艺和相关的沉积参数(例如,气体流速、RF功率、压力、沉积速率等)。例如,在本发明的一个实施例中,通过低k电介质材料(例如,k在约2.0至约5.0的范围内)的PECVD沉积形成覆盖层158。这种低k介电材料包括但不限于SiCOH、多孔p-SiCOH、SiCN、富碳SiCNH、p-SiCNH、SiN、SiC等。SiCOH介电材料具有介电常数k=2.7,多孔SiCOH材料的介电常数约为2.3-2.4。在本发明的一个示例实施例中,通过使用具有以下沉积参数的工业平行板单晶片300mmCVD反应器的等离子体CVD沉积工艺沉积SiCN电介质膜来实施夹断沉积工艺:气体[三甲基硅烷(200-500标准立方厘米每分钟(sccm))和氨(300-800sccm)];射频功率[300-600瓦];压力[2-6托];沉积速率[0.5-5nm/sec]。
此外,可以控制PECVD沉积的电介质材料的保形水平,以实现在相邻金属线的表面上方或相邻金属线的表面下方的电介质覆盖层的“夹断”。在纵横比R为2(其中R=沟槽深度/沟槽开口)的沟槽上沉积的绝缘/电介质膜的术语“保形水平”在本文中定义为沉积在沟槽位置中间的侧壁上的绝缘/电介质膜的厚度除以沟槽位置顶部的绝缘/介电膜的厚度的比率。例如,在沟槽结构上沉积厚度为3nm的绝缘/介电膜的33%水平的保形性,其具有12nm的开口和24nm的深度(纵横比2)应该在沟槽中间的侧壁上厚度应为约1nm以及沟槽顶部3nm(保形水平=1nm/3nm~33%)。
例如,对于约40%或更小的保形水平,如图1A中所示的“夹断”区域156-1可以在金属布线层152的金属线上方的电介质盖层156中形成。这导致在金属布线层152的金属线上方延伸的气隙隔离物158的形成。另一方面,如果保形性水平大于约40%,则“夹断”区域将在金属布线层152的金属线的上表面下方的介电盖层中形成。这将导致不延伸到金属布线层152的金属线之上的气隙经间隔物的形成。
根据给定的应用和气隙/空气隔离物结构的尺寸,可以通过调节沉积工艺参数来实现PECVD沉积的介电材料的目标保形性水平。例如,对于PECVD介电材料,例如SiN、SiCN、SiCOH、多孔p-SiCOH和其他ULK介电材料,通过增加RF功率、增加压力和/或增加沉积速率(例如,增加前体材料的流速)可以获得较低水平的保形性。例如,如图1A和3所示,随着保形性水平降低,在金属线上方形成“夹断”区域,在空间151-2内的暴露侧壁和底表面上的具有最少的电介质材料沉积,导致形成大的、并且大容量的在金属布线层152的金属线上方延伸的空气间隙隔离物158。
应注意,已经制造了如图1A和3中所示的实验性BEOL测试结构,其中使用本文讨论的“夹断”沉积方法形成包含ULK材料(例如,SiCOH,多孔p-SiCOH)的非保形覆盖层(保形性小于40%)以获得在紧密间隔的间线之间的大的,大容量的气隙间隔物,其中如图1A和3所示,气隙间隔物在金属线上方延伸。此外,实验结果表明,这种非保形覆盖层的夹断沉积导致在金属线之间的空气隙的侧壁和底表面上非常少地介电材料沉积。特别地,假设金属线之间的空间151-2在形成覆盖层之前具有初始体积Vi(如图9所示),已经制造出实验性的BEOL测试结构,其中在使用如本文所述的非保形夹断沉积工艺形成气隙隔离物之后,产生的体积已经实现了约为nVi(其中n在约0.70至接近1.0的范围内)。
空气的介电常数约为1,这远小于用于形成保形绝缘衬垫155和介电覆盖层156的介电材料的介电常数。在这方面,使用本文所述的技术,严格控制的能力并且最小化沉积在金属布线层152的相邻金属线之间的空间151-2内的介电材料的量,使得能够通过降低有效介电常数(并且因此寄生电容)来优化BEOL结构的电性能。此外,使用ULK电介质材料执行夹断沉积以形成低k电介质盖层156和大体积气隙隔离物158的能力导致整体降低BEOL结构的有效介电常数(从而降低寄生电容)。
虽然上面讨论的本发明的示例性实施例示出了作为BEOL结构的一部分气隙间隔物的形成,但是可以应用类似的技术来形成作为FEOL/MOL结构的一部分以减少相邻FEOL/MOL结构之间的寄生耦合的气隙间隔物。例如,可以使用如现在将参考图11至图19进一步详细讨论的技术在FEOL/MOL结构中的MOL器件触点和垂直晶体管器件的金属栅极结构之间形成气隙间隔物。
图11是根据本发明的另一个实施例的半导体器件的侧视横截面示意图,该半导体器件包括在半导体器件的FEOL/MOL结构内整体形成的气隙间隔物。特别地,图11示意性地示出了半导体器件200,其包括衬底210/215以及形成在基板210/215上的多个垂直晶体管结构M1、M2、M3(参见图12),衬底210/215包括体衬底层210和绝缘层215(例如,SOI衬底的掩埋氧化物层),垂直晶体管结构M1、M2、M3具有标准结构框架,包括半导体鳍片220(在X方向沿衬底延伸)、外延生长的源极(S)/漏极(D)区域225、以及相应的金属栅极结构230-1、230-2和230-3。半导体鳍片220用作由相应的金属栅极结构230-1、230-2、230-3围绕的半导体鳍片220的区域中的垂直晶体管结构M1、M2、M3的垂直沟道。半导体鳍片220可以通过蚀刻/图案化形成在绝缘层215顶部的有源硅层(例如,SOI衬底的SOI层)来形成。半导体鳍片220未在图11中具体示出,但是半导体鳍片220的上表面在图11中用虚线表示(即,半导体鳍片220的沟道部分被栅极结构230-1、230-2和230-3覆盖,并且从栅极结构延伸的半导体鳍片220的部分被封装在生长在半导体鳍片220的暴露表面之上的外延材料中)。
在一个实施例中,金属栅极结构230-1、230-2和230-3各自包括形成在半导体鳍片220的垂直侧壁和上表面上的保形高k金属栅极堆叠结构,以及形成在高k金属栅堆叠结构上方的栅电极。每个保形高k金属栅极堆叠结构包括形成在半导体鳍片220的侧壁和上表面上的栅极电介质材料的保形层(例如,诸如HfO2、Al2O3等的高k电介质材料),以及形成在栅极介电材料的保形层上的金属功函数金属材料的保形层(例如,Zr、W、Ta、Hf、Ti、Al、Ru、Pa、TaN、TiN等)。形成在高k金属栅极堆叠结构上的栅电极材料包括低电阻导电材料,包括但不限于钨、铝或通常用于形成栅电极结构的任何金属或导电材料。
外延源极(S)/漏极(D)区域225包括外延生长在从金属栅极结构230-1、230-2、230-3延伸出的半导体鳍状结构220的暴露部分上的外延半导体材料(例如,SiGe,III-V化合物半导体材料等)。作为半导体器件200的MOL层的一部分形成多个MOL器件触点240/245,以提供到源/漏区225的垂直接触。每个MOL器件触点240/245包括衬垫/阻挡层240和导电通路245。
如图11中进一步所示,金属栅极结构230-1、230-2、230-3通过绝缘材料层234、250、260和气隙隔离物262与MOL触点240/245和其他周围结构电绝缘。绝缘材料层包括下侧壁间隔物234、保形绝缘衬垫250和介电覆盖层260。下侧壁间隔物234使金属栅极结构230-1、230-2、230-3与相邻的源极/漏极区域223电绝缘。保形绝缘衬垫250(其组成和功能与BEOL结构的保形绝缘衬垫155相似,图1A)保形地覆盖MOL器件触点240/245和金属栅极结构230-1,230-2、230-3的侧壁表面。保形绝缘衬垫250是可选的特征,其可以形成为保护MOL器件触点240/245和金属栅极结构230-1、230-2、230-3免受可能由后续处理步骤和环境条件引起的结构损坏或污染。
根据本发明的实施例,通过使用夹断沉积工艺沉积低k电介质材料来形成电介质覆盖层260,以使用低k电介质材料封装金属栅极结构230-1、230-2、230-3的上部区域,并且在金属栅极结构和MOL器件触点之间形成气隙隔离物262。用于制造气隙隔离物262的工艺流程将在下面进一步详细讨论。如图11所示,气隙隔离物262相对较大且体积较大,并且在金属栅极结构230-1、230-2、230-3上方垂直延伸。出于与上面所讨论的关于如图2A所示的BEOL气隙隔离物158类似的原因,图11所示的FEOL/MOL气隙隔离物262的尺寸和形状提供了改进的TDDB可靠性,以及MOL器件触点和金属栅极结构之间的电容耦合减小。
例如,大体积气隙隔离物262减小了金属栅极结构230-1、230-2、230-3与MOL器件触点240/245之间的空间中的有效介电常数。另外,如图11所示,由于气隙隔离物262在金属栅极结构230-1、230-2、230-3上方延伸,在金属栅极结构230-1、230-2、230-3的关键界面(关键界面是介电覆盖层260与金属栅极结构230-1、230-2、230-3的上表面之间的界面)和相邻的MOL器件触点240/245之间存在相对长的扩散/传导路径P。这样,图11中的气隙隔离物262用于增加FEOL/MOL半导体结构的TDDB可靠性。
图11还示出了在FEOL/MOL层上形成的BEOL结构的第一互连级别,其中第一互连级别包括ILD层270,以及形成在ILD层270中的、与相应的MOL器件触点240/245电接触的多个金属线272/274。通过蚀刻ILD层270中的开口(例如,沟槽或通孔),用阻挡衬垫层272衬里开口并使用已知技术用诸如铜的金属材料274填充开口,形成金属线272/274。
用于制造图11的半导体器件200的工艺流程,现在将参考图12和19更详细地讨论,图12至19示意性地示出了处于各个制造阶段的半导体器件200。首先,图12是处于制造的中间阶段的半导体器件200的横截面示意图,其中在半导体衬底210/215上形成垂直晶体管结构M1、M2和M3。在一个实施例中,衬底210/215包括SOI(绝缘体上硅)衬底,其中基础衬底210由硅或其他类型的半导体衬底材料形成,这些材料通常用于诸如锗、硅-锗合金、碳化硅、硅-锗碳化物合金或化合物半导体材料(例如III-V和II-VI)的体半导体制造工艺中。化合物半导体材料的非限制性实例包括砷化镓、砷化铟和磷化铟。绝缘层215(例如,氧化物层)设置在基础半导体基板210和有源半导体层(例如,有源硅层)之间,其中使用已知方法将有源半导体层图案化以制造半导体鳍状结构220。而且,可以使用已知方法在半导体鳍形结构220的暴露部分上外延生长外延源/漏区225。
如图12中进一步所示,金属栅极结构230-1、230-2和230-3被封装在包括绝缘覆盖层232和侧壁隔离物234的绝缘/介电材料结构中。.覆盖层232和侧壁隔离物234使用已知技术和绝缘材料(例如,SiN)制造。金属栅极结构230-1、230-2和230-3可以例如通过RMG(替代金属栅极)工艺形成,其中在形成外延源/漏区225之后,但在形成MOL器件触点之前,最初形成伪栅极结构,然后用金属栅极结构230-1、230-2,230-3代替。在图12的实施例中,假设已经完成RMG工艺,导致金属栅极结构230-1、230-2,230-3的形成,并且已经沉积并平坦化PMD(金属前电介质)层236,得到图12所示的结构。
通过在半导体器件的表面上沉积一层介电材料,然后将介电材料平面化到覆盖层232的上表面来形成PMD层236。可以用任何合适的绝缘/介电材料,例如氧化硅、氮化硅、氢化硅碳氧化物、硅基低k电介质、多孔电介质、或包括多孔有机电介质的有机电介质等形成PMD层236。PMD层236可以使用已知的沉积技术,例如ALD、CVD、PECVD、旋涂沉积或PVD,然后是标准平坦化工艺(例如,CMP)。
下一个处理模块包括使用如图13、14和15中示意性示出的处理流程来形成MOL设备触点。特别地,图13是图12的半导体器件在图案化PMD层236以在垂直晶体管结构M1、M2、M3的栅极结构230-1、230-2、230-3之间直到源极/漏极区域225形成接触开口236-1之后的横截面示意性侧视图。可以使用已知的蚀刻技术和蚀刻化学物质来形成开口236-1,以选择性地对覆盖层232和侧壁间隔物234的绝缘材料蚀刻PMD层236的材料。
接下来,图14是图13的半导体器件在半导体器件的表面上沉积保形衬垫层240A之后的横截面示意性侧视图。保形衬垫层240A可以包括诸如TaN等的材料,其用作用于填充开口236-1并形成MOL器件触点的金属材料的阻挡扩散层和/或粘合层。接下来,图15是图14的半导体器件在沉积一层金属材料以用导电材料245填充金属栅极结构230-1、230-2、230-3之间的接触开口236-1并将半导体器件的表面平坦化到栅极覆盖层232以去除覆盖层衬垫和导电材料,从而形成MOL器件触点240/245的横截面示意性侧视图。导电材料245可以包括铜、钨、钴、铝或其他适合用于形成到源/漏区和栅电极的垂直MOL器件触点的导电材料。
尽管未在图15中具体示出,MOL栅极触点可以在穿过PMD层236和覆盖层232向下到达金属栅极结构230-1、230-2和230-3的上表面的形成的开口中形成。应当理解,金属栅极结构230-1、230-2、230-3在Y-Y方向上延伸(如图11中所示的基于笛卡尔坐标系,在图纸平面内外),因此,如本领域普通技术人员所理解的,MOL栅极触点可以形成在PMD层236中,与金属栅极结构230-1、230-2、230-3的延伸端部对齐。
在形成MOL器件触点之后,下一个处理模块包括使用如图16-19中示意性示出的工艺流程在金属栅极结构和MOL器件触点之间形成气隙间隔物。该工艺的初始步骤包括蚀刻栅极覆盖层232和侧壁隔离物234。特别是,图16是图15的半导体器件在蚀刻掉栅极覆盖层232并使侧壁间隔物234向下凹陷到半导体鳍状结构220的上表面之后,从而在金属栅极结构230-1、230-2、230-3的侧壁和相邻的MOL设备触点240/245之间形成窄空间S的横截面侧视图。而图16的示例实施例示出了栅极覆盖层232被完全蚀刻掉,在替代实施例中,可以实施蚀刻工艺,使得蚀刻的栅极覆盖层232的薄层保留在金属栅极结构230-1、230-2、230-3的顶表面上。
接下来,图17是图16的半导体器件在沉积绝缘材料250A的保形层以在金属栅极结构230-1、230-2、230-3的暴露表面上和MOL器件触点240/245形成绝缘衬垫之后的横截面示意性侧视图。保形绝缘衬垫层250A是可选的保护特征,其出于与上述相同或相似的原因,可以在夹断沉积工艺之前形成,从而为金属栅极结构230-1、230-2、230-3的暴露表面和MOL设备触点240/245提供额外的保护。
此外,保形绝缘衬垫层250A可以由一个或多个坚固的超薄介电材料层形成,该介电材料具有所需的电气和机械特性,例如低泄漏、高电击穿、疏水等,并且可以承受后续半导体加工步骤造成的损坏。例如,保形绝缘衬垫层250A可以由例如SiN,SiCN,SiNO,SiCNO,SiC或其他具有如上所述的所需电气/机械特性的介电材料之类的介电材料形成。在一个实施例中,当间隔S(图16)在约4nm至约15nm的范围内时,形成的保形绝缘衬垫层250A的厚度在约1.0nm至约2nm的范围内,从而借助于相邻结构的侧壁上的衬垫层250A,将间隔S减小约2nm,至约4nm。
与上面讨论的BEOL实施例类似,保形绝缘衬垫层250A可以由使用循环沉积工艺沉积的相同或不同介电材料的多个保形层形成。例如,在一个实施例中,保形绝缘衬垫层250A可以由多个SiN的薄保形层形成,其顺序地沉积以形成具有总期望厚度的SiN衬垫层(例如,使用等离子体CVD或CVD工艺,硅烷和NH3循环沉积0.1nm-0.2nm厚的SiN层)。
制造工艺中的下一步骤包括在图17的半导体结构上使用夹断沉积工艺沉积介电材料以在金属栅极结构和MOL器件触点之间形成气隙隔离物。例如,图18是图17的半导体器件在使用非保形沉积工艺沉积一层介电材料260A以使得在金属栅极结构230-1、230-2、230-3和相邻的MOL设备触点240/245之间的狭窄空间中形成气隙隔离物262的夹紧区域形成之后的横截面示意性侧视图。如上所述,根据本发明的实施例,可以控制通过夹断沉积形成的气隙隔离物262的结构特征(例如,尺寸、形状、体积等),例如,基于(i)用于形成介电层260A的介电材料的类型,和/或(ii)用于执行夹断沉积的沉积工艺和相关的沉积参数(例如,气体流速、RF功率、压力、沉积速率等)。
例如,在本发明的一个实施例中,介电材料层260A通过低k电介质材料(例如,k在约2.0至约5.0的范围内)的PECVD沉积形成。这种低k介电材料包括但不限于SiCOH、多孔p-SiCOH、SiCN、SiNO、富碳SiCNH、p-SiCNH、SiN、SiC等。SiCOH介电材料具有介电常数k=2.7,多孔SiCOH材料的介电常数约为2.3-2.4。在本发明的一个示例实施例中,通过使用具有以下沉积参数的工业平行板单晶片300mmCVD反应器的等离子体CVD沉积工艺沉积SiN电介质膜来实现夹断沉积工艺:气体[硅烷(100-500sccm)和氨(200-1000sccm)];射频功率[200-600瓦];压力[1-8托];和沉积速率[0.5-8nm/sec]。
图19是图18的半导体器件在将半导体器件的表面平面化到MOL器件触点并沉积作为BEOL结构的第一互连级别的一部分的ILD层270之后的横截面示意性侧视图。图18的半导体结构可以使用标准CMP工艺平坦化,其中执行CMP工艺以去除覆盖层电介质材料260A和设置在MOL器件触点顶部上的绝缘衬垫层250A的部分,从而得到图19所示的结构。如图19所示,夹断沉积的电介质材料260A的剩余部分在金属栅极结构230-1、230-2、230-3和单独的绝缘衬垫250上形成单独的电介质覆盖结构260。尽管图11和19没有特别示出,在形成ILD层270之前,可以在平坦化的FEOL/MOL表面上形成另外的覆盖层,以使MOL器件触点的导电材料245与ILD层270的介电材料绝缘。
已经基于图11中示意性示出的半导体结构制造了实验测试结构,其中保形绝缘衬垫250由厚度为1nm、1.5nm、2nm和3nm的环状SiN膜形成,并且其中使用PECVDSiCN填充和具有k=2.7和2.4的PECVDULK膜执行夹断沉积。实验结果表明,可以获得大体积气隙隔离物(图11中示意性地示出的气隙隔离物262),其在金属栅极结构上方延伸。此外,实验结果已经证明,通过改变沉积工艺参数或用于夹断沉积的材料,可以针对不同应用优化气隙隔离物的尺寸、形状、体积等。
应当理解,本文所讨论的用于制造FEOL/MOL或BEOL层中的气隙间隔物的方法可以结合在用于制造具有各种模拟和数字电路或混合信号电路的半导体器件和集成电路的半导体处理流程中。特别地,集成电路带(dies)可以用各种器件制造,例如场效应晶体管、双极晶体管、金属氧化物半导体晶体管、二极管、电容器、电感器等。根据本发明的集成电路可以用于应用程序、硬件和/或电子系统。用于实现本发明的合适硬件和系统可以包括但不限于个人计算机、通信网络、电子商务系统、便携式通信设备(例如,蜂窝电话)、固态媒体存储设备、功能电路等。包含这种集成电路的系统和硬件被认为是这里描述的实施例的一部分。在给出本文提供的本发明的教导的情况下,本领域普通技术人员将能够想到本发明的技术的其他实现和应用。
尽管这里已经参考附图描述了示例性实施例,但是应该理解,本发明不限于那些精确的实施例,并且在不脱离所附权利要求的范围的情况下,本领域技术人员可以在其中进行各种其他改变和修改。

Claims (23)

1.一种方法,包括:
在衬底(210)上形成栅极结构(230)和源极/漏极触点(245),其中所述栅极结构(230)和所述源极/漏极触点(245)被设置为彼此相邻,在所述栅极结构(230)与所述源极/漏极触点(245)之间设置有侧壁间隔物(234);
对所述侧壁间隔物(234)进行蚀刻以暴露栅极结构侧壁和源极/漏极触点侧壁,在所述栅极结构(230)与所述源极/漏极触点(245)之间形成空间;
在所述栅极结构(230)与所述源极/漏极触点(245)之间的所述空间内形成保形绝缘衬垫层(250);以及
在形成所述保形绝缘衬垫层(250)之后,在所述栅极结构(230)和所述源极/漏极触点(245)之上沉积介电材料层(260),以在所述栅极结构(230)与所述源极/漏极触点(245)之间的所述空间中形成气隙(262),其中:
所述气隙(262)的上部被设置在所述栅极结构(230)的顶表面上方并且在所述源极/漏极触点(245)的顶表面下方;以及
所述气隙(262)的下部被设置在所述源极/漏极触点(245)的底表面下方。
2.根据权利要求1所述的方法,其中所述介电材料(260)包括SiCOH、多孔SiCOH、SiCN、SiNO、SiCNH、富碳SiCNH、SiC和SiN中的至少一种。
3.根据权利要求1所述的方法,其中所述介电材料(260)包括Si、C以及N。
4.根据权利要求1所述的方法,其中:
所述源极/漏极触点(245)与外延源极/漏极区域(225)相接触;以及
所述气隙(262)在所述外延源极/漏极区域(225)的顶表面上方和下方延伸。
5.根据权利要求4所述的方法,其中所述气隙(262)的部分在所述外延源极/漏极区域(225)与所述栅极结构(230)之间。
6.根据权利要求1所述的方法,其中:
所述源极/漏极触点(245)是在所述栅极结构(230)之后形成的;以及
所述源极/漏极触点(245)垂直延伸超过所述栅极结构(230)的顶表面。
7.根据权利要求1所述的方法,其中所述保形绝缘衬垫层(250)包括Si和N。
8.根据权利要求1所述的方法,其中所述保形绝缘衬垫层(250)包括多个层,所述多个层包括厚度约为0.1至0.2nm的Si和N。
9.根据权利要求1所述的方法,其中所述保形绝缘衬垫层(250)约为1-3nm厚。
10.根据权利要求7所述的方法,其中所述保形绝缘衬垫层(250)约为1-3nm厚。
11.根据权利要求8所述的方法,其中所述保形绝缘衬垫层(250)约为1-3nm厚。
12.根据权利要求1所述的方法,其中所述保形绝缘衬垫层(250)约为1nm厚。
13.根据权利要求1所述的方法,其中所述保形绝缘衬垫层(250)约为1.5nm厚。
14.根据权利要求7所述的方法,其中所述保形绝缘衬垫层(250)约为1nm厚。
15.根据权利要求7所述的方法,其中所述保形绝缘衬垫层(250)约为1.5nm厚。
16.根据权利要求8所述的方法,其中所述保形绝缘衬垫层(250)约为1nm厚。
17.根据权利要求8所述的方法,其中所述保形绝缘衬垫层(250)约为1.5nm厚。
18.根据权利要求1所述的方法,其中形成所述保形绝缘衬垫层(250)包括:沉积多个保形介电层以形成多层衬垫。
19.根据权利要求18所述的方法,其中所述保形绝缘衬垫层(250)约为0.5nm-5nm厚。
20.根据权利要求1所述的方法,其中所述源极/漏极触点(245)包括保形衬垫层(240),所述保形衬垫层(240)包括Ta;并且所述方法还包括:
在所述保形衬垫层(240)上沉积所述保形绝缘衬垫层(250)。
21.根据权利要求1所述的方法,其中所述方法还包括:
对所述介电材料(260)进行平坦化,使得所述介电材料(260)的顶表面与所述源极/漏极触点(245)的顶表面基本上共面。
22.根据权利要求1所述的方法,其中所述蚀刻包括:对所述侧壁间隔物(234)的蚀刻超过所述源极/漏极触点(245)的底表面,以将所述空间延伸超过所述源极/漏极触点(245)的底表面。
23.根据权利要求1所述的方法,其中沉积所述介电材料层(260)包括:在所述栅极结构(230)与所述源极/漏极触点(245)之间沉积所述介电材料(260)的部分。
CN202311296358.9A 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造 Pending CN117423678A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/232,341 2016-08-09
US15/232,341 US9892961B1 (en) 2016-08-09 2016-08-09 Air gap spacer formation for nano-scale semiconductor devices
PCT/IB2017/054419 WO2018029556A1 (en) 2016-08-09 2017-07-21 Air gap spacer formation for nano-scale semiconductor devices
CN201780041377.3A CN109478534B (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201780041377.3A Division CN109478534B (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造

Publications (1)

Publication Number Publication Date
CN117423678A true CN117423678A (zh) 2024-01-19

Family

ID=61147503

Family Applications (5)

Application Number Title Priority Date Filing Date
CN202311296358.9A Pending CN117423678A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN202311293859.1A Pending CN117558708A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN202311293833.7A Pending CN117410270A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN201780041377.3A Active CN109478534B (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN202311301838.XA Pending CN117457623A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造

Family Applications After (4)

Application Number Title Priority Date Filing Date
CN202311293859.1A Pending CN117558708A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN202311293833.7A Pending CN117410270A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN201780041377.3A Active CN109478534B (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造
CN202311301838.XA Pending CN117457623A (zh) 2016-08-09 2017-07-21 纳米尺度的半导体器件的气隙间隔物构造

Country Status (6)

Country Link
US (5) US9892961B1 (zh)
JP (2) JP7102389B2 (zh)
CN (5) CN117423678A (zh)
DE (1) DE112017003172T5 (zh)
GB (1) GB2567363B (zh)
WO (1) WO2018029556A1 (zh)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US9608065B1 (en) 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10319627B2 (en) * 2016-12-13 2019-06-11 Globalfoundries Inc. Air-gap spacers for field-effect transistors
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US9941211B1 (en) * 2017-03-24 2018-04-10 International Business Machines Corporation Reducing metallic interconnect resistivity through application of mechanical strain
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10670641B2 (en) * 2017-08-22 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor test device and manufacturing method thereof
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10236358B1 (en) * 2017-10-16 2019-03-19 Globalfoundries Inc. Integration of gate structures and spacers with air gaps
US10600684B2 (en) * 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
WO2019138924A1 (ja) * 2018-01-11 2019-07-18 ソニーセミコンダクタソリューションズ株式会社 半導体装置およびその製造方法、並びに電子機器
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US10388770B1 (en) * 2018-03-19 2019-08-20 Globalfoundries Inc. Gate and source/drain contact structures positioned above an active region of a transistor device
US11404536B2 (en) * 2018-03-30 2022-08-02 Intel Corporation Thin-film transistor structures with gas spacer
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10490447B1 (en) 2018-05-25 2019-11-26 International Business Machines Corporation Airgap formation in BEOL interconnect structure using sidewall image transfer
US10347643B1 (en) * 2018-06-07 2019-07-09 Micron Technology, Inc. Methods of forming integrated assemblies having dielectric regions along conductive structures
CN108550564A (zh) * 2018-06-12 2018-09-18 长江存储科技有限责任公司 形成导电互连结构的方法、导电互连结构以及三维存储器
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10665499B2 (en) * 2018-06-28 2020-05-26 Intel Corporation Integrated circuit with airgaps to control capacitance
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US10483375B1 (en) * 2018-07-17 2019-11-19 International Business Machines Coporation Fin cut etch process for vertical transistor devices
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US10573753B1 (en) * 2018-09-10 2020-02-25 Globalfoundries Inc. Oxide spacer in a contact over active gate finFET and method of production thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US20200194301A1 (en) * 2018-12-12 2020-06-18 United Microelectronics Corp. Metal interconnection and forming method thereof
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US10923388B2 (en) * 2019-01-18 2021-02-16 Globalfoundries U.S. Inc. Gap fill void and connection structures
US10910273B2 (en) 2019-02-25 2021-02-02 International Business Machines Corporation Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
CN111696867B (zh) * 2019-03-15 2023-09-19 中芯国际集成电路制造(上海)有限公司 半导体结构及形成方法
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
CN110148583B (zh) * 2019-05-14 2021-06-18 上海华虹宏力半导体制造有限公司 形成金属互连结构的方法
US10879379B2 (en) 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11152486B2 (en) * 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11094794B2 (en) * 2019-09-27 2021-08-17 Globalfoundries U.S. Inc. Air spacer structures
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112750753B (zh) * 2019-10-29 2022-06-03 长鑫存储技术有限公司 半导体器件及其制作方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11133248B2 (en) * 2019-11-11 2021-09-28 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor structure and method for fabricating the same
US11127678B2 (en) 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11901220B2 (en) * 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN113013100A (zh) * 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 用于形成半导体器件的方法
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US10991651B1 (en) * 2020-03-03 2021-04-27 Nanya Technology Corporation Interconnection structure having reduced capacitance and method of manufacturing the same
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
CN113497144A (zh) * 2020-04-01 2021-10-12 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US11621188B2 (en) * 2020-04-13 2023-04-04 Nanya Technology Corporation Method for fabricating a semiconductor device with air gaps
US11335638B2 (en) * 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11264390B2 (en) * 2020-04-16 2022-03-01 Nanya Technology Corporation Semiconductor memory device with air gaps between conductive features and method for preparing the same
US11355435B2 (en) 2020-04-24 2022-06-07 Nanya Technology Corporation Semiconductor device with air gaps
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
DE102020133440B4 (de) * 2020-05-29 2024-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dielektrische Finnen mit Luftspalt und selbstjustiertem Rückseitenkontakt und zugehörige Herstellungsverfahren
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
CN113948401A (zh) 2020-07-16 2022-01-18 长鑫存储技术有限公司 半导体结构及其形成方法
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11978661B2 (en) 2020-12-11 2024-05-07 Globalfoundries U.S. Inc. Ultralow-K dielectric-gap wrapped contacts and method
CN113161295B (zh) * 2021-04-07 2022-04-12 长鑫存储技术有限公司 半导体器件及其制备方法
CN113611655A (zh) * 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法
US20230016154A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with interconnect structure having air gap
US11876117B2 (en) * 2021-10-18 2024-01-16 International Business Machines Corporation Field effect transistor with reduced parasitic capacitance and resistance

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL9101692A (nl) 1991-10-08 1993-05-03 Arnold Cornelis Maria Van Lind Kussenachtig orgaan voor buikoperaties.
US5924006A (en) * 1994-11-28 1999-07-13 United Microelectronics Corp. Trench surrounded metal pattern
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5736446A (en) 1997-05-21 1998-04-07 Powerchip Semiconductor Corp. Method of fabricating a MOS device having a gate-side air-gap structure
TW393693B (en) 1997-07-26 2000-06-11 United Microelectronics Corp MOS device with air-gap spacers and its manufacturing method
US6346484B1 (en) 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
JP2002231941A (ja) * 2001-02-06 2002-08-16 Hitachi Ltd 半導体集積回路装置
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP2005136152A (ja) * 2003-10-30 2005-05-26 Renesas Technology Corp 半導体装置の製造方法
US7122462B2 (en) 2003-11-21 2006-10-17 International Business Machines Corporation Back end interconnect with a shaped interface
JP2006135069A (ja) * 2004-11-05 2006-05-25 Elpida Memory Inc 半導体装置およびその製造方法
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7442597B2 (en) * 2005-02-02 2008-10-28 Texas Instruments Incorporated Systems and methods that selectively modify liner induced stress
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
US7485540B2 (en) 2005-08-18 2009-02-03 International Business Machines Corporation Integrated BEOL thin film resistor
US7348280B2 (en) 2005-11-03 2008-03-25 International Business Machines Corporation Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions
JP2007200972A (ja) * 2006-01-24 2007-08-09 Nec Electronics Corp 半導体装置およびその製造方法
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
US7534696B2 (en) 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080265377A1 (en) * 2007-04-30 2008-10-30 International Business Machines Corporation Air gap with selective pinchoff using an anti-nucleation layer
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US20090075470A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
US7879683B2 (en) 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP2009123743A (ja) * 2007-11-12 2009-06-04 Panasonic Corp 半導体装置の製造方法
JP2009188250A (ja) * 2008-02-07 2009-08-20 Panasonic Corp 半導体装置及びその製造方法
US7943480B2 (en) 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure
KR101564052B1 (ko) 2009-05-11 2015-10-28 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US7790601B1 (en) 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
US7939446B1 (en) * 2009-11-11 2011-05-10 International Business Machines Corporation Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8232618B2 (en) * 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
US8492270B2 (en) * 2010-09-20 2013-07-23 International Business Machines Corporation Structure for nano-scale metallization and method for fabricating same
KR101164972B1 (ko) * 2010-12-31 2012-07-12 에스케이하이닉스 주식회사 에어갭 스페이서를 구비한 반도체장치 및 그 제조 방법
JP5665557B2 (ja) * 2011-01-14 2015-02-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8735279B2 (en) 2011-01-25 2014-05-27 International Business Machines Corporation Air-dielectric for subtractive etch line and via metallization
JP5734757B2 (ja) * 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
KR101842903B1 (ko) * 2011-09-20 2018-05-14 에이씨엠 리서치 (상하이) 인코포레이티드 에어 갭 상호연결 구조의 형성 방법
US8604618B2 (en) 2011-09-22 2013-12-10 International Business Machines Corporation Structure and method for reducing vertical crack propagation
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US9330963B2 (en) * 2011-12-20 2016-05-03 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20130333923A1 (en) * 2012-06-13 2013-12-19 International Business Machines Corporation MODULATED COMPOSITIONAL AND STRESS CONTROLLED MULTILAYER ULTRATHIN CONFORMAL SiNx DIELECTRICS USED IN NANO DEVICE FABRICATION
KR101921465B1 (ko) * 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
CN103715134B (zh) * 2012-09-29 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102001511B1 (ko) 2012-12-26 2019-07-19 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9231106B2 (en) * 2013-03-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with an asymmetric source/drain structure and method of making same
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US10269634B2 (en) * 2013-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having voids and method of forming same
KR102092863B1 (ko) * 2013-12-30 2020-03-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9449811B2 (en) 2014-03-12 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap scheme for BEOL process
KR102414130B1 (ko) * 2014-04-01 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 집적된 금속 스페이서 및 에어 갭 인터커넥트
JP6249888B2 (ja) * 2014-06-19 2017-12-20 ルネサスエレクトロニクス株式会社 半導体装置
US9991200B2 (en) * 2014-09-25 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
US9748311B2 (en) * 2014-11-07 2017-08-29 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9312383B1 (en) * 2015-08-12 2016-04-12 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
US10483160B2 (en) * 2015-09-23 2019-11-19 Intel Corporation Ultra thin helmet dielectric layer for maskless air gap and replacement ILD processes
US20170365504A1 (en) * 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US10032711B2 (en) * 2016-07-25 2018-07-24 International Business Machines Corporation Integrating metal-insulator-metal capacitors with air gap process flow
US9831346B1 (en) * 2016-07-27 2017-11-28 GlobalFoundries, Inc. FinFETs with air-gap spacers and methods for forming the same
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices

Also Published As

Publication number Publication date
CN109478534A (zh) 2019-03-15
US9892961B1 (en) 2018-02-13
CN117410270A (zh) 2024-01-16
US20180047617A1 (en) 2018-02-15
CN117457623A (zh) 2024-01-26
US20180047615A1 (en) 2018-02-15
GB2567363A (en) 2019-04-10
US20180261494A1 (en) 2018-09-13
US10418277B2 (en) 2019-09-17
WO2018029556A1 (en) 2018-02-15
CN109478534B (zh) 2023-10-27
GB201901614D0 (en) 2019-03-27
JP7102389B2 (ja) 2022-07-19
DE112017003172T5 (de) 2019-03-28
US10115629B2 (en) 2018-10-30
JP2019527933A (ja) 2019-10-03
US20190267279A1 (en) 2019-08-29
JP2022140451A (ja) 2022-09-26
CN117558708A (zh) 2024-02-13
US11658062B2 (en) 2023-05-23
GB2567363B (en) 2019-08-28
US20240079266A1 (en) 2024-03-07

Similar Documents

Publication Publication Date Title
CN109478534B (zh) 纳米尺度的半导体器件的气隙间隔物构造
US10535555B2 (en) Contact plugs and methods forming same
US10515961B2 (en) Semiconductor device and a method for fabricating the same
US9355935B2 (en) Connecting through vias to devices
US11164776B2 (en) Metallic interconnect structure
CN115332166A (zh) 具有自形成扩散阻挡层的低电阻率金属互连结构
CN113394273A (zh) 半导体元件
TW201913837A (zh) 半導體裝置和其製造方法
US20230361039A1 (en) Interconnect Structure and Method of Forming Thereof
US10211155B2 (en) Reducing metallic interconnect resistivity through application of mechanical strain
US20240030066A1 (en) Self-aligned metal gate for multigate device and method of forming thereof
CN113053803A (zh) 用于金属化的双层衬里
US9853025B1 (en) Thin film metallic resistors formed by surface treatment of insulating layer
KR102545432B1 (ko) 인터커넥트 구조 및 방법
KR20210086471A (ko) 금속화를 위한 이중층 라이너
TWI785470B (zh) 互連結構、半導體結構以及製造半導體結構的方法
US20240120369A1 (en) High density trench capacitor
US20220384334A1 (en) Interconnect structure and method
US20220359376A1 (en) Integrated circuit structure and method for forming the same
TW202310180A (zh) 互連結構

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination