DE102014108872B4 - Selbstausgerichtete Verbindung mit Schutzschicht - Google Patents

Selbstausgerichtete Verbindung mit Schutzschicht Download PDF

Info

Publication number
DE102014108872B4
DE102014108872B4 DE102014108872.7A DE102014108872A DE102014108872B4 DE 102014108872 B4 DE102014108872 B4 DE 102014108872B4 DE 102014108872 A DE102014108872 A DE 102014108872A DE 102014108872 B4 DE102014108872 B4 DE 102014108872B4
Authority
DE
Germany
Prior art keywords
layer
contact plug
dielectric layer
contact
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014108872.7A
Other languages
English (en)
Other versions
DE102014108872A1 (de
Inventor
Yu-Chan Yen
Ching-Feng Fu
Chia-Ying Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014108872A1 publication Critical patent/DE102014108872A1/de
Application granted granted Critical
Publication of DE102014108872B4 publication Critical patent/DE102014108872B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7812Vertical DMOS transistors, i.e. VDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

Integrierte Schaltkreisstruktur, die Folgendes umfasst:eine erste Zwischendielektrikumsschicht (34);einen Gate-Stapel (26C) in der ersten Zwischendielektrikumsschicht (34);eine zweite Zwischendielektrikumsschicht (46) über der ersten Zwischendielektrikumsschicht (34);einen ersten Kontaktstöpsel (52B) in der zweiten Zwischendielektrikumsschicht (46);eine dielektrische Schutzschicht (50) auf entgegengesetzten Seiten von und in Kontakt mit dem ersten Kontaktstöpsel (52B), wobei der erste Kontaktstöpsel (52B) und die dielektrische Schutzschicht (50) in der zweiten Zwischendielektrikumsschicht (46) liegen;eine dielektrische Deckschicht (56) über und in Kontakt mit dem ersten Kontaktstöpsel (52B);eine dritte Zwischendielektrikumsschicht (58) über der zweiten Zwischendielektrikumsschicht (46); undeinen zweiten Kontaktstöpsel (64A), der sich von einer oberen Fläche der dritten Zwischendielektrikumsschicht (58) zu einer unteren Fläche der zweiten Zwischendielektrikumsschicht (46) erstreckt, wobei der zweite Kontaktstöpsel (64A) mit dem Gate-Stapel (26C) elektrisch verbunden ist; undwobei die dielektrische Schutzschicht (50) und die dielektrische Deckschicht (56) den ersten Kontaktstöpsel (52B) von dem zweiten Kontaktstöpsel (64A) elektrisch isolieren.

Description

  • HINTERGRUND
  • Bei der Weiterentwicklung der Herstellungstechnologie von integrierten Schaltungen wurden integrierte Schaltungen immer kleiner. Die integrierten Schaltungen sind durch leitende Merkmale verbunden, wie Metallleitungen, Durchkontaktierungen und Kontaktstöpsel, um funktionale Schaltungen auszubilden. Im Ergebnis wurden die Abstände zwischen den leitenden Merkmalen auch kleiner.
    Aus der US 6033584 A ist ein Verfahren zur Ausbildung von Verbindungsstrukturen aus Kupfer bekannt, bei dem Kupferoxid auf Oberflächen des Kupfers mittels eines Wasserstoff-Plasmas entfernt wird.
    Die US 2010/0314768 A1 beschreibt eine integrierte Schaltung, die eine strukturierte anti-reflektive Beschichtung und eine strukturierte dielelektrische Zwischenschicht umfasst, die eine Öffnung über einer leitenden Schicht definieren.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht im Maßstab gezeichnet sind. In Wirklichkeit können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 bis 12 zeigen Schnittansichten von Zwischenstufen beim Ausbilden von Verbindungsstrukturen, die Kontaktstöpsel umfassen, in Übereinstimmung mit manchen Ausführungsformen; und
    • 13 zeigt eine Draufsicht des Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen; und
    • 14 zeigt einen Verfahrensablauf zum Ausbilden einer Verbindungsstruktur, in Übereinstimmung mit manchen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die Erfindung betrifft eine integrierte Schaltkreisstruktur mit den Merkmalen des Anspruchs 1, eine integrierte Schaltkreisstruktur mit den Merkmalen des Anspruchs 8 und ein Verfahren mit den Merkmalen des Anspruchs 13. Bevorzugte Ausführungsformen sind in den abhängigen Ansprüchen angegeben, Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmals ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und erzwingt als solche keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und Ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Es ist eine Verbindungsstruktur, die Kontaktstöpsel umfasst, und das Verfahren zum Ausbilden derselben vorgesehen, in Übereinstimmung mit verschiedenen beispielhaften Ausführungsformen. Die Zwischenstufen zum Ausbilden der Verbindungsstruktur sind gezeigt. Die Varianten der Ausführungsformen sind beschrieben. Überall in den verschiedenen Ansichten und beispielhaften Ausführungsformen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen.
  • 1 bis 12 zeigen die Schnittansichten von Zwischenstufen beim Ausbilden einer Verbindungsstruktur, in Übereinstimmung mit manchen Ausführungsformen. Die Schritte, die in 1 bis 12 gezeigt sind, sind auch schematisch in dem Verfahrensablauf 200 gezeigt, der in 14 gezeigt ist. In der folgenden Beschreibung werden die Verfahrensschritte in den 1 bis 12 so beschrieben, dass auf die Verfahrensschritte in 14 Bezug genommen wird.
  • 1 zeigt einen Wafer 100, der ein Halbleitersubstrat 20 und die Merkmale umfasst, die auf einer oberen Fläche des Halbleitersubstrats 20 ausgebildet sind. In Übereinstimmung mit manchen Ausführungsformen umfasst das Halbleitersubstrat 20 kristallines Silizium, kristallines Germanium, Silizium-Germanium, einen III-V-Verbindungshalbleiter und/oder Ähnliches. Das Halbleitersubstrat 20 kann auch ein Bulk-Siliziumsubstrat oder ein Silizium-auf-Isolator-(SOI)-Substrat sein. Ein oder mehrere Grabenisolier-(STI)-Bereiche 22 können in dem Halbleitersubstrat 20 ausgebildet sein, um die aktiven Bereiche in dem Halbleitersubstrat 20 zu isolieren.
  • Eine Mehrzahl von Gatestapeln 26 (einschließlich 26A, 26B, 26C, 26D und 26E) sind über dem Halbleitersubstrat 20 ausgebildet. In Übereinstimmung mit manchen Ausführungsformen sind die Gatestapel 26 Ersetzungs-Gates (engl. „replacement gates“), die ausgebildet werden, indem Hilfs-Gatestapel (nicht gezeigt) ausgebildet werden und dann die Hilfs-Gatestapel durch Ersetzungs-Gates ersetzt werden. Somit umfasst jeder der Gatestapel 26 ein Gate-Dielektrikum 28 und eine Gate-Elektrode 30 über dem Gate-Dielektrikum 28. Die Gate-Dielektrika 28 umfassen weiter untere Abschnitte, die unter den zugehörigen Gate-Elektroden 30 liegen, und SeitenwandAbschnitte auf den Seitenwänden der zugehörigen Gate-Elektroden 30. In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung umfassen die Gate-Dielektrika 28 Siliziumoxid, Siliziumnitrid, ein High-k-Dielektrikum wie Hafniumoxid, Lanthanoxid, Aluminiumoxid, Kombinationen daraus und/oder Mehrschichtstrukturen daraus. Die Gate-Elektroden 30 können Metall-Gates sein, einschließlich beispielsweise TiAl, Kobalt, Aluminium, Titannitrid, Tantalnitrid etc. und können mehrere Schichten aus unterschiedlichen Materialien umfassen. Abhängig davon, ob die entsprechenden Transistoren, die die Gate-Elektroden 30 umfassen, p-Metalloxid-Halbleiter-(PMOS)-Transistoren oder n-Metalloxid-Halbleiter-(NMOS)-Transistoren sind, sind die Materialien der Gate-Elektroden 30 so ausgewählt, dass sie Austrittsarbeiten haben, die für die zugehörigen MOS-Transistoren geeignet sind. Gate-Abstandhalter 32 sind auf den Seitenwänden der Gatestapel 26 ausgebildet. Die Gate-Abstandhalter können Siliziumoxid, Siliziumnitrid etc. umfassen.
  • Wie in 1 gezeigt ist, sind manche der Gatestapel, etwa die Gatestapel 26A, 26B, 26D und 26E, durch dielektrische Schichten 36 bedeckt. Diese Abschnitte der Gatestapel können als Routing-Leitungen dienen und können Transistoren in der Ebene bilden, die von 1 gezeigt ist, oder in den Ebenen, die nicht in 1 gezeigt sind. In Übereinstimmung mit manchen Ausführungsformen umfassen die dielektrischen Schichten 36 ein Dielektrikum, etwa Siliziumoxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxinitrid oder Ähnliches. Andere Gate-Stapel, etwa der Gate-Stapel 26C, sind mit leitenden Schichten 38 bedeckt. Man beachte, dass auf dem Gate-Stapel 26C auch dielektrische Schichten 36 ausgebildet sein können, wobei die leitende Schicht 38 in einer Öffnung der zugehörigen darüber liegenden dielektrischen Schicht 36 ausgebildet ist. Da jedoch die dielektrische Schicht 36, die den Gate-Stapel 26C überlappt, nicht in der gleichen Ebene liegt, die in 1 gezeigt ist, ist die zugehörige dielektrische Schicht 36 nicht in 1 gezeigt.
  • Eine dielektrische Zwischenschicht (ILD) 34 ist über dem Halbleitersubstrat 20 ausgebildet und füllt den Raum zwischen den Gate-Stapeln 26 und den Gate-Abstandhaltern 30. Daher sind die ILD 34 und die Gate-Stapel 26 auf der gleichen Ebene ausgebildet. In dieser Beschreibung wird die ILD 34 auch als ILD0 34 bezeichnet. In manchen beispielhaften Ausführungsformen umfasst die ILD0 34 Phosphorsilikatglas (PSG), Borsilikatglas (BSG), Bor-dotiertes Phosphorsilikatglas (BPSG), Fluor-dotiertes Silikatglas (FSG), Tetraethylorthosilikat (TEOS) oder Ähnliches.
  • Source- und Drain-Bereiche (im Folgenden als Source/Drain-Bereiche bezeichnet) 24 der MOS-Transistoren sind in dem Halbleitersubstrat 20 ausgebildet. In Übereinstimmung mit manchen Ausführungsformen umfassen die Source/Drain-Bereiche 24 p-Unreinheiten oder n-Unreinheiten, abhängig davon, ob der zugehörige Transistor ein p-MOS-Transistor oder ein n-MOS-Transistor ist. Die Source/Drain-Bereiche 24 können SiP umfassen, wenn der zugehörige Transistor ein n-MOS-Transistor ist, oder SiGe, wenn der zugehörige Transistor ein p-MOS-Transistor ist. Das Ausbilden der Source/Drain-Bereiche 24 kann das Ausbilden von Vertiefungen in dem Halbleitersubstrat 20 und das epitaktische Aufwachsen der Source/Drain-Bereiche 24 in den Vertiefungen umfassen. In manchen beispielhaften Ausführungsformen bilden der Gate-Stapel 26D und die Source/Drain-Bereiche 24 auf den entgegengesetzten Seiten des Gate-Stapels 26D einen Transistor.
  • Source/Drain-Kontaktstöpsel 42 (einschließlich 42A und 42B) sind in der ILD0 34 ausgebildet. Die oberen Flächen der Source/Drain-Kontaktstöpsel 42 können koplanar oder im Wesentlichen koplanar mit der oberen Fläche der dielektrischen Schichten 36 und der ILD0 34 sein. Obwohl 1 schematisch zeigt, dass die Source/Drain-Kontaktstöpsel 42 in Kontakt mit den Gate-Abstandhaltern 32 sind, können die Source/Drain-Kontaktstöpsel 42 in einem Abstand von den Gate-Abstandhaltern 32 durch die ILD0 34 angeordnet sein. In Übereinstimmung mit manchen Ausführungsformen sind die Source/Drain-Kontaktstöpsel 42 aus Wolfram, Kupfer, Aluminium oder Legierungen daraus ausgebildet. Die Source/Drain-Kontaktstöpsel 42 können auch eine Haft-/Sperrschicht (nicht gezeigt) umfassen, die aus Titan, Titannitrid, Tantal, Tantalnitrid oder Ähnlichem ausgebildet ist. Die Source/Drain-Kontaktstöpsel 42 sind elektrisch mit den zugehörigen darunter liegenden Source/Drain-Bereichen 24 verbunden. Source/Drain-Silizidbereiche (nicht gezeigt) können zwischen und in Kontakt mit den Source/Drain-Bereichen 24 und den zugehörigen darüber liegenden Source/Drain-Kontaktstöpseln 42A und 42B ausgebildet sein.
  • 13 zeigt eine Draufsicht der Struktur einschließlich der Gate-Stapel 26 und der Source/Drain-Kontaktstöpsel 42A und 42B, in Übereinstimmung mit manchen Ausführungsformen. In den gezeigten Ausführungsformen sind die Gate-Stapel 26 als Streifen parallel zu einander ausgebildet. Die parallelen Gate-Stapel 26 können einen einheitlichen Mittenabstand und einen einheitlichen Abstand haben. Die Source/Drain-Kontaktstöpsel 42A und 42B sind als Schlitz-Kontaktstöpsel gezeigt, die Streifen mit Längen sind, die wesentlich größer als die entsprechenden Breiten sind. Die Schlitz-Kontaktstöpsel können auch als Routing-Leitungen verwendet werden, zusätzlich zu der Funktion des Verbindens mit den Source- und Drain-Bereichen 24 (1). In alternativen Ausführungsformen können in der Draufsicht die Source/Drain-Kontaktstöpsel 42A und 42B auch Längen und Breiten haben, die nahe bei einander liegen.
  • Bezieht man sich wieder auf den Verfahrensschritt, der in 1 gezeigt ist, ist eine Ätzstopp-Schicht 44 über den Gate-Stapeln 26, den Source/Drain-Kontaktstöpseln 42 und der ILD0 34 ausgebildet. Die Ätzstopp-Schicht 44 kann Siliziumkarbid, Siliziumoxinitrid, Siliziumkarbonitrid oder Ähnliches umfassen. Eine ILD 46 (im Folgenden als ILD1 46 bezeichnet) ist über der Ätzstopp-Schicht 44 ausgebildet. In Übereinstimmung mit manchen Ausführungsformen umfasst die ILD1 46 ein Material, das aus PSG, BSG, PBSG, FSG, TEOS oder anderen nicht-porösen Low-k-Dielektrika ausgewählt ist. Die ILD1 46 und die ILD0 34 können aus den gleichen oder unterschiedlichen Materialien ausgebildet sein und können aus der gleichen Menge von Kandidatenmaterialien ausgewählt sein. Die ILD1 46 kann mittels Rotationsbeschichtung, fließfähiger chemischer Gasphasenabscheidung (FCVD) oder Ähnlichem ausgebildet werden. In alternativen Ausführungsformen der vorliegenden Offenbarung kann die ILD1 46 mittels eines Abscheidungsverfahrens wie CVD im Plasma (PECVD), Niederdruck-CVD (LPCVD) oder Ähnlichem ausgebildet werden.
  • Bezieht man sich auf den Verfahrensschritt, der in 2 gezeigt ist (Schritt 202 in dem Verfahrensfluss der 14), werden die ILD1 46 und die Ätzstopp-Schicht 44 geätzt, um Kontaktöffnungen 48 (einschließlich 48A und 48B) auszubilden. Das Ätzen wird beispielsweise mittels reaktivem Ionenätzen (RIE) ausgeführt. Nach dem Ätzvorgang sind die Kontaktstöpsel 42A und die ILD0 34 gegenüber den Kontaktöffnungen 48A bzw. 48B freiliegend. Das Ätzen kann anisotrop sein, so dass die Seitenwände der Kontaktöffnungen 48 im Wesentlichen vertikal sind.
  • Als nächstes wird, mit Bezug auf den Verfahrensschritt, der in 3 gezeigt ist (Schritt 204 in dem Verfahrensfluss der 14), eine dielektrische Schutzschicht 50 ausgebildet. In Übereinstimmung mit manchen Ausführungsformen umfasst die dielektrische Schutzschicht 50 ein Dielektrikum, das aus SiN, SiON, SiCN, SOCN, AlON, AlN, Kombinationen daraus und/oder Mehrschichtstrukturen daraus ausgewählt ist. Die Dicken T1 und T2 der dielektrischen Schutzschicht 50 können im Bereich zwischen etwa 3 nm und etwa 10 nm liegen. Man beachte jedoch, dass die Werte, die in der Beschreibung angegeben sind, nur Beispiele sind und in andere Werte geändert werden können.
  • In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung wird die dielektrische Schutzschicht 50 mittels PECVD, Atomlagenabscheidung im Plasma (PEALD), Atomlagenabscheidung (ALD), CVD hoher Dichte im Plasma (HDP CVD) oder ähnlichen Verfahren ausgebildet.
  • Die dielektrische Schutzschicht 50 kann eine gleichförmige Schicht sein, deren Dicke des horizontalen Abschnitts T1 und Dicke des vertikalen Abschnitts T2 einander ähneln. Die Differenz |T1-T2| kann beispielsweise kleiner als etwa 20 Prozent oder kleiner als etwa 10 Prozent beider Dicken T1 und T2 sein. Die dielektrische Schutzschicht 50 umfasst manche Abschnitte der ILD1 46 und andere Abschnitte, die sich in die Kontaktöffnungen 48A und 48B erstrecken. Des Weiteren bedeckt die dielektrische Schutzschicht 50 die Böden der Kontaktöffnungen 48A und 48B.
  • Als nächstes wird, mit Bezug auf den Verfahrensschritt, der in 4 gezeigt ist (auch Schritt 204 in dem Verfahrensfluss der 14), ein Ätzschritt ausgeführt, beispielsweise mittels einer Trockenätzung. Die horizontalen Abschnitte der dielektrischen Schutzschicht 50 werden entfernt und die vertikalen Abschnitte der dielektrischen Schutzschicht 50 in den Kontaktöffnungen 48 bleiben übrig. In der Draufsicht der Struktur der 4 kann die übrig bleibende dielektrische Schutzschicht 50 volle Ringe bilden, die jeweils eine der Kontaktöffnungen 48A und 48B ( 13) umgeben. Die Abschnitte der dielektrischen Schutzschicht 50 an den Böden der Kontaktöffnungen 48A und 48B werden entfernt und somit sind der Source/Drain-Kontaktstöpsel 42A und die ILD0 34 wieder gegenüber den entsprechenden Kontaktöffnungen 48A und 48B freigelegt.
  • Bezieht man sich auf den Verfahrensschritt, der in 5 gezeigt ist (Schritt 206 in dem Verfahrensfluss in 14), so werden Kontaktstöpsel 52 (einschließlich 52A und 52B) in den Kontaktöffnungen 48A bzw. 48B (4) ausgebildet. In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung werden die Kontaktstöpsel 52 aus einem Material ausgebildet, das aus Wolfram, Aluminium, Kupfer, Titan, Tantal, Titannitrid, Tantalnitrid, Legierungen daraus und/oder Mehrschichtstrukturen daraus ausgewählt ist. Das Ausbilden der Kontaktstöpsel 52 kann das Füllen eines oder mehrerer leitender Materialien in die Kontaktöffnungen 48A und 48B (4) umfassen, bis das leitende Material die gesamten Kontaktöffnungen 48A und 48B füllt, und das Ausführen einer Planarisierung (etwa eines chemisch-mechanischen Polierens (CMP)), um die oberen Flächen der Kontaktstöpsel 52 mit der oberen Fläche der ILD1 46 auf eine Ebene zu bringen. In der sich ergebenden Struktur bildet die dielektrische Schutzschicht 50 volle Ringe, die jeden der Kontaktstöpsel 52 umgeben, wie in der Draufsicht in 13 gezeigt ist.
  • In Übereinstimmung mit manchen Ausführungsformen können, wie in 13 gezeigt ist, die Breiten und Längen der Kontaktstöpsel 52A den Breiten und Längen des darunter liegenden Kontaktstöpsels 42A gleichen oder sich von ihm unterscheiden. Des Weiteren können, da die Kontaktstöpsel 52A und 42A in unterschiedlichen Verfahrensschritten ausgebildet werden, die Kontaktstöpsel 52A und 42A von einander unterschieden werden.
  • Als nächstes werden, wie in dem Verfahrensschritt in 6 (Schritt 208 in dem Verfahrensfluss in 14) gezeigt ist, in Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung die Kontaktstöpsel 52A und 52B zurückgeätzt, wobei Vertiefungen 54 (einschließlich 54A und 54B) in der ILD1 46 ausgebildet werden. Die Tiefe D1 der Vertiefungen 54A und 54B ist größer als etwa 5 nm und kann im Bereich von etwa 5 nm bis etwa 20 nm liegen.
  • 7 zeigt das Abscheiden einer dielektrischen Deckschicht 56 (Schritt 210 in dem Verfahrensfluss in 14). In Übereinstimmung mit manchen Ausführungsformen füllt die dielektrische Deckschicht 56 vollständig die Vertiefungen 54A und 54B (6) und die obere Fläche der dielektrischen Deckschicht 56 ist höher als die obere Fläche der ILD1 46. Somit ist die Dicke T3 der dielektrischen Deckschicht 56 größer als etwa 5 nm und kann im Bereich zwischen etwa 5 nm und etwa 20 nm liegen. In Übereinstimmung mit manchen Ausführungsformen umfasst die dielektrische Deckschicht 56 ein Dielektrikum, das aus SiN, SiON, SiCN, SiOCN, AlON, AlN, Kombinationen daraus und/oder Mehrschichtstrukturen daraus ausgewählt ist. Die dielektrische Deckschicht 56 kann mittels PECVD, PEALD, ALD, HDP CVD oder ähnlichen Verfahren ausgebildet werden. In Übereinstimmung mit manchen Ausführungsformen sind die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 aus dem gleichen Dielektrikum ausgebildet. In Übereinstimmung mit alternativen Ausführungsformen sind die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 aus unterschiedlichen Materialien ausgebildet. Die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 haben jedoch Charakteristika, die sich von den Charakteristika der ILD1 46 unterscheiden, so dass bei einem nachfolgenden Ätzen der ILD1 46 die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 niedrigere Ätzraten als die Ätzrate der ILD1 46 haben.
  • Bezieht man sich wieder auf den Verfahrensschritt, der in 8 gezeigt ist (Schritt 210 in dem Verfahrensfluss der 14), wird eine Planarisierung, etwa ein CMP, ausgeführt, um überschüssige Abschnitte der dielektrischen Deckschicht 56 zu entfernen, wobei die überschüssigen Abschnitte der dielektrischen Deckschicht 56 über der oberen Fläche der ILD1 46 liegen. Die oberen Flächen der übrigen Abschnitte der dielektrischen Deckschicht 56 sind somit auf einer Ebene mit der oberen Fläche der ILD1 46. Weiter können, in Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung, die oberen Flächen der übrigen Abschnitte der dielektrischen Deckschicht 56 auf einer Ebene mit den oberen Rändern der dielektrischen Schutzschicht 50 sein. Die seitlichen Ränder der übrigen Abschnitte der dielektrischen Deckschicht 56 können in manchen beispielhaften Ausführungsformen auch an den entsprechenden Rändern des Kontaktstöpsels 52B ausgerichtet sein. Darüber hinaus sind die seitlichen Ränder der übrigen Abschnitte der dielektrischen Deck 56 in Kontakt mit der dielektrischen Schutzschicht 50.
  • Als Ergebnis des Ausbildens der dielektrischen Deckschicht 56 und der dielektrischen Schutzschicht 50 ist der Kontaktstöpsel 52B durch die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 von allen Seitenwänden und der Oberseite vollständig geschützt. Die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 bilden zusammen eine umgedrehte Wanne, wobei der Kontaktstöpsel 52B in der umgedrehten Wanne liegt.
  • Wie in dem Verfahrensschritt in 8 gezeigt ist, ist der Kontaktstöpsel 52B über der ILD0 34 ausgebildet und kann mit ihr in Kontakt stehen. Wie auch in 13 gezeigt ist, die eine Draufsicht ist, können die Kontaktstöpsel 52 Schlitz-Kontaktstöpsel sein. Der Kontaktstöpsel 52B wird als Routing-Leitung verwendet, die für Verbindungszwecke verwendet wird. Die Verbindungen zu den entgegengesetzten Enden des Kontaktstöpsels 52B sind nicht gezeigt, wobei die entgegengesetzten Enden des Kontaktstöpsels 52B mit Source/Drain-(Silizid)-Bereichen (nicht gezeigt) und/oder darüber liegenden Kontaktstöpseln (nicht gezeigt) elektrisch verbunden sein können, die dem Kontaktstöpsel 64C ähneln können, der in 12 gezeigt ist.
  • Die Draufsicht der Kontaktstöpsel 52A und 52B, der dielektrischen Deckschicht 56 und der Schutzschicht 50 sind in 13 gezeigt, in Übereinstimmung mit beispielhaften Ausführungsformen. Wie in 13 gezeigt ist, können die Kontaktstöpsel 52A und 52B und die dielektrische Deckschicht 56 als Schlitz-Kontaktstöpsel ausgebildet sein, mit Längen, die wesentlich größer als die entsprechenden Breiten sind. In alternativen Ausführungsformen können die Kontaktstöpsel 52 und die dielektrische Deckschicht 56 auch Längen haben, die nahe an den entsprechenden Breiten liegen, und Formen in der Draufsicht haben, die Quadraten ähneln. Die Kontaktstöpsel 52A und 52B können auch von den darüber liegenden übrigen Abschnitten der dielektrischen Deckschicht 56 vollständig überlappt sein. In Übereinstimmung mit manchen Ausführungsformen überlappen die Kontaktstöpsel 52A und 52B zumindest die zugehörigen darunter liegenden Kontaktstöpsel 42A bzw. 42B.
  • Bezieht man sich auf den Verfahrensschritt, der in 9 gezeigt ist, wird eine ILD2 58 ausgebildet. Die ILD2 58 kann mittels Rotationsbeschichtung, FCVD oder Ähnlichem ausgebildet werden. In alternativen Ausführungsformen der vorliegenden Offenbarung kann die ILD2 58 mittels eines Abscheidungsverfahrens wie PECVD, LPCVD oder Ähnlichem ausgebildet werden. In manchen Ausführungsformen liegt die ILD2 58 über und in Kontakt mit der ILD1 46. In alternativen Ausführungsformen ist eine Ätzstopp-Schicht (nicht gezeigt) zwischen der ILD1 46 und der ILD2 58 ausgebildet. Die Ätzstopp-Schicht kann, wenn sie ausgebildet wird, Siliziumkarbid, Siliziumoxinitrid, Siliziumkarbonitrid oder Ähnliches umfassen. Die ILD2 58 ist über der Ätzstopp-Schicht ausgebildet. Die ILD2 58 kann ein Material umfassen, das aus PSG, BSG, PBSG, FSG, TEOS oder anderen nicht-porösen Low-k-Dielektrika ausgewählt ist. Die ILD2 58, die ILD1 46 und die ILD0 34 können aus dem gleichen Material oder unterschiedlichen Materialien ausgebildet sein.
  • Bezieht man sich auf den Verfahrensschritt, der in 10 gezeigt ist, wird die ILD2 58 geätzt, um eine Kontaktöffnung 60 auszubilden. Der entsprechende Schritt ist auch als Schritt 212 in dem Verfahrensfluss gezeigt, der in 14 gezeigt ist. Die Kontaktöffnung 60 ist an der dielektrischen Deckschicht 56 (9) und dem Kontaktstöpsel 52A ausgerichtet. Nach dem Ätzen der ILD 58 wird die dielektrische Deckschicht 56 geätzt und der Kontaktstöpsel 52A wird freigelegt. In manchen Ausführungsformen ist der Boden der Öffnung 60 niedriger als die oberen Ränder der dielektrischen Schutzschicht 50. Der Boden der Öffnung 60 kann koplanar mit der oberen Fläche des Kontaktstöpsels 52B sein.
  • Als nächstes wird, mit Bezug auf den Verfahrensschritt, der in 11 gezeigt ist, ein zusätzlicher Ätzschritt ausgeführt, um Öffnungen 62 in der ILD2 58 und der ILD1 46 auszubilden. Der entsprechende Schritt ist auch als Schritt 214 in dem Verfahrensfluss gezeigt, der in 14 gezeigt ist. In diesem Schritt wird das Ätzmittel so ausgewählt, dass das Ätzmittel die ILD2 58 und die ILD1 46 angreift und die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 nicht angreift. Die Ätzselektivität (des Ätzmittels), die das Verhältnis der Ätzrate der ILD2 58 und der ILD1 46 zu der Ätzrate der dielektrischen Deckschicht 56 und der dielektrischen Schutzschicht 50 ist, ist beispielsweise größer als etwa 10. Die Ätzselektivität kann auch größer als etwa 50 oder größer als etwa 100 sein. Die angestrebte Ätzselektivität ist mit der Dicke T4 der ILD1 46 und der Dicke T5 der dielektrischen Deckschicht 56 verknüpft und ist zumindest größer als das Dicke-Verhältnis T4/T5. Die angestrebte Ätzselektivität kann auch größer als zwei mal das Dicke-Verhältnis T4/T5 sein. Dies stellt sicher, dass wenn eine Fehlausrichtung auftritt und die Öffnung 62A sich zu der Position verschiebt, die als 63 gekennzeichnet ist, die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 nicht durchgeätzt werden und der nachfolgend ausgebildete Kontaktstöpsel 64A (12) nicht zu dem Kontaktstöpsel 52B kurzschließt.
  • Nachdem die ILD2 58 und die ILD1 46 geätzt wurden, wird die Ätzstopp-Schicht 44 weiter durch die Öffnungen 62A und 62B geätzt, um die darunter liegenden leitende Schicht 38 und den darunter liegenden Kontaktstöpsel 42B freizulegen.
  • 12 zeigt das Ausbilden von Kontaktstöpseln 64 (einschließlich 64A, 64B und 64C) in den Öffnungen 62A, 62B bzw. 60 (11). Der entsprechende Schritt ist auch als Schritt 216 in dem Verfahrensfluss gezeigt, der in 14 gezeigt ist. Das Ausbildungsverfahren kann das Füllen eines leitenden Materials in die Öffnungen 62A, 62B und 60 umfassen, bis die obere Fläche des leitenden Materials höher als die obere Fläche der ILD2 58 ist, und das Ausführen einer Planarisierung, etwa eines CMPs, um überschüssige Abschnitte des leitenden Materials zu entfernen. Die übrigen Abschnitte des leitenden Materials sind die Kontaktstöpsel 64. Wie in 12 gezeigt ist, ist der Kontaktstöpsel 64A ein Gate-Kontaktstöpsel, der mit der Gate-Elektrode 30 des Gate-Stapels 26C elektrisch verbunden ist. Der Kontaktstöpsel 64B ist ein Source/Drain-Kontaktstöpsel, der mit dem Kontaktstöpsel 42B elektrisch verbunden ist, der weiter mit dem zugehörigen darunter liegenden Source/Drain-Bereich 24 verbunden ist. Der Kontaktstöpsel 64C ist ein Source/Drain-Kontaktstöpsel, der mit den Kontaktstöpseln 52A und 42A elektrisch verbunden ist, die weiter mit dem zugehörigen darunter liegenden Source/Drain-Bereich 24 verbunden sind.
  • Mit Bezug auf 13, die eine Draufsicht der Struktur zeigt, die in 12 gezeigt ist, können die Kontaktstöpsel 64A, 64B und 64C in der Draufsicht nicht-längliche Formen wie Quadrate haben, obwohl längliche Formen verwendet werden können. Weiter liegt der Kontaktstöpsel 64A nahe bei dem Kontaktstöpsel 52B. Somit kann, wenn eine Fehlausrichtung auftritt, die Position des Kontaktstöpsels 64A ungewollt so verschoben werden, dass sie einen Abschnitt des Kontaktstöpsels 52B überlappt. Wie in 12 gezeigt ist, dienen, selbst wenn eine solche Fehlausrichtung auftritt, die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 bei dem Ausbilden der Öffnung 62A als Ätzstopp-Schichten beim Ätzen der ILD2 58 und der ILD1 46 und die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 werden nicht durchgeätzt. Somit isolieren, wenn der Kontaktstöpsel 64A ausgebildet wird, wie in 12 gezeigt ist, die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 den Kontaktstöpsel 64A elektrisch von dem Kontaktstöpsel 52B und ein unerwünschtes Kurzschließen zwischen dem Kontaktstöpsel 64A und dem Kontaktstöpsel 52B tritt nicht auf.
  • Wie in 12 gezeigt ist, hat, wenn die Fehlausrichtung auftritt, der sich ergebende Kontaktstöpsel 64A, der durch die gestrichelten Linien dargestellt ist, eine untere Fläche 65, die auf dem oberen Rand der dielektrischen Schutzschicht 50 und möglicherweise auf der oberen Fläche der dielektrischen Deckschicht 56 landet. Zum Vergleich schließt, wenn die dielektrische Deckschicht 56 und die dielektrische Schutzschicht 50 nicht ausgebildet werden, in dem in 12 gezeigten Schritt, wenn der Kontaktstöpsel 64A sich aufgrund von Fehlausrichtung zur Position 63 verschiebt, der Kontaktstöpsel 64A und der Kontaktstöpsel 52B kurz.
  • 14 zeigt schematisch den Verfahrensfluss 200 für das Verfahren in den 1 bis 12. Der Verfahrensfluss wird hier kurz beschrieben. Die Details des Verfahrensflusses können in der Beschreibung der 1 bis 12 gefunden werden. In Schritt 202 werden die Kontaktöffnungen 48A und 48B in der ILD1 46 ausgebildet, wie in 2 gezeigt ist. In Schritt 204 des Verfahrensflusses in 14 wird die dielektrische Schicht 50 ausgebildet und das zugehörige Ausbildungsverfahren ist in 3 und 4 gezeigt. In Schritt 206 des Verfahrensflusses in 14 werden die Kontaktstöpsel 52 in der ILD1 46 ausgebildet und das zugehörige Ausbildungsverfahren ist in 5 gezeigt. In Schritt 208 des Verfahrensflusses in 14 werden die Kontaktstöpsel 52 vertieft, um Vertiefungen 54 auszubilden, und das zugehörige Ausbildungsverfahren ist in 6 gezeigt. In Schritt 210 des Verfahrensflusses in 14 werden die dielektrischen Deckschichten 56 ausgebildet, um die Kontaktstöpsel 52 zu bedecken, und das zugehörige Ausbildungsverfahren ist in 7 und 8 gezeigt. In Schritt 212 des Verfahrensflusses in 14 werden die Kontaktöffnungen 60 ausgebildet und das zugehörige Ausbildungsverfahren ist in 10 gezeigt. In Schritt 214 des Verfahrensflusses in 14 werden die Kontaktöffnungen 62 ausgebildet und das zugehörige Ausbildungsverfahren ist in 11 gezeigt. In Schritt 216 des Verfahrensflusses in 14 werden die Kontaktöffnungen 60 und 62 gefüllt, um Kontaktstöpsel 64 auszubilden, und das zugehörige Ausbildungsverfahren ist in 12 gezeigt.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Merkmale.
  • Indem die dielektrische Schutzschicht und die dielektrische Deckschicht ausgebildet werden, um die Kontaktstöpsel zu schützen, bleiben, selbst wenn die benachbarten Kontaktstöpsel, die an die Kontaktstöpsel angrenzen, eine Fehlausrichtung aufweisen, die dielektrische Schutzschicht und die dielektrische Deckschicht übrig, um die in der Nähe liegenden Kontaktstöpsel zu isolieren.
  • In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung umfasst eine integrierte Schaltung eine erste ILD, einen Gate-Stapel in der ersten ILD, eine zweite ILD über der ersten ILD, einen Kontaktstöpsel in der zweiten ILD und eine dielektrische Schutzschicht auf entgegengesetzten Seiten und in Kontakt mit dem Kontaktstöpsel. Der Kontaktstöpsel und die dielektrische Schutzschicht liegen in der zweiten ILD. Eine dielektrische Deckschicht liegt über und in Kontakt mit dem Kontaktstöpsel.
  • In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung umfasst eine integrierte Schaltkreisstruktur eine erste ILD, eine Ätzstopp-Schicht über der ersten ILD, eine zweite ILD über der Ätzstopp-Schicht und einen Schlitz-Kontaktstöpsel in der zweiten ILD. Der Schlitz-Kontaktstöpsel durchstößt die Ätzstopp-Schicht, um eine obere Fläche der ersten ILD zu berühren. Eine dielektrische Schutzschicht umfasst Abschnitte auf entgegengesetzten Seiten und in Kontakt mit dem Schlitz-Kontaktstöpsel. Eine dielektrische Deckschicht liegt über und in Kontakt mit dem Schlitz-Kontaktstöpsel, wobei der Schlitz-Kontaktstöpsel, die dielektrische Schutzschicht und die dielektrische Deckschicht in der zweiten ILD liegen.
  • In Übereinstimmung mit noch alternativen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden einer zweiten ILD über einer ersten ILD, wobei ein Gate-Stapel in der ersten ILD liegt, das Ätzen der zweiten ILD, um eine erste Kontaktöffnung auszubilden, das Ausbilden einer dielektrischen Schutzschicht auf entgegengesetzten Seitenwänden der ersten Kontaktöffnung und das Ausbilden eines ersten Kontaktstöpsels in der ersten Kontaktöffnung, wobei der erste Kontaktstöpsel zwischen entgegengesetzten Abschnitten der dielektrischen Schutzschicht liegt. Das Verfahren umfasst weiter das Ausbilden einer dielektrischen Deckschicht über und in Kontakt mit dem ersten Kontaktstöpsel, das Ausbilden einer dritten ILD über der zweiten ILD, das Ausbilden einer zweiten Kontaktöffnung in der zweiten ILD und der dritten ILD und das Füllen der zweiten Kontaktöffnung, um einen zweiten Kontaktstöpsel auszubilden.

Claims (18)

  1. Integrierte Schaltkreisstruktur, die Folgendes umfasst: eine erste Zwischendielektrikumsschicht (34); einen Gate-Stapel (26C) in der ersten Zwischendielektrikumsschicht (34); eine zweite Zwischendielektrikumsschicht (46) über der ersten Zwischendielektrikumsschicht (34); einen ersten Kontaktstöpsel (52B) in der zweiten Zwischendielektrikumsschicht (46); eine dielektrische Schutzschicht (50) auf entgegengesetzten Seiten von und in Kontakt mit dem ersten Kontaktstöpsel (52B), wobei der erste Kontaktstöpsel (52B) und die dielektrische Schutzschicht (50) in der zweiten Zwischendielektrikumsschicht (46) liegen; eine dielektrische Deckschicht (56) über und in Kontakt mit dem ersten Kontaktstöpsel (52B); eine dritte Zwischendielektrikumsschicht (58) über der zweiten Zwischendielektrikumsschicht (46); und einen zweiten Kontaktstöpsel (64A), der sich von einer oberen Fläche der dritten Zwischendielektrikumsschicht (58) zu einer unteren Fläche der zweiten Zwischendielektrikumsschicht (46) erstreckt, wobei der zweite Kontaktstöpsel (64A) mit dem Gate-Stapel (26C) elektrisch verbunden ist; und wobei die dielektrische Schutzschicht (50) und die dielektrische Deckschicht (56) den ersten Kontaktstöpsel (52B) von dem zweiten Kontaktstöpsel (64A) elektrisch isolieren.
  2. Integrierte Schaltkreisstruktur nach Anspruch 1, wobei der zweite Kontaktstöpsel (64A) eine erste untere Fläche umfasst, die einen oberen Rand der dielektrischen Schutzschicht (50) berührt.
  3. Integrierte Schaltkreisstruktur nach einem der vorangegangenen Ansprüche, wobei der erste Kontaktstöpsel (52B) eine untere Fläche in Kontakt mit einer oberen Fläche der ersten Zwischendielektrikumsschicht (34) umfasst.
  4. Integrierte Schaltkreisstruktur nach einem der vorangegangenen Ansprüche, wobei die dielektrische Schutzschicht (50) und die dielektrische Deckschicht (56) aus dem gleichen Dielektrikum ausgebildet sind.
  5. Integrierte Schaltkreisstruktur nach einem der vorangegangenen Ansprüche, die weiter Folgendes umfasst: einen Source/Drain-Bereich (24); einen dritten Kontaktstöpsel (42A) über und elektrisch verbunden mit dem Source/Drain-Bereich (24), wobei der dritte Kontaktstöpsel (42A) in der ersten Zwischendielektrikumsschicht (34) liegt; einen vierten Kontaktstöpsel (52A) über und in Kontakt mit dem dritten Kontaktstöpsel (42A), wobei der vierte Kontaktstöpsel (52A) in der zweiten Zwischendielektrikumsschicht (46) liegt; und einen fünften Kontaktstöpsel (64C) über und in Kontakt mit dem vierten Kontaktstöpsel (52A), wobei der fünfte Kontaktstöpsel (64C) sich von einer oberen Fläche der dritten Zwischendielektrikumsschicht (58) in die zweite Zwischendielektrikumsschicht (46) erstreckt.
  6. Integrierte Schaltkreisstruktur nach Anspruch 5, wobei eine untere Fläche des fünften Kontaktstöpsels (64C) im Wesentlichen koplanar mit einer oberen Fläche des ersten Kontaktstöpsels (52B) ist.
  7. Integrierte Schaltkreisstruktur nach einem der vorangegangenen Ansprüche, wobei eine obere Fläche der dielektrischen Deckschicht (56) im Wesentlichen koplanar mit einer oberen Fläche der zweiten Zwischendielektrikumsschicht (46) ist.
  8. Integrierte Schaltkreisstruktur, die Folgendes umfasst: eine erste Zwischendielektrikumsschicht (34); eine Ätzstopp-Schicht (44) über der ersten Zwischendielektrikumsschicht (34); eine zweite Zwischendielektrikumsschicht (46) über der Ätzstopp-Schicht (44); einen ersten Schlitz-Kontaktstöpsel (52B) in der zweiten Zwischendielektrikumsschicht (46), wobei der erste Schlitz-Kontaktstöpsel (52B) die Ätzstopp-Schicht (44) durchstößt, um eine obere Fläche der ersten Zwischendielektrikumsschicht (34) zu kontaktieren, wobei der Schlitz-Kontaktstöpsel (52B) eine Länge hat, die größer ist als seine Breite; eine dielektrische Schutzschicht (50), die Abschnitte auf entgegengesetzten Seiten von und in Kontakt mit dem ersten Schlitz-Kontaktstöpsel (52B) umfasst; eine dielektrische Deckschicht (56) über und in Kontakt mit dem ersten Schlitz-Kontaktstöpsel (52B), wobei der erste Schlitz-Kontaktstöpsel (52B), die dielektrische Schutzschicht (50) und die dielektrische Deckschicht (56) in der zweiten Zwischendielektrikumsschicht (46) liegen; einen Source/Drain-Bereich (24) unter der ersten Zwischendielektrikumsschicht (34); eine dritte Zwischendielektrikumsschicht (58) über der zweiten Zwischendielektrikumsschicht (46); einen zweiten Schlitz-Kontaktstöpsel (42A) über und elektrisch verbunden mit dem Source/Drain-Bereich (24), wobei der zweite Schlitz-Kontaktstöpsel (42A) in der ersten Zwischendielektrikumsschicht (34) liegt; einen dritten Schlitz-Kontaktstöpsel (52A) über und in Kontakt mit dem zweiten Schlitz-Kontaktstöpsel (42A), wobei der dritte Schlitz-Kontaktstöpsel (52A) in der zweiten Zwischendielektrikumsschicht (46) liegt, und einen vierten Kontaktstöpsel (64C), der die dritte Zwischendielektrikumsschicht (58) durchstößt und in Kontakt mit dem dritten Schlitz-Kontaktstöpsel (52A) steht, wobei die dielektrische Schutzschicht (50) und die dielektrische Deckschicht (56) den ersten Schlitz-Kontaktstöpsel (52B) von dem vierten Kontaktstöpsel (64C) elektrisch isolieren.
  9. Integrierte Schaltkreisstruktur nach Anspruch 8, wobei die dielektrische Deckschicht (56) gegenüberliegende Ränder in Kontakt mit gegenüberliegenden Abschnitten der dielektrischen Schutzschicht (50) umfasst.
  10. Integrierte Schaltkreisstruktur nach Anspruch 8 oder 9, wobei eine obere Fläche der dielektrischen Deckschicht (56), ein oberer Rand der dielektrischen Schutzschicht (50) und eine obere Fläche der zweiten Zwischendielektrikumsschicht (46) im Wesentlichen koplanar sind.
  11. Integrierte Schaltkreisstruktur nach einem der Ansprüche 8 bis 10, die weiter Folgendes umfasst: einen zweiten Source/Drain-Bereich (24) unter der ersten Zwischendielektrikumsschicht (34); einen Gate-Stapel (26C) in der ersten Zwischendielektrikumsschicht (34); und einen Gate-Kontaktstöpsel (64A) in der zweiten Zwischendielektrikumsschicht (46) und der dritten Zwischendielektrikumsschicht (58).
  12. Integrierte Schaltkreisstruktur nach einem der Ansprüche 8 bis 11, wobei der vierte Kontaktstöpsel (64C) eine untere Fläche umfasst, die niedriger als eine obere Fläche der zweiten Zwischendielektrikumsschicht (46) ist.
  13. Verfahren, das Folgendes umfasst: Ausbilden einer zweiten Zwischendielektrikumsschicht (46) über einer ersten Zwischendielektrikumsschicht (34), wobei ein Gate-Stapel (26) in der ersten Zwischendielektrikumsschicht (34) liegt; Ätzen der zweiten Zwischendielektrikumsschicht (46), um eine erste Kontaktöffnung (48B) auszubilden; Ausbilden einer dielektrischen Schutzschicht (50) auf gegenüberliegenden Seitenwänden der ersten Kontaktöffnung (48B); Ausbilden eines ersten Kontaktstöpsels (52B) in der ersten Kontaktöffnung (48B), wobei der erste Kontaktstöpsel (52B) zwischen gegenüberliegenden Abschnitten der dielektrischen Schutzschicht (50) liegt; Ausbilden einer dielektrischen Deckschicht (56) über und in Kontakt mit dem ersten Kontaktstöpsel (52B); Ausbilden einer dritten Zwischendielektrikumsschicht (58) über der zweiten Zwischendielektrikumsschicht (46); Ausbilden einer zweiten Kontaktöffnung (62A) in der zweiten Zwischendielektrikumsschicht (46) und der dritten Zwischendielektrikumsschicht (58); und Füllen der zweiten Kontaktöffnung (62A), um einen zweiten Kontaktstöpsel (64A) auszubilden, wobei die dielektrische Schutzschicht (50) und die dielektrische Deckschicht (56) den ersten Kontaktstöpsel (52B) von dem zweiten Kontaktstöpsel (64A) elektrisch isolieren.
  14. Verfahren nach Anspruch 13, wobei das Ausbilden der dielektrischen Deckschicht (56) Folgendes umfasst: Vertiefen des ersten Kontaktstöpsels (52B), um eine Vertiefung (54B) auszubilden; Füllen der dielektrischen Deckschicht (56) in die Vertiefung (54B); und Planarisieren der dielektrischen Deckschicht (56), wobei eine obere Fläche der dielektrischen Deckschicht (56) im Wesentlichen plan mit einer oberen Fläche der zweiten Zwischendielektrikumsschicht (46) ist.
  15. Verfahren nach Anspruch 13 oder 14, wobei bei dem Ausbilden der zweiten Kontaktöffnung (62A) die dielektrische Schutzschicht (50) gegenüber der zweiten Kontaktöffnung (62A) freigelegt wird und wobei die dielektrische Schutzschicht (50) während des Ausbildens der zweiten Kontaktöffnung (62A) im Wesentlichen nicht geätzt wird.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei nach dem Ausbilden der ersten Kontaktöffnung (48B) die erste Zwischendielektrikumsschicht (34) gegenüber der ersten Kontaktöffnung (48B) freigelegt wird.
  17. Verfahren nach einem der Ansprüche 13 bis 16, das weiter Folgendes umfasst: vor dem Ausbilden der zweiten Zwischendielektrikumsschicht (46), Ausbilden einer Ätzstopp-Schicht (44) über der ersten Zwischendielektrikumsschicht (34), wobei die erste Kontaktöffnung (48B) die Ätzstopp-Schicht (44) durchstößt.
  18. Verfahren nach einem der Ansprüche 13 bis 17, das weiter, wenn der zweite Kontaktstöpsel (64A) ausgebildet wird, gleichzeitig das Ausbilden eines Source/Drain-Kontakstöpsels (64C) in der zweiten Zwischendielektrikumsschicht (46) und der dritten Zwischendielektrikumsschicht (58) umfasst.
DE102014108872.7A 2014-06-12 2014-06-25 Selbstausgerichtete Verbindung mit Schutzschicht Active DE102014108872B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/302,616 2014-06-12
US14/302,616 US10998228B2 (en) 2014-06-12 2014-06-12 Self-aligned interconnect with protection layer

Publications (2)

Publication Number Publication Date
DE102014108872A1 DE102014108872A1 (de) 2015-12-17
DE102014108872B4 true DE102014108872B4 (de) 2019-10-17

Family

ID=54706306

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014108872.7A Active DE102014108872B4 (de) 2014-06-12 2014-06-25 Selbstausgerichtete Verbindung mit Schutzschicht

Country Status (4)

Country Link
US (1) US10998228B2 (de)
KR (1) KR101612636B1 (de)
CN (1) CN105280591B (de)
DE (1) DE102014108872B4 (de)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
DE102017103464B4 (de) 2016-07-29 2021-09-30 Taiwan Semiconductor Manufacturing Co. Ltd. Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
DE102017118475B4 (de) 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN110233131B (zh) * 2018-03-02 2022-07-19 中芯国际集成电路制造(上海)有限公司 金属插塞以及金属插塞的形成方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
TW202104645A (zh) * 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11532561B2 (en) * 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
DE102020121496A1 (de) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11264277B2 (en) * 2019-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with spacers for self aligned vias
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112838048A (zh) * 2019-11-22 2021-05-25 联华电子股份有限公司 互连结构以及其制作方法
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257753B2 (en) * 2020-01-21 2022-02-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and method for manufacturing the interconnect structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11404366B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11854883B2 (en) * 2021-04-15 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230065045A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact formation method and related structure
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US20100314768A1 (en) 2009-06-12 2010-12-16 International Business Machines Corporation Interconnect structure fabricated without dry plasma etch processing
DE102012219376A1 (de) * 2011-12-13 2013-06-13 Globalfoundries Inc. Halbleitervorrichtung mit lokalen Transistorverbindungsleitungen

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6066555A (en) * 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6348411B1 (en) * 1998-09-03 2002-02-19 Micron Technology, Inc. Method of making a contact structure
CN1248059A (zh) 1998-09-17 2000-03-22 世大积体电路股份有限公司 平坦的金属层间介电层或内层介电层的制造方法
JP2001077212A (ja) * 1999-08-31 2001-03-23 Toshiba Corp 半導体装置及びその製造方法
US6124192A (en) * 1999-09-27 2000-09-26 Vanguard International Semicondutor Corporation Method for fabricating ultra-small interconnections using simplified patterns and sidewall contact plugs
TW548832B (en) * 2001-03-08 2003-08-21 Hitachi Ltd Method of producing semiconductor integrated circuit device and semiconductor integrated circuit device
US6696336B2 (en) * 2001-05-14 2004-02-24 Micron Technology, Inc. Double sided container process used during the manufacture of a semiconductor device
US6790721B2 (en) * 2001-07-13 2004-09-14 Micron Technology, Inc. Metal local interconnect self-aligned source flash cell
JP2003332531A (ja) * 2002-05-17 2003-11-21 Mitsubishi Electric Corp 半導体装置の製造方法
KR100726145B1 (ko) * 2002-07-19 2007-06-13 주식회사 하이닉스반도체 반도체소자 제조방법
US7074717B2 (en) * 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
TW589720B (en) * 2003-07-10 2004-06-01 Powerchip Semiconductor Corp Split gate flash memory and manufacturing method thereof
TWI242797B (en) * 2004-06-01 2005-11-01 Nanya Technology Corp Method for forming self-aligned contact of semiconductor device
KR100635925B1 (ko) * 2005-07-21 2006-10-18 삼성전자주식회사 반도체 장치의 배선 구조물 및 이의 형성 방법
US7723759B2 (en) 2005-10-24 2010-05-25 Intel Corporation Stacked wafer or die packaging with enhanced thermal and device performance
US20070202677A1 (en) * 2006-02-27 2007-08-30 Micron Technology, Inc. Contact formation
US8129235B2 (en) * 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
KR20090069857A (ko) 2007-12-26 2009-07-01 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8143699B2 (en) * 2009-02-25 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-dielectric MIM capacitors for system-on-chip applications
JP2011124497A (ja) * 2009-12-14 2011-06-23 Toshiba Corp 半導体装置およびその製造方法
TWI447742B (zh) * 2010-07-16 2014-08-01 Inotera Memories Inc 記憶體佈局結構及記憶體結構
KR20120065113A (ko) 2010-12-10 2012-06-20 삼성전자주식회사 수직 채널 트랜지스터들을 구비한 반도체 장치 및 그 제조방법.
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US8765599B2 (en) * 2012-01-06 2014-07-01 GlobalFoundries, Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
US8921226B2 (en) * 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US8993433B2 (en) * 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
KR102326090B1 (ko) * 2015-10-16 2021-11-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102217242B1 (ko) * 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10707131B2 (en) * 2018-08-14 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US20100314768A1 (en) 2009-06-12 2010-12-16 International Business Machines Corporation Interconnect structure fabricated without dry plasma etch processing
DE102012219376A1 (de) * 2011-12-13 2013-06-13 Globalfoundries Inc. Halbleitervorrichtung mit lokalen Transistorverbindungsleitungen

Also Published As

Publication number Publication date
US20150364371A1 (en) 2015-12-17
KR101612636B1 (ko) 2016-04-14
DE102014108872A1 (de) 2015-12-17
KR20150143263A (ko) 2015-12-23
CN105280591A (zh) 2016-01-27
CN105280591B (zh) 2018-09-11
US20210225707A1 (en) 2021-07-22
US10998228B2 (en) 2021-05-04

Similar Documents

Publication Publication Date Title
DE102014108872B4 (de) Selbstausgerichtete Verbindung mit Schutzschicht
DE102019106763B4 (de) Verfahren zum ausbilden einer integrierten schaltungsstruktur und integrierte schaltungsstruktur
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102014110645B4 (de) Hybrid-Kupferstruktur zur Verwendung in fortgeschrittener Verbindung
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102013104236B4 (de) Halbleitervorrichtung, verfahren zur herstellung derselben und verfahren zum betreiben derseleben
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102014115955B4 (de) Struktur und Ausbildungsverfahren einer Damascene-Struktur
DE102018110326B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102013105635B4 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102020135005A1 (de) Drainseitige vertiefung für vorrichtung mit rückseitiger stromschiene
DE102020127451B4 (de) Verfahren zur Bildung einer rückseitigen Langkanalstromschienenvorrichtung und zugehörige Halbleitervorrichtung
DE102013104368A1 (de) Verfahren für die Ausbildung einer Verbindungsstruktur
DE102021100042B4 (de) Middle-of-Line-Verbindungsstruktur und Herstellungsverfahren
DE102022100834A1 (de) Gestapelte dummy-strukturen, die tsvs umgeben, und deren herstellungsverfahren
US12009258B2 (en) Self-aligned interconnect with protection layer
DE102016116026B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102016100282B4 (de) Verbindungsstruktur und Verfahren zu ihrer Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final