KR101612636B1 - 보호층과 자기정렬된 상호연결 - Google Patents

보호층과 자기정렬된 상호연결 Download PDF

Info

Publication number
KR101612636B1
KR101612636B1 KR1020140183271A KR20140183271A KR101612636B1 KR 101612636 B1 KR101612636 B1 KR 101612636B1 KR 1020140183271 A KR1020140183271 A KR 1020140183271A KR 20140183271 A KR20140183271 A KR 20140183271A KR 101612636 B1 KR101612636 B1 KR 101612636B1
Authority
KR
South Korea
Prior art keywords
ild
contact plug
contact
dielectric
layer
Prior art date
Application number
KR1020140183271A
Other languages
English (en)
Other versions
KR20150143263A (ko
Inventor
유찬 옌
칭펭 푸
치아잉 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150143263A publication Critical patent/KR20150143263A/ko
Application granted granted Critical
Publication of KR101612636B1 publication Critical patent/KR101612636B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7812Vertical DMOS transistors, i.e. VDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

집적 회로 구조물은 제 1 층간 유전체(ILD), 상기 제 1 ILD의 게이트 스택, 상기 제 1 ILD 위의 제 2 ILD, 상기 제 2 ILD의 콘택 플러그, 및 상기 콘택 플러그의 대향하는 측면들 상의 상기 콘택 플러그에 접촉하는 유전체 보호층을 포함한다. 상기 커택트 플러그와 유전체 보호층은 제 2 ILD에 있다. 유전체 캐핑층이 상기 콘택 플러그 위에서 접촉된다.

Description

보호층과 자기정렬된 상호연결{SELF-ALIGNED INTERCONNECT WITH PROTECTION LAYER}
본 발명은 집적 회로에 관한 것이고, 보다 구체적으로는 보호층과 자기정렬된 상호연결에 관한 것이다.
집적 회로 제조 기술의 발전으로, 집적 회로 디바이스는 점점 더 작아지고 있다. 이러한 집적 회로는 기능 회로를 형성하기 위해 금속 라인, 비아(vias), 콘택 플러그와 동일한 도전체에 의해 상호연결된다. 그 결과, 도전체들 사이의 간격 또한 더 작아지고 있다.
본 발명의 태양들은 첨부된 도면을 참고한 다음의 상세한 설명으로부터 잘 이해될 것이다. 업계 표준 관행에 따라 여러 피처들이 실제 치수로 도시되지 않았음을 주목해야 한다. 실제로, 설명의 명확성을 위해 다양한 피처들의 치수는 임의로 증가되거나, 감소될 수 있다.
도 1 내지 12는 일부 실시예에 따라 콘택 플러그들을 포함하는 상호연결 구조물 형성시의 중간 단계들의 단면도를 도시하고 있다.
도 13은 일부 실시예에 따라 콘택 플러그들의 평면도를 도시한다.
도 14는 일부 실시예에 따라 상호연결 구조물을 형성하기 위한 공정 흐름을 도시하고 있다.
아래7의 설명은 본 발명의 여러 피처들을 구현하기 위한 많은 다른 실시예 또는 예들을 제공한다. 구성요소들과 배열의 특정예들이 본 발명을 단순화하기 위해 아래에 설명되어 있다. 이들은 물론, 단지 예시적인 것이며 제한하려는 것은 아니다. 예를 들어, 아래의 설명에서 제 2 피처상에 또는 그 위에 제 1 피처의 형성은 제 1 및 제 2 피처들이 직접 접촉하게 형성되는 실시예를 포함할 수 있으며, 또한, 제 1 피처와 제 2 피처가 직접 접촉하지 않도록 제 1 피처와 제 2 피처 사이에 추가적인 피처들이 형성된 실시예를 포함할 수도 있다. 또한, 본 발명은 여러 예들에서 참조 번호 및/또는 문자들을 반복할 수 있다. 이러한 반복은 단순화 및 명료성을 위한 것이며, 그 자체로 설명된 여러 실시예들 및/또는 구조물들 사이의 관계를 지시하지 않는다.
또한, "밑(beneath)", "아래(below)", "하부(lower)", "위(above)", "상부(upper)" 등과 같은 공간적으로 관련된 용어들은 도면에 도시된 다른 구성요소(들) 또는 피처(들)에 대한 한 구성요소 또는 피처들의 관계를 설명하도록 설명의 용이를 위해서 사용된다. 이러한 공간적으로 상대적인 용어들은 상기 소자가 도면에 도시된 방향에 더해서 사용 또는 동작시에 상기 소자의 여러 방향을 포함하기 위한 것이다. 상기 소자는 다르게(90도 또는 다른 방향으로 회전되어) 방향이 설정될 수 있으며, 본원에 사용된 공간적으로 상대적인 기술어는 이와 동일하게 해석될 수 있다.
콘택 플러그를 포함한 상호연결 구조물와 그것을 형성하는 방법이 여러 예시적인 실시예들에 따라 제공된다. 상기 상호연결 구조물을 형성하는 중간 단계들이 도시되어 있다. 실시예들에 대한 변형들이 설명된다. 여러 도면들과 도시된 실시예들에 걸쳐 동일한 참조 부호들이 동일한 요소들을 지칭하도록 사용된다.
도 1 내지 12는 일부 실시예에 따라 배선 구조물의 형성에 중간 단계의 단면도를 나타낸다. 도 1 내지 도 12에 도시된 단계들은 또한 도 14에 도시된 바와 같이 프로세스 흐름(200)에 개략적으로 도시되어 있다. 후속적인 설명에서, 도 1 내지 도 12의 프로세스 단계들은 도 14의 프로세스 단계들을 참고하여 설명된다.
도 1은 반도체 기판(20) 및 반도체 기판(20)의 상면에 형성하는 피처들을포함하는 웨이퍼(100)를 도시한다. 일부 실시예에 따라, 반도체 기판(20)은 결정질 실리콘, 결정질 게르마늄, 실리콘 게르마늄, III-V 족 화합물 반도체, 및/또는 그와 같은 것들을 포함한다. 상기 반도체 기판(20)은 벌크 실리콘 기판 또는 실리콘 온 인슐레이터(SOI) 기판일 수 있다. 쉘로우 트렌치 분리(Shallow Trench Isolation : STI) 영역(22)은 반도체 기판(20)에서 활성 영역을 분리하기 위해 반도체 기판(20)에 형성될 수 있다.
(26A,26B, 26C, 26D, 및 26E를 포함하는) 복수의 게이트 스택(26)들은, 반도체 기판(20) 위에 형성된다. 일부 실시예에 따라, 게이트 스택(26)들은,(도면에 미도시된) 더미 게이트 스택을 형성한 다음 더미 게이트 스택을 교체 게이트들로 교체함으로써 형성되는 교체 게이트들이다. 따라서, 게이트 스택(26)들 각각은 게이트 유전체(28)와, 그 위의 게이트 전극(30)을 포함한다. 게이트 전극(28)들은 또한 각각의 게이트 전극(30)들 밑에 있는 하부와, 게이트 전극(30)들 각각의 측벽부들을 더 포함한다. 본 발명의 일부 실시예에 따르면, 게이트 유전체(28)들은 실리콘 산화물과, 실리콘 질화물과, 하프늄 산화물, 란탄 산화물, 알루미늄 산화물과 같은 높은 k 유전체 재료, 이들의 조합, 및/또는 이들의 다중 층들을 포함한다. 게이트 전극(30)은 예컨대, TiAl, 코발트, 알루미늄, 질화 티탄, 질화 탄탈 등을 포함하는 금속 게이트들로 될 수 있고, 여러 재료의 다중 층을 포함할 수 있다. 게이트 전극(30)을 포함하는 각각의 트랜지스터가 P-형 금속, P-형 금속-산화물 - 반도체(PMOS) 트랜지스터 또는 N-형 금속 - 산화물 - 반도체(NMOS) 트랜지스터인지에 따라, 상기 게이트 전극(30)의 재료들은 각각의 MOS 트랜지스터들에 대해 적당한 일함수(work functions)를 갖도록 선택된다. 게이트 스페이서(32)들은 게이트 스택(26)의 측벽에 형성된다. 그 게이트 스페이서(32)들은 실리콘 산화물, 실리콘 질화물을 포함할 수 있다.
도 1에 도시된 바와 같이, 게이트 스택(26A, 26B, 26D, 26E)들과 같은 일부 게이트 스택들은 유전체층(36)에 의해 덮힌다. 이러한 게이트 스택들의 부분은 라우팅 라인으로서 작용할 수 있으며, 도 1에 의해 도시된 평면 또는 도 1에 도시되지 않은 평면들에 트랜지스터를 형성할 수 있다. 일부 실시예에 따라, 유전체층(36)들은 실리콘 산화물, 실리콘 질화물, 실리콘 카바이드, 실리콘 산질화물 등과 같은 유전체 재료를 포함한다. 게이트 스택(26C)과 같이, 다른 게이트 스택들은 도전층(38)으로 덮힌다. 게이트 스택(26C)은 또한 그 위에 형성된 유전체층(36)을 가질 수 있으며, 도전층(38)이 유전체층(36) 위에 각각 위치하는 개구부에 형성된다. 그러나, 게이트 스택(26C)을 중첩하는 유전체층(36)은 도 1에 도시된 것과 같이 동일한 평면에 있지 않기 때문에, 각각의 유전체층(36)은 도 1에 도시되지 않는다.
층간 유전체(ILD)(34)는 반도체 기판(20) 위에 형성되고, 게이트 스택(26)들과 게이트 스페이서(30)들 사이의 공간을 충전한다. 따라서, ILD(34) 및 게이트 스택(26)들은 동일한 레벨로 형성된다. 명세서 전체에서, ILD(34)는 ILD0(34)로서 지칭된다. 일부 실시예들에서, ILD0(34)는 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 붕소 도핑된 포스포실리케이트 유리(BPSG), 불소 도핑된 실리케이트 유리, 테트라에틸오르토실리케이트(tetraethyl orthosilicate :TEOS) 등을 포함한다.
MOS 트랜지스터(24)의 소스 및 드레인 영역들(이하, 소스/드레인 영역이라 함)은 반도체 기판(20)에 형성된다. 일부 실시예에 따라, 소스/드레인 영역(24)은, 각 트랜지스터가 p-형 MOS 트랜지스터 또는 n-형 MOS 트랜지스터인지 여부에 따라, p-형 또는 n-형 불순물을 포함한다. 소스/드레인 영역(24)은 각각의 트랜지스터가 p-형 MOS 트랜지스터인 경우에는 SiP를, 또는 각각의 트랜지스터가 n-형 MOS 트랜지스터인 경우에는 SiGe를 포함할 수 있다. 소스/드레인 영역(24)의 형성은, 반도체 기판(20)에 리세스를 형성하고, 그 리세스에 에피택셜 소스/드레인 영역(24)을 성장시키는 것을 포함할 수 있다. 일부 예시적인 실시예에서, 게이트 스택(26D)과, 그 게이트 스택(26D)의 대향하는 측면들 상의 소스/드레인 영역(24)들이 트랜지스터를 형성한다.
소스/드레인 콘택 플러그(42)(42A와 42B 포함)는 ILD0(34)에 형성된다. 소스/드레인 콘택 플러그(42)의 상면은 유전체층(36)과 ILD0(34)의 상면과 동일 평면 또는 사실상 동일 평면 상에 있을 수 있다. 도 1은 소스/드레인 콘택 플러그(42)가 게이트 스페이서(32)와 접촉하는 것을 개략적으로 도시하고 있지만, 소스/드레인 콘택트 플러그(42)는 ILD0(34)에 의해 게이트 스페이서(32)로부터 이격될 수 있다. 일부 실시예에 따라, 소스/드레인 콘택 플러그(42)은 텅스텐, 구리, 알루미늄, 또는 이들의 합금으로 형성된다. 소스/드레인 콘택트 플러그(42)는 티타늄, 질화 티탄, 탄탈, 질화 탄탈, 질화 탄탈 등을 포함할 수 있다. 소스/드레인 콘택 플러그(42)는 전기적으로 각각의 하부 소스/드레인 영역(24)에 전기적으로 연결된다. 소스/드레인 실리사이드 영역(도시 생략)들은 소스/드레인 영역(24)과 상부 소스/드레인 콘택 플러그(42A, 42B)들 각각 사이에서 접촉되게 형성될 수 있다.
도 13은 일부 실시예에 따라, 게이트 스택(26)과 소스/드레인 콘택트 플러그(42A, 42B)들을 포함하는 구조물의 평면도를 도시한다. 도시된 실시예에서, 케이트 스택(26)들은 서로 평행한 스트립들로서 형성된다. 상기 평행한 게이트 스택(26)들은 균일한 피치와 균일한 간격을 갖는다. 소스/드레인 콘택 플러그(42A,42B)들은 각각의 폭 보다 상당히 더 큰 길이를 갖는 스트립들인 슬롯 콘택 플러그들로서 도시되어 있다. 상기 슬롯 콘택 플러그들은 또한 소스와 드레인 영역(24)에 연결하는 기능에 더하여 라우팅 라인들로서 사용될 수 있다(도 1 참조). 변형예에서, 평면도에서, 소스/드레인 콘택 플러그(42A,42B)들은 또한 서로 유사한 길이와 폭을 가질 수 있다.
도 1에 도시된 프로세스 단계로 돌아가서, 에칭 정지층(44)이 게이트 스택(26), 소스/드레인 콘택 플러그(42) 및 ILD0(34) 위에 형성된다. 상기 에칭 정지층(44)은 실리콘 카바이드, 실리콘 산질화물, 실리콘 카보나이트라이드 등을 포함할 수 있다. 상기 ILD(46)은(이하, ILD1(46)로 지칭한다) 에칭 정지층(44) 위에 형성된다. 일부 실시예에 따라, ILD1(46)은 PSG, BSG, PBSG, FSG, TEOS 또는 비-다공질의 낮은 k 유전체 재료로부터 선택된 재료를 포함한다. 상기 ILD1(46)와 ILD0(34)는 동일한 재료 또는 상이한 재료들로 형성될 수 있으며, 동일한 그룹의 후보 재료들로부터 선택될 수도 있다. ILD1(46)는 스핀 코팅, 유동성 화학적 증착(FCVD) 등을 이용하여 형성된다. 본 발명의 변형예에서, ILD1(46)은 플라즈마 강화 화학 기상 증착(PECVD), 저압 화학 기상 증착(LPCVD) 등과 같은 증착 방법을 이용하여 형성된다.
도 2에 도시된 프로세스 단계에 있어서(도 14의 프로세스 흐름도의 단계(202)), ILD1(46)와 에칭 정지층(44)들은 콘택 개구부(48)(48A, 48B 포함)를 형성하도록 에칭된다. 상기 에칭은 예를들어 반응성 이온 에칭(Reactive Ion Etch :RIE)을 이용하여 형성된다. 에칭 프로세스 후에, 콘택 플러그(42A)와 ILD0(34)들은 콘택 개구부(48, 48B)에 각각 노출된다. 상기 콘택 개구부(48)의 측벽들이 사실상 수직이 되도록, 상기 에칭은 이방성으로 될 수 있다.
그런 다음, 도 3에 도시된 프로세스 단계에 있어서(도 14의 프로세스 흐름도의 단계(204)), 유전체 보호층(50)이 형성된다. 일부 실시예에 따라, 유전체 보호층(50)은 SiN, SiON, SiCN, SiOCN, AlON, AlN, 이들의 조합에서 선택된 유전체 재료 및/또는 이들의 다중 층들로 구성될 수 있다. 상기 유전체 보호층(50)의 두께(T1, T2)는 약 3 nm 내지 10 nm 사이의 범위로 될 수 있다. 그러나, 본 명세서 전체에서 인용된 값들은 단지 예시적인 것이며, 다른 값들로 변경될 수도 있다.
본 발명의 일부 실시예에 따라, 유전체 보호층(50)은 PECVD, 플라즈마 강화 원자층 증착(PEALD), 원자층 증착(ALD), 고밀도 플라즈마 화학 기상 증착(HDPCVD) 등의 방법을 사용하여 형성된다.
유전체 보호층(50)은 수평부분의 두께 T1과 수직부분의 두께 T2가 서로 유사한 정합층으로 될 수 있다. 예를들어, 차이 |T1-T2|는 두가지 두께 T1과 T2의 약 20% 보다 작거나, 또는 약 10% 보다 작다. 유전체 보호층(50d)은 ILD1(46) 위의 일부분을 포함하고, 다른 부분들은 콘택 개구부(48A, 48B)로 연장된다. 또한, 유전체 보호층(50)은 상기 콘택 개구부(48A, 48B)의 저면들을 덮는다.
그런 다음, 도 4에 도시된 프로세스 단계에서(역시 도 14의 프로세스 흐름도의 단계(204)), 에칭 단계는 예를들어 건식 에칭을 사용하여 수행된다. 유전체 보호층(50)의 수평부분들을 제거하고, 유전체 보호층(50)의 수직 부분들은 콘택 개구부(48)에 남겨진다. 도 4에 도시된 구조물의 상면에서, 남은 유전체 보호층(50)은 완전한 링들을 형성하며, 그 각각의 링은 상기 콘택 개구부(48A, 48B) 들의 하나를 둘러싼다(도 13). 상기 콘택 개구부(48A, 48B)의 저면에서 유전체 보호층(50) 부분들이 제거되고, 다라서 소스/드레인 콘택 플러그(42A)과 ILD0(34)들은 각각의 콘택 개구부(48A, 48B)들에 다시 노출된다.
도 5에 도시된 프로세스 단계에 있어서(도 14의 프로세스 흐름도의 단계(206)),(52A, 54B를 포함한) 콘택 플러그(52)들은 콘택 개구부(48A, 48B)들 각각에 형성된다(도 4 참조). 본 발명의 일부 실시예에 따라, 콘택 플러그(52)들은 텅스텐, 알루미늄, 구리, 티타늄, 탄탈, 질화 티탄, 질화 탄탈, 그의 합금에서 선택된 재료 및/또는 그들의 다수의 층들로 형성된다. 상기 콘택 플러그(52)들의 형성은, 도전체 재료가 콘택 개구부(48A, 48B)들을 전체적으로 충전할 때까지, 콘택 개구부(48A, 48B)들 속에 도전체 재료를 충전하고, 콘택 개구부(48A, 48B)들을 ILD1(46)의 상면과 같은 레벨로(화학적 기계적 폴리싱(CMP)와 같이) 평탄화를 수행한다. 결과적인 구조물에서, 유전체 보호층(50)은 도 13에서 평면도로 도시된 바와 같이 콘택 플러그(52)들 각각을 둘러싸는 완전한 링들을 형성한다.
일부 실시예에 따라, 도 13에 도시된 바와 같이, 콘택 플러그(52A)들의 길이와 폭들은 밑의 콘택 플러그(42A)의 폭과 길이와 동일하거나 상이하게 될 수 있다. 또한, 콘택 플러그(52A, 42A)들은 다른 프로세스들에서 형성되기 때문에, 콘택 플러그(52A, 42A)들은 서로 구별될 수 있다.
다음, 도 6에 도시된 프로세스 단계에 도시된 바와 같이(도 14의 프로세스 흐름도의 단계(208)), 본 발명의 일부 실시예에 따라, 콘택 플러그(54A, 54B)들은 에치 백(etch back)되어 ILD1(46)에 리세스(54)(54A, 54B를 포함함)들을 형성한다. 상기 리세스(54A, 54B)들의 깊이(D1)는 약 5nm 보다 크며, 약 5nm 과 약 20nm 사이의 범위에 있을 수 있다.
도 7은 유전체 캐핑층(56)의 증착을 보여준다(도 14의 프로세스 흐름도의 단계(210)). 일부 실시예들에 따라, 유전체 캐핑층(56)은 리세스(54A, 54B)들을 완전히 충전하며, 상기 유전체 캐핑층(56)의 상면은 ILD1(46)의 상면 보다 더 높다. 따라서, 유전체 캐핑층(56)의 두께(T3)는 약 5nm 보다 더 크며, 약 5nm과 20nm 사이 범위로 될 수 있다. 일부 실시예에 따라, 유전체 캐핑층(56)은 SiN, SiON, SiCN, SiOCN, AlON, AlN, 이들의 조성물에서 선택된 유전체 재료 및/또는 이들의 다수의 층들로 구성된다. 유전체 캐핑층(56)은 PECVD, PEALD, ALD, HDP CVD, 및 이와 유사한 방법을 사용하여 형성될 수 있다. 일부 실시예에 따라, 유전체 캐핑층(56)과 유전체 보호층(50)들은 동일한 유전체 재료로 형성된다. 변형예에 따라, 유전체 캐핑층(56)과 유전체 보호층(50)들은 상이한 재료로 형성된다. 그러나, 유전체 캐핑층(56)과 유전체 보호층(50)들은 ILD1(46)와 다른 피처를 가짐으로써, ILD1(46)의 후속적인 에칭에서, 유전체 캐핑층(56)과 유전체 보호층(50)은 ILD1(46)의 에칭 속도 보다 낮은 에칭 속도를 갖는다.
도 8에 도시된 프로세스 단계에 있어서(도 14의 프로세스 흐름도의 단계(210)), 유전체 캐핑층(56)의 잉여 부분들을 제거하기 위해 CMP와 같은 평탄화가 수행되며, 여기서 유전체 캐핑층(56)의 잉여 부분들은 ILD1(46)의 상면 위에 있다. 따라서, 유전체 캐핑층(56)의 잔류 부분의 상면들은 ILD1(46)의 상면과 같은 레벨로 된다. 또한, 본 발명의 일부 실시예에 따라, 유전체 캐핑층(56)의 잔류 부분들의 상면들은 유전체 보호층(50)의 상부 에지들과 같은 레벨로 될 수 있다. 상기 유전체 캐핑층(56)의 잔류 부분들의 측부 에지들도 또한 일부 예시적인 실시예들에서 콘택 플러그(52B)의 각각의 에지들과 정렬될 수 있다. 또한, 유전체 캐핑층(56)의 잔류 부분들의 측부 에지들은 유전체 보호층(50)과 접촉된다.
유전체 캐핑층(56)과 유전체 보호층(50)의 형성의 결과로서, 콘택 플러그(52B)는 유전체 캐핑층(56)과 유전체 보호층(50)에 의해 상면과 측면들 모두가 보호된다. 유전체 캐핑층(56)과 유전체 보호층(50)은 함께 반전된 분지 형태를 형성하며, 그 반전된 분지에서 콘택 플러그(52B)와 접촉된다.
도 8의 프로세스 단계에 도시된 바와 같이, 콘택 플러그(52B)는 ILD0(34) 위에 접촉되게 형성될 수 있다. 도 13에 평면도로 도시된 바와 같이, 콘택 플러그(52)는 슬롯 콘택 플러그들로 될 수 있다. 콘택 플러그(52B)는 상호연결 목적으로 사용되는 라우팅 라인으로서 사용된다. 콘택 플러그(52B)의 대향하는 단부들의 연결은 도시되어 있지 않지만, 콘택 플러그(52B)의 대향하는 단부들은(도면에 도시되지 않은) 소스/드레인(실리사이드) 영역들에 전기적으로 연결되거나 및/또는(도시되지 않은) 덮히는 콘택 플러그에 연결될 수 있으며, 그것은 도 12에 도시된 콘택 플러그(64C)와 유사하게 될 수 있다.
본 발명의 예시적인 실시예에 따라, 콘택 플러그(52A, 52B), 유전체 캐핑층(56) 및 보호층(50)들이 도 13에 평면도로 도시되어 있다. 도 13에 도시된 바와 같이, 콘택 플러그(52A, 52B), 유전체 캐핑층(56)은 각각의 폭 보다 상당히 더 큰 길이를 갖는 슬롯 콘택 플러그들로서 형성될 수 있다. 변형예에서, 플러그(52) 및 유전체 캐핑층(56)는 또한 각각의 폭에 근접한 길이를 가질 수 있으며, 정사각형에 유사한 평면 형상을 갖는다. 콘택 플러그(52A, 52B)는 또한 유전체 캐핑층(56)의 위에 덮힌 잔류부분들에 의해 전체적으로 중첩된다. 일부 실시예에 따라, 콘택 플러그(52A, 52B)는 각각 밑에 놓인 콘택 플러그(42A, 42B)와 각각 중첩된다.
도 9의 프로세스 단계에서, ILD2(58)가 형성된다. ILD2(58)는 스핀 코팅, FCVD 등과 같은 기술을 사용하여 형성될 수 있다. 본 발명의 변형예들에서, ILD2(58)는 PECVD, LPCVD 등과 같은 증착 방법을 사용하여 형성될 수 있다. 일부 실시예들에서, ILD2(58)는 ILD1(46) 위에서 접촉된다. 변형예들에서,(도시되지 않은) 에칭 정지층이 ILD1(46)와 ILD2(58) 사이에 형성된다. 에칭 정지층이 형성되는 경우에, 그 에칭 정지층은 실리콘 카바이드, 실리콘 산질화물, 실리콘 탄질화물 등으로 구성될 수 있다. ILD2(58)는 에칭 정지층 위에 형성된다. ILD2(58)는 PSG, BSG, PBSG, FSG, TEOS 또는 비다공성의 낮은 k의 유전체 재료로부터 선택된 재료로 구성될 수 있다. ILD2(58), ILD1(46) 및 ILD0(34)들은 동일한 재료 또는 상이한 재료들로 형성될 수 있다.
도 10의 프로세스 단계에서, ILD2(58)는 콘택 개구부(60)를 형성하도록 에칭된다. 각각의 단계가 도 14에 도시된 프로세스 흐름도의 단계(212)으로 도시되어 있다. 콘택 개구부(60)는 유전체 캐핑층(56)(도 9)과 콘택 플러그(52A)와 정렬된다. ILD(58)의 에칭을 한 다음, 유전체 캐핑층(56)이 에칭되어서 콘택 플러그(52A)가 노출된다. 일부 실시예들에서, 개구부(60)의 저면은 유전체 보호층(50)의 상부 에지들 보다 낮다. 개구부(60)의 저면은 콘택 플러그(52B)와 같은 평면으로 될 수 있다.
다음, 도 11의 프로세스 단계에서, 추가적인 에칭 단계가 ILD2(58)와 ILD1(46)의 개구부(62)를 형성하도록 형성된다. 각각의 단계가 도 14에 도시된 프로세스 흐름도의 단계(214)로서 도시된다. 이 단계에서, 부식제가 ILD2(58)와 ILD1(46)를 침입하되 유전체 캐핑층(56)과 유전체 보호층(50)은 침입하지 않도록 부식제가 선택된다. 유전체 캐핑층(56)과 유전체 보호층(50)의 에칭 속도에 대한 ILD2(58)과 ILD1(46)의 에칭 속도의 비율인 예를들어, 에칭(부식제의) 선택도는 약 10 보다 더 크다. 그 에칭 선택도는 또한 약 50 보다 더 크고 약 100 보다 작을 수 있다. 바람직한 에칭 선택도는 ILD1(46)의 T4의 두께, 유전체 캐핑층(56)의 두께와 관련되며, 두께 비 T4/T5 보다 적어도 더 크다. 바람직한 에칭 선택도는 또한 두께 비 T4/T5 의 두배 보다 더 크게 될 수도 있다. 이것은, 부정 정렬이 발생될 때, 개구부(62A)가 부호(63)로 표시된 위치로 이동하는 것을 보장하며, 그 때, 유전체 캐핑층(56)과 유전체 보호층(50)은 관통 에칭되지 않고, 후속적으로 형성된 콘택 플러그(64A)는(도 12) 콘택 플러그(52B)에 전기적으로 쇼트되지 않게 된다.
ILD2(58)와 ILD1(46)이 에칭된 다음, 에칭 정지층(44)이 개구부(62A, 62B)들을 관통하여 에칭되어서 밑의 도전층(38)과 콘택 플러그(42B)를 노출시키게 된다.
도 12는(도11의) 개구부(62A, 62B, 60)들에 콘택 플러그(64)(64A, 64B, 64C 포함)의 형성을 각각 도시하고 있다. 각각의 단계는 또한 도 14에 도시된 프로세스 흐름도의 단계(216)으로 도시되어 있다. 그러한 형성 프로세스는, 도전성 재료의 상면이 ILD2(58)의 상면 보다 더 높을 때까지, 개구부(62A, 62B, 60)들 속에 도전성 재료를 충진시키고, 그 도전성 재료의 과잉 부분들을 제거하도록 CMP와 같은 평탄화를 수행하는 것을 포함한다. 그 도전성 재료의 잔류부분들이 콘택 플러그(64)들이다. 도 12에 도시된 바와 같이, 콘택 플러그(64A)는 게이트 스택(26C)의 게이트 전극(30)에 전기적으로 연결된 게이트 콘택 플러그이다. 콘택 플러그(64B)는 콘택 플러그(42B)에 전기적으로 연결된 소스/드레인 콘택 플러그이며, 그것은 또한 각각의 밑의 소스/드레인 영역(24)에 연결된다. 콘택 플러그(64C)는 콘택 플러그(52A, 42A)들에 전기적으로 연결된 소스/드레인 콘택 플러그이며, 그것은 또한 각각의 밑의 소스/드레인 영역(24)에 연결된다.
도 12에 도시된 구조물의 평면을 개략적으로 도시하는 도 13에 있어서, 콘택 플러그(64A, 64B, 64C)는, 길다란 형상이 사용될 수도 있지만, 정사각형과 같이 길다랗지 않은 형상의 평면 형상들을 가질 수 있다. 또한, 콘택 플러그(64A)는 콘택 플러그(52B)에 근접하여 있다. 그러므로, 부정 정렬이 발생되는 경우, 콘택 플러그(64A)의 위치는 콘택 플러그(52B)의 일부분을 중첩하도록 바람직하지 못하게 이동될 수 있다. 도 12에 도시된 바와 같이, 그러한 부정 정렬이 발생되는 경우, 개구부(62A)를 형성하는 동안에 유전체 캐핑층(56)과 유전체 보호층(50)은 ILD2(58)와 ILD1(46)의 에칭시에 에칭 정지층들로서 작용하며, 유전체 캐핑층(56)과 유전체 보호층(50)은 관통하여 에칭되지 않는다. 따라서, 콘택 플러그(64A)가 형성될 때, 도 12에 도시된 바와 같이, 유전체 캐핑층(56)과 유전체 보호층(50)은 콘택 플러그(64A)를 콘택 플러그(52B)로부터 전기적으로 절연시키며, 콘택 플러그(64A)와 콘택 플러그(52B) 사이의 바람직하지 못한 쇼트가 발생되지 않는다.
도 12에 도시된 바와 같이, 부정 정렬이 발생되면, 점선으로 도시된 결과적인 콘택 플러그(64A)는 유전체 보호층(50)의 상부 에지에 랜딩하는 저면(65)과 가능한 유전체 캐핑층(56)의 상면을 갖는다. 대조적으로, 유전체 캐핑층(56)과 유전체 보호층(50)이 도 12에 도시된 단계에서 부정정렬 때문에 콘택 플러그(64)가 위치(63)로 이동하게 형성되지 않으면, 콘택 플러그(64A)와 콘택 플러그(52B)는 쇼트될 것이다.
도 14는 도 1 내지 도 12의 프로세스들에 대한 프로세스 흐름(200)을 개략적으로 도시하고 있다. 상기 프로세스 흐름은 본 명세서에서 간략하게 설명된다. 프로세스 흐름의 세부 사항은 도 1 내지 12의 설명에서 알 수 있을 것이다. 단계(202)에서, 콘택 개구부(48A, 48B)들은 도 2에 도시된 바와 같이 ILD1(46)에 형성된다. 도 14의 프로세스 흐름의 단계(204)에서, 유전체층(50)이 형성되며, 각각의 형성 프로세스는 도 3과 4에 도시되어 있다. 도 14의 프로세스 흐름의 단계(206)에서, 콘택 플러그(52)가 ILD1(46)에 형성되고, 그 각각의 형성 프로세스는 도 5에 도시되어 있다. 도 14의 프로세스 흐름의 단계(208)에서, 콘택 플러그(52)는 리세스(54)를 형성하도록 리세싱되며, 그 각각의 형성 프로세스는 도 6에 도시되어 있다. 도 14의 프로세스 흐름의 단계(210)에서, 유전체 캐핑층(56)은 콘택 플러그(52)를 덮도록 형성되며, 그 각각의 형성 프로세스는 도 7과 8에 도시되어 있다. 도 14의 프로세스 흐름의 단계(212)에서, 콘택 개구부(60)가 형성되며, 그 각각의 형성 프로세스는 도 10에 도시되어 있다. 도 14의 프로세스 흐름의 단계(214)에서, 콘택 개구부(62)가 형성되며, 그 각각의 형성 프로세스는 도 11에 도시되어 있다. 도 14의 프로세스 흐름의 단계(216)에서, 콘택 개구부(60, 62)들은 콘택 플러그(64)를 형성하도록 충전되며, 그 각각의 형성 프로세스는 도 12에 도시되어 있다.
본 발명의 실시예들은 여러 이점적인 특징들을 갖는다. 콘택 플러그들을 보호하기 위하여 유전체 보호층과 유전체 캐핑층을 형성함으로써, 콘택 플러그들에 인접한 이웃하는 콘택 플러그들이 부정 정렬을 갖더라도, 유전체 보호층과 유전체 캐핑층은 근접하게 위치한 콘택 플러그들을 절연시키도록 남아 있게 될 것이다.
본 발명의 일부 실시예들에 따라, 집적된 회로 구조물은 제 1 ILD, 상기 제 1 ILD 내의 게이트 스택, 상기 제 1 ILD 위의 제 2 ILD, 상기 제 2 ILD 내의 콘택 플러그, 및 상기 콘택 플러그의 대향하는 측면 상의 상기 콘택 플러그와 접촉된 유전체 보호층을 포함한다. 상기 콘택 플러그와 유전체 보호층은 제 2 ILD에 있다. 유전체 캐핑층은 상기 콘택 플러그 위에서 접촉하여 위치한다.
본 발명의 변형예에 따라, 집적된 회로 구조물은 제 1 ILD, 상기 제 1 ILD 위의 에칭 정지층, 상기 에칭 정지층 위의 제 2 ILD, 및 상기 제 2 ILD의 슬롯 콘택 플러그를 포함한다. 상기 슬롯 콘택은 에칭 정지층을 침투하여 제 1 ILD의 상면에서 접촉한다. 유전체 보호층은 슬롯 콘택 플러그의 대향하는 측면 상의, 슬롯 콘택 플러그와 접촉하는 부분들을 포함한다. 유전체 캐핑층은 슬롯 콘택 플러그 위에 접촉되며, 상기 슬롯 콘택 플러그, 유전체 보호층 및 유전체 캐핑층들은 제 2 ILD에 위치한다.
본 발명의 변형예에 따른 방법은, 게이트 스택이 제 1 ILD에 위치하게 제 1 ILD 위에 제 2 ILD를 형성하고, 제 1 콘택 개구부를 형성하도록 제 2 ILD를 에칭하며, 상기 제 1 콘택 개구부의 대향하는 측벽들 상에 유전체 보호층을 형성하고, 상기 제 1 콘택 플러그가 유전체 보호층의 대향하는 부분들 사이에 있도록 상기 제 1 콘택 개구부에 제 1 콘택 플러그를 형성하는 것을 포함한다. 상기 방법은 또한 제 1 콘택 플러그 위에서 접촉하는 유전체 캐핑층을 형성하고, 제 2 ILD 위에 제 3 ILD를 형성하며, 상기 제 2 ILD와 제 3 ILD에 제 2 콘택 개구부를 형성하고, 제 2 콘택 플러그를 형성하도록 상기 제 2 콘택 개구부를 충전시키는 것을 포함한다.
위의 설명은 본 기술 분야의 숙련된 자들이 본 발명의 태양들을 보다 잘 이해할 수 있도록 여러 실시예들의 특징들을 서술하였다. 본 기술 분야의 숙련된 자들은, 본 명세서에 기술된 실시예들과 동일한 목적을 달성하고 및/또는 동일한 이점을 얻기 위해 다른 프로세스와 구조물로 변경하는 기초로서 용이하게 사용할 수 있음을 이해할 것이다. 본 기술 분야의 숙련된 자들은 또한 본 발명의 기술적 사상과 범위를 벗어나지 않는 균등한 구조물을 구현할 것이며, 본 발명의 기술적 사상과 범위를 벗어나지 않고 여러 변경, 대체 및 변형을 만들 수 있을 것이다.

Claims (10)

  1. 집적 회로 구조물에 있어서,
    제 1 층간 유전체(Inter-Layer Dielectric; ILD);
    상기 제 1 ILD 내의 게이트 스택;
    상기 제 1 ILD 위의 제 2 ILD;
    상기 제 2 ILD 내의 제 1 콘택 플러그;
    상기 제 1 콘택 플러그의 대향하는 측면 상의, 상기 제 1 콘택 플러그와 접촉하는 유전체 보호층 - 상기 제 1 콘택 플러그와 상기 유전체 보호층은 상기 제 2 ILD 내에 위치됨 - ; 및
    상기 제 1 콘택 플러그 위의, 상기 제 1 콘택 플러그에 접촉하는 유전체 캐핑층을 포함하는 집적 회로 구조물.
  2. 제 1항에 있어서, 상기 제 2 ILD 위의 제 3 ILD; 및
    상기 제 3 ILD의 상면으로부터 상기 제 2 ILD의 저면까지 연장되고, 상기 게이트 스택에 전기적으로 연결된 제 2 콘택 플러그를 더 포함하는 집적 회로 구조물.
  3. 제 2항에 있어서, 상기 제 2 콘택 플러그는 상기 유전체 보호층의 상부 에지와 접촉하는 제 1 저면을 포함하는 것인 집적 회로 구조물.
  4. 제 1항에 있어서, 상기 제 1 콘택 플러그는 상기 제 1 ILD의 상면과 접촉하는 저면을 포함하는 것인 집적 회로 구조물.
  5. 제 1항에 있어서, 상기 유전체 보호층과 상기 유전체 캐핑층은 동일한 유전체 재료로 형성된 것인 집적 회로 구조물.
  6. 제 1항에 있어서,
    소스/드레인 영역;
    상기 소스/드레인 영역 위에서 상기 소스/드레인 영역에 전기적으로 연결되고, 상기 제 1 ILD 내에 위치하는 제 3 콘택 플러그;
    상기 제 3 콘택 플러그 위에서 상기 제 3 콘택 플러그에 접촉되고, 제 2 ILD 내에 위치하는 제 4 콘택 플러그; 및
    상기 제 4 콘택 플러그 위에서 상기 제 4 콘택 플러그에 접촉하고, 상기 제 3 ILD의 상면으로부터 상기 제 2 ILD으로 연장된 제 5 콘택 플러그를 더 포함하는 것인 집적 회로 구조물.
  7. 제 6항에 있어서, 상기 제 5 콘택 플러그의 저면은 상기 제 1 콘택 플러그의 상면과 동일 평면 상에 있는 것인 집적 회로 구조물.
  8. 제 1항에 있어서, 상기 유전체 캐핑층의 상면은 상기 제 2 ILD의 상면과 동일 평면 상에 있는 것인 집적 회로 구조물.
  9. 집적 회로 구조물에 있어서,
    제 1 층간 유전체(ILD);
    상기 제 1 ILD 위의 에칭 정지층;
    상기 에칭 정지층 위의 제 2 ILD;
    상기 에칭 정지층을 관통하여 상기 제 1 ILD의 상면에 접촉하는, 상기 제 2 ILD 내의 제 1 슬롯 콘택 플러그;
    상기 제 1 슬롯 콘택 플러그의 대향하는 측면 상의, 상기 제 1 슬롯 콘택 플러그에 접촉하는 부분들을 포함하는 유전체 보호층; 및
    상기 제 1 슬롯 콘택 플러그 위에서 상기 제 1 슬롯 콘택 플러그와 접촉하는 유전체 캐핑층을 포함하고,
    상기 제 1 슬롯 콘택 플러그, 상기 유전체 보호층, 및 상기 유전체 캐핑층은 상기 제 2 ILD 내에 있는 것인 집적 회로 구조물.
  10. 제 1 층간 유전체(ILD) - 상기 제 1 ILD 내에 게이트 스택이 위치됨 - 위에 제 2 ILD를 형성하는 단계;
    상기 제 2 ILD를 에칭하여 제 1 콘택 개구부를 형성하는 단계;
    상기 제 1 콘택 개구부의 대향하는 측벽들 상에 유전체 보호층을 형성하는 단계;
    상기 제 1 콘택 개구부 - 상기 제 1 콘택 플러그는 상기 유전체 보호층의 대향하는 부분들 사이에 위치함 - 내에 제 1 콘택 플러그를 형성하며;
    상기 제 1 콘택 플러그 위에서 상기 제 1 콘택 플러그와 접촉하는 유전체 캐핑층을 형성하는 단계;
    상기 제 2 ILD 위에 제 3 ILD를 형성하하는 단계;
    상기 제 2 ILD와 상기 제 3 ILD 내에 제 2 콘택 개구부를 형성하는 단계; 및
    상기 제 2 콘택 개구부를 충전시켜 제 2 콘택 플러그를 형성하는 단계를 포함하는 방법.

KR1020140183271A 2014-06-12 2014-12-18 보호층과 자기정렬된 상호연결 KR101612636B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/302,616 US10998228B2 (en) 2014-06-12 2014-06-12 Self-aligned interconnect with protection layer
US14/302,616 2014-06-12

Publications (2)

Publication Number Publication Date
KR20150143263A KR20150143263A (ko) 2015-12-23
KR101612636B1 true KR101612636B1 (ko) 2016-04-14

Family

ID=54706306

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140183271A KR101612636B1 (ko) 2014-06-12 2014-12-18 보호층과 자기정렬된 상호연결

Country Status (4)

Country Link
US (3) US10998228B2 (ko)
KR (1) KR101612636B1 (ko)
CN (1) CN105280591B (ko)
DE (1) DE102014108872B4 (ko)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102017103464B4 (de) 2016-07-29 2021-09-30 Taiwan Semiconductor Manufacturing Co. Ltd. Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
DE102017118475B4 (de) 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN110233131B (zh) * 2018-03-02 2022-07-19 中芯国际集成电路制造(上海)有限公司 金属插塞以及金属插塞的形成方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
TW202104645A (zh) * 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
DE102020121496A1 (de) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen
US11532561B2 (en) * 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11264277B2 (en) * 2019-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with spacers for self aligned vias
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112838048A (zh) * 2019-11-22 2021-05-25 联华电子股份有限公司 互连结构以及其制作方法
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257753B2 (en) * 2020-01-21 2022-02-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and method for manufacturing the interconnect structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11404366B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US12046475B2 (en) * 2021-01-14 2024-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Surface oxidation control of metal gates using capping layer
US11854883B2 (en) * 2021-04-15 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US12074063B2 (en) * 2021-08-30 2024-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Contact formation method and related structure
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130175583A1 (en) 2012-01-06 2013-07-11 Globalfoundries Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
US20140138750A1 (en) 2012-11-16 2014-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Jog Design in Integrated Circuits

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6066555A (en) * 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US6348411B1 (en) * 1998-09-03 2002-02-19 Micron Technology, Inc. Method of making a contact structure
CN1248059A (zh) 1998-09-17 2000-03-22 世大积体电路股份有限公司 平坦的金属层间介电层或内层介电层的制造方法
JP2001077212A (ja) * 1999-08-31 2001-03-23 Toshiba Corp 半導体装置及びその製造方法
US6124192A (en) * 1999-09-27 2000-09-26 Vanguard International Semicondutor Corporation Method for fabricating ultra-small interconnections using simplified patterns and sidewall contact plugs
KR100351933B1 (ko) * 2000-08-28 2002-09-12 삼성전자 주식회사 반도체소자의 콘택 구조체 형성방법
TW548832B (en) * 2001-03-08 2003-08-21 Hitachi Ltd Method of producing semiconductor integrated circuit device and semiconductor integrated circuit device
US6696336B2 (en) * 2001-05-14 2004-02-24 Micron Technology, Inc. Double sided container process used during the manufacture of a semiconductor device
US6790721B2 (en) * 2001-07-13 2004-09-14 Micron Technology, Inc. Metal local interconnect self-aligned source flash cell
JP2003332531A (ja) * 2002-05-17 2003-11-21 Mitsubishi Electric Corp 半導体装置の製造方法
KR100726145B1 (ko) * 2002-07-19 2007-06-13 주식회사 하이닉스반도체 반도체소자 제조방법
US7074717B2 (en) * 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
TWI221004B (en) * 2003-04-03 2004-09-11 Promos Technologies Inc Semiconductor structure with locally-etched gate and method of manufacturing same
TW589720B (en) * 2003-07-10 2004-06-01 Powerchip Semiconductor Corp Split gate flash memory and manufacturing method thereof
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
KR100520227B1 (ko) * 2003-12-26 2005-10-11 삼성전자주식회사 반도체 메모리장치의 제조방법 및 그에 따른 구조
TWI249774B (en) * 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
TWI242797B (en) * 2004-06-01 2005-11-01 Nanya Technology Corp Method for forming self-aligned contact of semiconductor device
KR100630749B1 (ko) * 2005-05-20 2006-10-02 삼성전자주식회사 반도체 소자의 제조방법
KR100635925B1 (ko) * 2005-07-21 2006-10-18 삼성전자주식회사 반도체 장치의 배선 구조물 및 이의 형성 방법
US7723759B2 (en) 2005-10-24 2010-05-25 Intel Corporation Stacked wafer or die packaging with enhanced thermal and device performance
US20070202677A1 (en) * 2006-02-27 2007-08-30 Micron Technology, Inc. Contact formation
US20080124847A1 (en) * 2006-08-04 2008-05-29 Toshiba America Electronic Components, Inc. Reducing Crystal Defects from Hybrid Orientation Technology During Semiconductor Manufacture
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US8129235B2 (en) * 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
KR20090069857A (ko) 2007-12-26 2009-07-01 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8143699B2 (en) * 2009-02-25 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-dielectric MIM capacitors for system-on-chip applications
US8298937B2 (en) 2009-06-12 2012-10-30 International Business Machines Corporation Interconnect structure fabricated without dry plasma etch processing
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
JP2011124497A (ja) * 2009-12-14 2011-06-23 Toshiba Corp 半導体装置およびその製造方法
TWI447742B (zh) * 2010-07-16 2014-08-01 Inotera Memories Inc 記憶體佈局結構及記憶體結構
US9142462B2 (en) * 2010-10-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a contact etch stop layer and method of forming the same
KR20120065113A (ko) 2010-12-10 2012-06-20 삼성전자주식회사 수직 채널 트랜지스터들을 구비한 반도체 장치 및 그 제조방법.
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
CN102938378B (zh) * 2011-08-16 2015-06-17 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
US8581348B2 (en) 2011-12-13 2013-11-12 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
US8921226B2 (en) * 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9093285B2 (en) * 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US8993433B2 (en) * 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US8921947B1 (en) * 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9070711B2 (en) * 2013-08-02 2015-06-30 Globalfoundries Inc. Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices
US8962464B1 (en) * 2013-09-18 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for using two or more layers and methods of forming same
US10056353B2 (en) * 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
US9478636B2 (en) * 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US9455254B2 (en) * 2014-11-07 2016-09-27 Globalfoundries Inc. Methods of forming a combined gate and source/drain contact structure and the resulting device
TWI658591B (zh) * 2015-03-13 2019-05-01 聯華電子股份有限公司 半導體元件及其製作方法
US10062763B2 (en) * 2015-05-27 2018-08-28 Qualcomm Incorporated Method and apparatus for selectively forming nitride caps on metal gate
KR102326090B1 (ko) * 2015-10-16 2021-11-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102567527B1 (ko) * 2016-11-07 2023-08-16 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US10026824B1 (en) * 2017-01-18 2018-07-17 Globalfoundries Inc. Air-gap gate sidewall spacer and method
KR102217242B1 (ko) * 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102285271B1 (ko) * 2017-04-03 2021-08-03 삼성전자주식회사 반도체 장치
US10872980B2 (en) * 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10170377B1 (en) * 2017-09-20 2019-01-01 Globalfoundries Inc. Memory cell with recessed source/drain contacts to reduce capacitance
US10707131B2 (en) * 2018-08-14 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130175583A1 (en) 2012-01-06 2013-07-11 Globalfoundries Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
US20140138750A1 (en) 2012-11-16 2014-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Jog Design in Integrated Circuits

Also Published As

Publication number Publication date
CN105280591A (zh) 2016-01-27
DE102014108872B4 (de) 2019-10-17
DE102014108872A1 (de) 2015-12-17
US20210225707A1 (en) 2021-07-22
US20150364371A1 (en) 2015-12-17
US12009258B2 (en) 2024-06-11
US20240297076A1 (en) 2024-09-05
KR20150143263A (ko) 2015-12-23
US10998228B2 (en) 2021-05-04
CN105280591B (zh) 2018-09-11

Similar Documents

Publication Publication Date Title
US12009258B2 (en) Self-aligned interconnect with protection layer
US11532515B2 (en) Self-aligned spacers and method forming same
KR102472133B1 (ko) 집적회로 소자
KR102002691B1 (ko) 자가 정렬 스페이서와 그 제조 방법
US9269609B2 (en) Semiconductor isolation structure with air gaps in deep trenches
CN107452672B (zh) 半导体结构、制造其的方法及制造密封环结构的方法
US8736069B2 (en) Multi-level vertical plug formation with stop layers of increasing thicknesses
US8872248B2 (en) Capacitors comprising slot contact plugs
US8481425B2 (en) Method for fabricating through-silicon via structure
US10943904B2 (en) Integrated circuit device
TW201810391A (zh) 通過後閘極切割製程提高設備性能的設備及方法
US10804264B2 (en) Integrated circuit device
CN107068757A (zh) Finfet器件及其形成方法
US10262937B2 (en) Integrated circuit device
US10672890B2 (en) Integrated circuit device
US20160020144A1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant