CN105280591B - 具有保护层的自对准互连件 - Google Patents

具有保护层的自对准互连件 Download PDF

Info

Publication number
CN105280591B
CN105280591B CN201410441782.2A CN201410441782A CN105280591B CN 105280591 B CN105280591 B CN 105280591B CN 201410441782 A CN201410441782 A CN 201410441782A CN 105280591 B CN105280591 B CN 105280591B
Authority
CN
China
Prior art keywords
dielectric
contact plunger
contact
interlayer dielectric
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410441782.2A
Other languages
English (en)
Other versions
CN105280591A (zh
Inventor
严佑展
傅劲逢
李佳颖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105280591A publication Critical patent/CN105280591A/zh
Application granted granted Critical
Publication of CN105280591B publication Critical patent/CN105280591B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7812Vertical DMOS transistors, i.e. VDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

集成电路结构包括:第一层层间电介质(ILD)、位于第一ILD中的栅极堆叠件、位于第一ILD上方的第二ILD、位于第二ILD中的接触插塞、以及位于接触插塞的相对两侧上并且与接触插塞相接触的介电保护层。接触插塞和介电保护层位于第二ILD中。介电覆盖层位于接触插塞上方并且与接触插塞相接触。本发明还涉及具有保护层的自对准互连件。

Description

具有保护层的自对准互连件
技术领域
本发明涉及集成电路器件,更具体地,涉及具有保护层的自对准互连件。
背景技术
随着集成电路的制造技术的发展,集成电路器件变得越来越小。集成电路通过导电部件(诸如金属线、通孔和接触插塞)互连以形成功能电路。因此,导电部件之间的间距也变得越来越小。
发明内容
为了解决现有技术中的问题,本发明提供了一种集成电路结构,包括:第一层间电介质(ILD);栅极堆叠件,位于所述第一ILD中;第二ILD,位于所述第一ILD上方;第一接触插塞,位于所述第二ILD中;介电保护层,位于所述第一接触插塞的相对两侧上并且与所述第一接触插塞接触,其中,所述第一接触插塞和所述介电保护层位于所述第二ILD中;以及介电覆盖层,位于所述第一接触插塞上方并且与所述第一接触插塞接触。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:第三ILD,位于所述第二ILD上方;以及第二接触插塞,从所述第三ILD的顶面延伸到所述第二ILD的底面,其中,所述第二接触插塞电连接至所述栅极堆叠件。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:第三ILD,位于所述第二ILD上方;以及第二接触插塞,从所述第三ILD的顶面延伸到所述第二ILD的底面,其中,所述第二接触插塞电连接至所述栅极堆叠件;其中,所述第二接触插塞包括与所述介电保护层的顶部边缘接触的第一底面。
在上述集成电路结构中,其中,所述第一接触插塞包括与所述第一ILD的顶面接触的底面。
在上述集成电路结构中,其中,所述介电保护层和所述介电覆盖层由相同的介电材料形成。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:源极/漏极区;第三接触插塞,位于所述源极/漏极区上方并且电连接至所述源极/漏极区,其中,所述第三接触插塞位于所述第一ILD中;第四接触插塞,位于所述第三接触插塞上方并且接触所述第三接触插塞,其中,所述第四接触插塞位于所述第二ILD中;以及第五接触插塞,位于所述第四接触插塞上方并且与所述第四接触插塞接触,其中,所述第五接触插塞从第三ILD的顶面延伸到所述第二ILD内。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:源极/漏极区;第三接触插塞,位于所述源极/漏极区上方并且电连接至所述源极/漏极区,其中,所述第三接触插塞位于所述第一ILD中;第四接触插塞,位于所述第三接触插塞上方并且接触所述第三接触插塞,其中,所述第四接触插塞位于所述第二ILD中;以及第五接触插塞,位于所述第四接触插塞上方并且与所述第四接触插塞接触,其中,所述第五接触插塞从第三ILD的顶面延伸到所述第二ILD内;其中,所述第五接触插塞的底面与所述第一接触插塞的顶面基本共平面。
在上述集成电路结构中,其中,所述介电覆盖层的顶面与所述第二ILD的顶面基本共平面。
根据本发明的另一个方面,提供了一种集成电路结构,包括:第一层间电介质(ILD);蚀刻停止层,位于所述第一ILD上方;第二ILD,位于所述蚀刻停止层上方;第一狭槽式接触插塞,位于所述第二ILD中,其中,所述第一狭槽式接触插塞穿透所述蚀刻停止层以接触所述第一ILD的顶面;介电保护层,包括位于所述第一狭槽式接触插塞的相对两侧上并且与所述第一狭槽式接触插塞接触的部分;以及介电覆盖层,位于所述第一狭槽式接触插塞上方并且与所述第一狭槽式接触插塞接触,其中,所述第一狭槽式接触插塞、所述介电保护层和所述介电覆盖层均位于所述第二ILD中。
在上述集成电路结构中,其中,所述介电覆盖层包括与所述介电保护层的相对部分接触的相对边缘。
在上述集成电路结构中,其中,所述介电覆盖层的顶面、所述介电保护层的顶部边缘以及所述第二ILD的顶面基本共平面。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:第一源极/漏极区,位于所述第一ILD下方;栅极堆叠件,位于所述第一ILD中;第三ILD,位于所述第二ILD上方;以及栅极接触插塞,位于所述第二ILD和所述第三ILD中。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:第二源极/漏极区,位于所述第一ILD下方;第二狭槽式接触插塞,位于所述第二源极/漏极区上方并且电连接至所述第二源极/漏极区,其中,所述第二狭槽式接触插塞位于所述第一ILD中;第三狭槽式接触插塞,位于所述第二狭槽式接触插塞上方并且接触所述第二狭槽式接触插塞,其中,所述第三狭槽式接触插塞位于所述第二ILD中;第三ILD,位于所述第二ILD上方;以及接触插塞,穿透所述第三ILD,其中,所述接触插塞与所述第三狭槽式接触插塞接触。
在上述集成电路结构中,其中,所述集成电路结构进一步包括:第二源极/漏极区,位于所述第一ILD下方;第二狭槽式接触插塞,位于所述第二源极/漏极区上方并且电连接至所述第二源极/漏极区,其中,所述第二狭槽式接触插塞位于所述第一ILD中;第三狭槽式接触插塞,位于所述第二狭槽式接触插塞上方并且接触所述第二狭槽式接触插塞,其中,所述第三狭槽式接触插塞位于所述第二ILD中;第三ILD,位于所述第二ILD上方;以及接触插塞,穿透所述第三ILD,其中,所述接触插塞与所述第三狭槽式接触插塞接触;其中,所述接触插塞的底面低于所述第二ILD的顶面。
根据本发明的又一方面,提供了一种方法,包括:在第一ILD上方形成第二层间电介质(ILD),栅极堆叠件位于所述第一ILD中;蚀刻所述第二ILD以形成第一接触开口;在所述第一接触开口的相对侧壁上形成介电保护层;在所述第一接触开口中形成第一接触插塞,所述第一接触插塞位于所述介电保护层的相对部分之间;形成位于所述第一接触插塞上方并且接触所述第一接触插塞的介电覆盖层;在所述第二ILD上方形成第三ILD;在所述第二ILD和所述第三ILD中形成第二接触开口;以及填充所述第二接触开口以形成第二接触插塞。
在上述方法中,其中,形成所述介电覆盖层包括:使所述第一接触插塞凹进以形成凹槽;在所述凹槽中填充所述介电覆盖层;以及平坦化所述介电覆盖层,其中,所述介电覆盖层的顶面与所述第二ILD的顶面基本平齐。
在上述方法中,其中,在形成所述第二接触开口中,所述介电保护层暴露于所述第二接触开口,并且其中,在形成所述第二接触开口期间,基本不蚀刻所述介电保护层。
在上述方法中,其中,在形成所述第一接触开口之后,所述第一ILD暴露于所述第一接触开口。
在上述方法中,其中,所述方法进一步包括:在形成所述第二ILD之前,在所述第一ILD上方形成蚀刻停止层,其中,所述第一接触开口穿透所述蚀刻停止层。
在上述方法中,其中,所述方法进一步包括:当形成所述第二接触插塞时,同时在所述第二ILD和所述第三ILD中形成源极/漏极接触插塞。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各方面。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以任意地增大或减小。
图1至图12示出了根据一些实施例形成包括接触插塞的互连结构的中间阶段的截面图;以及
图13示出了根据一些实施例的接触插塞的顶视图;以及
图14示出了根据一些实施例的用于形成互连结构的工艺流程。
具体实施方式
为了实施本发明的不同特征,以下公开提供了许多不同的实施例或实例。以下描述部件和布置的具体实例以简化本发明。当然这些仅仅是实例并不旨在限定。例如,在以下描述中,第一部件形成在第二部件上方或上可包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可包括在第一部件和第二部件之间可以形成额外的部件,使得第一部件和第二部件不直接接触的实施例。另外,本发明可在各个实施例中重复参考标号和/或字符。这种重复只是为了简明的目的且其本身并不指定各个实施例和/或所讨论的结构之间的关系。
另外,为了便于描述,在本文中可以使用诸如“在…之下”、“下方”、“下部”、“在…之上”、“上部”等的空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位之外,这些空间相对术语旨在包括器件在使用或操作过程中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文中使用的空间相对描述符可以同样地作出相应的解释。
根据不同的示例性实施例,提供了包括接触插塞的互连结构及其形成方法。示出了形成互连结构的中间阶段。讨论了实施例的变化。在各个视图和说明性实施例中,相同的参考标号用于表示相同的元件。
图1至图12示出了根据一些实施例形成互连结构的中间阶段的截面图。在图14中示出的工艺流程200中也示意性地示出了图1至图12中示出的步骤。在后续讨论中,参考图14中的工艺步骤来论述图1至图12中的工艺步骤。
图1示出了晶圆100,晶圆100包括半导体衬底20和形成于半导体衬体20的顶面处的部件。根据一些实施例,半导体衬底20包括晶体硅、晶体锗、硅锗、III-V族化合物半导体等。半导体衬底20也可以是块状硅衬底或绝缘体上硅(SOI)衬底。浅沟槽隔离(STI)区22可以形成在半导体衬底20中以隔离半导体衬底20中的有源区。
多个栅极堆叠件26(包括26A、26B、26C、26D和26E)形成在半导体衬底20上方。根据一些实施例,栅极堆叠件26是通过形成伪栅极堆叠件(未示出),然后以替代栅极代替伪栅极堆叠件而形成的替代栅极。因此,每一个栅极堆叠件26均包括栅极电介质28和位于栅极电介质28上方的栅电极30。栅极电介质28进一步包括位于相应的栅电极30下面的底部和位于相应的栅电极30的侧壁上的侧壁部分。根据本发明的一些实施例,栅极电介质28包括氧化硅、氮化硅、高k介电材料(诸如氧化铪、氧化镧、氧化铝)、它们的组合和/或它们的多层。栅电极30可以是包括例如TiAl、钴、铝、氮化钛、氮化钽等的金属栅极,并且可以包括不同材料的多层。根据包括栅电极30的相应晶体管是P型金属氧化物半导体(PMOS)晶体管还是N型金属氧化物半导体(NMOS)晶体管,栅电极30的材料选择为具有适合于相应的MOS晶体管的功函数。栅极间隔件32形成在栅极堆叠件26的侧壁上。栅极间隔件32可以包括氧化硅、氮化硅等。
如图1所示,诸如栅极堆叠件26A、26B、26D及26E的一些栅极堆叠件由介电层36覆盖。栅极堆叠件的这些部分可以用作路由线,并且可以形成图1所示出的或图1未示出的平面中的晶体管。根据一些实施例,介电层36包括诸如氧化硅、氮化硅、碳化硅、氮氧化硅等的介电材料。诸如栅极堆叠件26C的其他栅极堆叠件由导电层38覆盖。应当理解,栅极堆叠件26C也可以具有形成在其上的介电层36,其中,导电层38形成在相应的上面的介电层36的开口中。然而,由于覆盖栅极堆叠件26C的介电层36不在如图1所示的同一平面中,因此相应的介电层36在图1中未示出。
层间电介质(ILD)34形成在半导体衬底20上方,并且填充栅极间隔件32之间的间隔。因此,ILD 34和栅极堆叠件26形成在相同的水平面处。本说明书中,ILD 34也被称为ILD0 34。在一些示例性实施例中,ILD0 34包括磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)、氟掺杂的硅酸盐玻璃(FSG)、正硅酸乙酯(TEOS)等。
MOS晶体管的源极区和漏极区(下文称为源极/漏极区)24形成于半导体衬底20中。根据一些实施例,源极/漏极区24包括p型或n型杂质,这取决于相应的晶体管是p型MOS晶体管还是n型MOS晶体管。当相应的晶体管为n型MOS晶体管时,源极/漏极区24可以包括SiP;当相应的晶体管为p型MOS晶体管时,源极/漏极区24可以包括SiGe。源极/漏极区24的形成可以包括在半导体衬底20中形成凹槽,以及在凹槽中外延生长源极/漏极区24。在一些示例性实施例中,栅极堆叠件26D和位于栅极堆叠件26D的相对两侧的源极/漏极区24形成晶体管。
源极/漏极接触插塞42(包括42A和42B)形成于ILD0 34中。源极/漏极接触插塞42的顶面可以与介电层36和ILD0 34的顶面共平面或基本共平面。虽然图1示意性地示出了源极/漏极接触插塞42与栅极间隔件32相接触,但是源极/漏极接触插塞42可以通过ILD0 34与栅极间隔件32分隔开。根据一些实施例,源极/漏极接触插塞42由钨、铜、铝或它们的合金形成。源极/漏极接触插塞42也可以包括粘合/阻挡层(未示出),该粘合/阻挡层由钛、氮化钛、钽、氮化钽等形成。源极/漏极接触插塞42电连接至相应的下面的源极/漏极区24。源极/漏极硅化物区(未示出)可以形成在源极/漏极区24与相应的上面的源极/漏极接触插塞42A和42B之间且与它们相接触。
图13示出了根据一些实施例的包括栅极堆叠件26和源极/漏极接触插塞42A和42B的结构的顶视图。在示出的实施例中,栅极堆叠件26形成为相互平行的条状物。平行的栅极堆叠件26可以具有均匀的节距和均匀的间距。源极/漏极接触插塞42A、42B示出为长度明显大于相应宽度的条状狭槽式接触插塞。狭槽式接触插塞除了连接至源极/漏极区24(图1)的功能外也可以用作路由线。在可选实施例中,在顶视图中,源极/漏极接触插塞42A和42B的长度和宽度也可以彼此接近。
再次参照图1所示的工艺步骤,蚀刻停止层44形成在栅极堆叠件26、源极/漏极接触插塞42和ILD0 34上方。蚀刻停止层44可以包括碳化硅、氮氧化硅、碳氮化硅等。ILD 46(下文称为ILD1 46)形成在蚀刻停止层44上方。根据一些实施例,ILD1 46包括选自PSG、BSG、PBSG、FSG、TEOS及其他无孔低k介电材料中的材料。ILD1 46和ILD0 34可以由相同的材料或不同的材料形成,并且可以选自同组的候选材料。ILD1 46可以使用旋涂、可流动化学汽相沉积(FCVD)等形成。在本发明的可选实施例中,ILD1 46使用诸如等离子体增强化学汽相沉积(PECVD)、低压化学汽相沉积(LPCVD)等的沉积方法形成。
参照图2所示的工艺步骤(图14中的工艺流程中的步骤202),蚀刻ILD1 46和蚀刻停止层44以形成接触开口48(包括48A和48B)。例如,使用反应离子蚀刻(RIE)实施蚀刻。在蚀刻工艺之后,接触插塞42A和ILD0 34分别暴露于接触开口48A和48B。蚀刻可以是各向异性的,从而使得接触开口48的侧壁是基本垂直的。
接下来,参照图3所示的工艺步骤(图14中的工艺流程中的步骤204),形成介电保护层50。根据一些实施例,介电保护层50包括选自SiN、SiON、SiCN、SiOCN、AlON、AlN、它们的组合的介电材料和/或它们的多层。介电保护层50的厚度T1和T2可以介于约3nm和约10nm的范围内。然而,应当理解,本说明书中所列举的值仅为实例且可以改变为不同的值。
根据本发明中的一些实施例,使用PECVD、等离子体增强原子层沉积(PEALD)、原子层沉积(ALD)、高密度等离子体化学汽相沉积(HDP CVD)或类似的方法形成介电保护层50。
介电保护层50可以是其水平部分的厚度T1与垂直部分的厚度T2彼此相似的共形层。例如,差值|T1-T2|可以小于厚度T1和厚度T2的约20%或约10%。介电保护层50包括位于ILD1 46上方的一些部分和延伸到接触开口48A和48B内的其他部分。此外,介电保护层50覆盖接触开口48A和48B的底部。
接下来,参照图4中所示的工艺步骤(也是图14中的工艺流程中的步骤204),例如,使用干蚀刻来实施蚀刻步骤。去除介电保护层50的水平部分,并且保留接触开口48中的介电保护层50的垂直部分。在图4中的结构的顶视图中,剩余的介电保护层50可以形成完整的环,每个环均环绕接触开口48A和48B中的一个(图13)。去除介电保护层50的位于接触开口48A和48B的底部的部分,并且因此,源极/漏极接触插塞42A和ILD034再次暴露于相应的接触开口48A和48B。
参照图5中所示的工艺步骤(图14中的工艺流程中的步骤206),接触插塞52(包括52A和52B)分别形成在接触开口48A和48B(图4)中。根据本发明的一些实施例,接触插塞52由选自钨、铝、铜、钛、钽、氮化钛、氮化钽、它们的合金的材料和/或它们的多层形成。接触插塞52的形成可以包括将导电材料填充到接触开口48A和48B(图4)内,直至导电材料充满整个接触开口48A和48B,以及实施平坦化(诸如化学机械抛光(CMP))以使接触插塞52的顶面与ILD1 46的顶面平齐。如图13中的顶视图所示,在产生的结构中,介电保护层50形成环绕每个接触插塞52的完整的环。
如图13所示,根据一些实施例,接触插塞52A的宽度和长度可以与下面的接触插塞42A的宽度和长度相同或不同。此外,由于接触插塞52A和42A在不同的工艺步骤中形成,因此接触插塞52A和42A可以是彼此不同的。
接下来,如图6中示出的工艺步骤(图14中的工艺流程中的步骤208)所示,根据本发明的一些实施例,回蚀刻接触插塞52A和52B,从而在ILD146中形成凹槽54(包括54A和54B)。凹槽54A和54B的深度D1大于约5nm,并且可以介于约5nm和约20nm的范围内。
图7示出了介电覆盖层56的沉积(图14中的工艺流程中的步骤210)。根据一些实施例,介电覆盖层56完全填充凹槽54A和54B(图6),并且介电覆盖层56的顶面高于ILD1 46的顶面。因此,介电覆盖层56的厚度T3大于约5nm,并且可以介于约5nm和约20nm的范围内。根据一些实施例,介电覆盖层56包括选自SiN、SiON、SiCN、SiOCN、AlON、AlN、它们的组合的介电材料和/或它们的多层。介电覆盖层56可以使用PECVD、PEALD、ALD、HDP CVD或类似的方法形成。根据一些实施例,介电覆盖层56和介电保护层50由相同的介电材料形成。根据可选实施例,介电覆盖层56和介电保护层50由不同的材料形成。然而,介电覆盖层56和介电保护层50的特性与ILD1 46的特性不同,从而使得在ILD1 46的后续蚀刻中,介电覆盖层56和介电保护层50的蚀刻速率比ILD1 46的蚀刻速率低。
再次参照图8中所示的工艺步骤(图14中的工艺流程中的步骤210),实施诸如CMP的平坦化以去除介电覆盖层56的多余部分,其中介电覆盖层56的多余部分位于ILD1 46的顶面上方。从而,介电覆盖层56的剩余部分的顶面与ILD1 46的顶面平齐。此外,根据本发明的一些实施例,介电覆盖层56的剩余部分的顶面可以与介电保护层50的顶部边缘平齐。在一些示例性实施例中,介电覆盖层56的剩余部分的侧边也可以与接触插塞52B的相应边缘对准。此外,介电覆盖层56的剩余部分的侧边与介电保护层50相接触。
因为形成了介电覆盖层56和介电保护层50,介电覆盖层56和介电保护层50从所有的侧壁和顶部完全地保护了接触插塞52B。介电覆盖层56和介电保护层50共同形成了反向池(inversed basin),接触插塞52B位于该反向池中。
如图8中的工艺步骤所示,接触插塞52B形成在ILD0 34上方,并可以与ILD0 34相接触。也如图13所示,图13为顶视图,接触插塞52可以是狭槽式接触插塞。接触插塞52B可以用作用于互连目的的路由线。连接至接触插塞52B的相对两端的连接件并未示出,其中接触插塞52B的相对两端可以电连接至源极/漏极(硅化物)区(未示出)和/或上面的接触插塞(未示出),上面的接触插塞可与图12所示的接触插塞64C相似。
根据示例性实施例,图13示出了接触插塞52A和52B、介电覆盖层56和保护层50的顶视图。如图13所示,接触插塞52A和52B以及介电覆盖层56可以形成为狭槽式接触插塞,该狭槽式接触插塞的长度远远大于相应的宽度。在可选实施例中,接触插塞52和介电覆盖层56的长度也可以接近于相应的宽度,并且接触插塞52和介电覆盖层56具有接近正方形的顶视形状。接触插塞52A和52B也可以由上面的介电覆盖层56的剩余部分完全覆盖。根据一些实施例,接触插塞52A和52B至少分别覆盖相应的下面的接触插塞42A和42B。
参照图9所示的工艺步骤,形成ILD2 58。ILD2 58可以使用旋涂、FCVD等方法形成。在本发明的可选实施例中,ILD2 58可以使用诸如PECVD、LPCVD等的沉积方法形成。在一些实施例中,ILD2 58位于ILD146上方并与ILD1 46相接触。在可选实施例中,在ILD1 46与ILD2 58之间形成蚀刻停止层(未示出)。如果形成了蚀刻停止层,则蚀刻停止层可以包括碳化硅、氮氧化硅、碳氮化硅等。ILD2 58形成在蚀刻停止层上方。ILD2 58可以包括选自PSG、BSG、PBSG、FSG、TEOS或其他无孔低k介电材料中的材料。ILD2 58、ILD1 46和ILD0 34可以由相同的材料或不同的材料形成。
参照图10所示的工艺步骤,蚀刻ILD2 58以形成接触开口60。相应的步骤也如图14中示出的工艺流程中的步骤212所示。接触开口60与介电覆盖层56(图9)和接触插塞52A对准。在ILD 58的蚀刻之后,蚀刻介电覆盖层56,并且暴露接触插塞52A。在一些实施例中,开口60的底部低于介电保护层50的顶部边缘。开口60的底部可以与接触插塞52B的顶面共平面。
接下来,参照图11所示的工艺步骤,实施额外的蚀刻步骤以在ILD2 58和ILD1 46中形成开口62。相应的步骤也如图14中示出的工艺流程中的步骤214所示。在该步骤中,将蚀刻剂选择为使得蚀刻剂侵蚀ILD2 58和ILD146但不侵蚀介电覆盖层56和介电保护层50。例如,蚀刻剂的蚀刻选择性(即,ILD2 58和ILD1 46的蚀刻速率与介电覆盖层56和介电保护层50的蚀刻速率的比值)大于约10。蚀刻选择性也可以大于约50或大于约100。期望的蚀刻选择性与ILD1 46的厚度T4和介电覆盖层56的厚度T5有关,并且至少大于厚度比T4/T5。期望的蚀刻选择性也可以大于厚度比T4/T5的两倍。这确保在发生未对准并且开口62A偏移到63所标记的位置时,则介电覆盖层56和介电保护层50不被蚀刻穿,并且随后形成的接触插塞64A(图12)不会与接触插塞52B电短路。
在蚀刻ILD2 58和ILD1 46之后,通过开口62A和62B进一步蚀刻蚀刻停止层44以暴露下面的导电层38和接触插塞42B。
图12示出了分别在开口62A、62B和60(图11)中的接触插塞64(包括64A、64B和64C)的形成。相应的步骤也如图14中示出的工艺流程中的步骤216所示。形成工艺可以包括将导电材料填充到开口62A、62B和60内,直到导电材料的顶面高于ILD2 58的顶面,以及实施诸如CMP的平坦化以去除导电材料的多余部分。导电材料的剩余部分是接触插塞64。如图12所示,接触插塞64A是电连接至栅极堆叠件26C的栅电极30的栅极接触插塞。接触插塞64B是电连接至接触插塞42B的源极/漏极接触插塞,该接触插塞42B进一步连接至相应的下面的源极/漏极区24。接触插塞64C是电连接至接触插塞52A和42A的源极/漏极接触插塞,接触插塞52A和42A进一步连接至相应的下面的源极/漏极区24。
参照图13,其示意性地示出了图12中示出的结构的顶视图,接触插塞64A、64B和64C的顶视形状可以为诸如正方形的非细长的形状,但是可以使用细长的形状。此外,接触插塞64A靠近接触插塞52B。因此,如果发生了未对准,接触插塞64A的位置可能不期望地偏移,从而与接触插塞52B的一部分发生重叠。如图12所示,即使在形成开口62A中发生这样的未对准,在ILD2 58和ILD1 46的蚀刻中,介电覆盖层56和介电保护层50将用作蚀刻停止层,并且介电覆盖层56和介电保护层50不会被蚀刻穿。因此,如图12所示,当形成接触插塞64A时,介电覆盖层56和介电保护层50将使接触插塞64A与接触插塞52B电绝缘,且将不会发生接触插塞64A与接触插塞52B之间的不期望的短路。
图12所示,当发生未对准时,如虚线所示的产生的接触插塞64A将具有底面65,该底面65定位在介电保护层50的顶部边缘上并且也可能定位在介电覆盖层56的顶面上。作为比较,如果未形成介电覆盖层56和介电保护层50,则在图12所示的步骤中,因未对准,接触插塞64A偏移到位置63,接触插塞64A和接触插塞52B将会短路。
图14示意性地示出了用于图1至图12中的工艺的工艺流程200。本文简要地讨论了该工艺流程。工艺流程的细节可在图1至图12的讨论中找到。如图2所示,在步骤202中,在ILD1 46中形成接触开口48A和48B。在图14中的工艺流程的步骤204中,形成了介电层50,并且图3和图4中示出了相应的形成工艺。在图14中的工艺流程的步骤206中,在ILD1 46中形成接触插塞52,并且图5中示出了相应的形成工艺。在图14中的工艺流程的步骤208中,使接触插塞52凹进以形成凹槽54,并且图6中示出了相应的形成工艺。在图14中的工艺流程的步骤210中,形成介电覆盖层56以覆盖接触插塞52,并且图7和图8中示出了相应的形成工艺。在图14中的工艺流程的步骤212中,形成接触开口60,并且图10中示出了相应的形成工艺。在图14中的工艺流程的步骤214中,形成接触开口62,并且图11中示出了相应的形成工艺。在图14中的工艺流程的步骤216中,填充接触开口60和62以形成接触插塞64,并且图12中示出了相应的形成工艺。
本发明的实施例具有一些有利的特征。通过形成介电保护层和介电覆盖层以保护接触插塞,即使邻近接触插塞的相邻的接触插塞具有未对准,介电保护层和介电覆盖层将保留以使位置相近的接触插塞绝缘。
根据本发明的一些实施例,一种集成电路结构包括:第一ILD、位于第一ILD中的栅极堆叠件、位于第一ILD上方的第二ILD、位于第二ILD中的接触插塞、以及位于接触插塞的相对两侧上并且与接触插塞相接触的介电保护层。接触插塞和介电保护层位于第二ILD中。介电覆盖层位于接触插塞上方并与接触插塞相接触。
根据本发明的可选实施例,一种集成电路结构包括:第一ILD、位于第一ILD上方的蚀刻停止层、位于蚀刻停止层上方的第二ILD以及第二ILD中的狭槽式接触插塞。狭槽式接触插塞穿透蚀刻停止层以接触第一ILD的顶面。介电保护层包括位于狭槽式接触插塞的相对两侧且与狭槽式接触插塞接触的部分。介电覆盖层位于狭槽式接触插塞上方且与狭槽式接触插塞接触,其中,狭槽式接触插塞、介电保护层和介电覆盖层均位于第二ILD中。
根据本发明的又可选实施例,一种方法包括:在第一ILD上方形成第二ILD,其中栅极堆叠件位于第一ILD中,蚀刻第二ILD以形成第一接触开口,在第一接触开口的相对侧壁上形成介电保护层,以及在第一接触开口中形成第一接触插塞,其中第一接触插塞位于介电保护层的相对部分之间。该方法进一步包括:形成位于第一接触插塞上方并接触第一接触插塞的介电覆盖层,在第二ILD上方形成第三ILD,在第二ILD和第三ILD中形成第二接触开口,以及填充第二接触开口以形成第二接触插塞。
上面论述了若干实施例的特征,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,他们可以容易地使用本发明作为基础来设计或更改用于与本文所介绍的实施例实施相同的目的和/或实现相同优点的其他工艺和结构。本领域普通技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,他们可以作出多种变化、替换以及改变。

Claims (18)

1.一种集成电路结构,包括:
第一层间电介质;
栅极堆叠件,位于所述第一层间电介质中;
第二层间电介质,位于所述第一层间电介质上方;
第一接触插塞,位于所述第二层间电介质中;
介电保护层,位于所述第一接触插塞的相对两侧上并且与所述第一接触插塞接触,其中,所述第一接触插塞和所述介电保护层位于所述第二层间电介质中;以及
介电覆盖层,位于所述第一接触插塞上方并且与所述第一接触插塞接触,
其中,所述介电覆盖层的顶面、所述介电保护层的顶部边缘以及所述第二层间电介质的顶面共平面。
2.根据权利要求1所述的集成电路结构,进一步包括:
第三层间电介质,位于所述第二层间电介质上方;以及
第二接触插塞,从所述第三层间电介质的顶面延伸到所述第二层间电介质的底面,其中,所述第二接触插塞电连接至所述栅极堆叠件。
3.根据权利要求2所述的集成电路结构,其中,所述第二接触插塞包括与所述介电保护层的顶部边缘接触的第一底面。
4.根据权利要求1所述的集成电路结构,其中,所述第一接触插塞包括与所述第一层间电介质的顶面接触的底面。
5.根据权利要求1所述的集成电路结构,其中,所述介电保护层和所述介电覆盖层由相同的介电材料形成。
6.根据权利要求1所述的集成电路结构,进一步包括:
源极/漏极区;
第三接触插塞,位于所述源极/漏极区上方并且电连接至所述源极/漏极区,其中,所述第三接触插塞位于所述第一层间电介质中;
第四接触插塞,位于所述第三接触插塞上方并且接触所述第三接触插塞,其中,所述第四接触插塞位于所述第二层间电介质中;以及
第五接触插塞,位于所述第四接触插塞上方并且与所述第四接触插塞接触,其中,所述第五接触插塞从第三层间电介质的顶面延伸到所述第二层间电介质内。
7.根据权利要求6所述集成电路结构,其中,所述第五接触插塞的底面与所述第一接触插塞的顶面共平面。
8.一种集成电路结构,包括:
第一层间电介质;
蚀刻停止层,位于所述第一层间电介质上方;
第二层间电介质,位于所述蚀刻停止层上方;
第一狭槽式接触插塞,位于所述第二层间电介质中,其中,所述第一狭槽式接触插塞穿透所述蚀刻停止层以接触所述第一层间电介质的顶面;
介电保护层,包括位于所述第一狭槽式接触插塞的相对两侧上并且与所述第一狭槽式接触插塞接触的部分;以及
介电覆盖层,位于所述第一狭槽式接触插塞上方并且与所述第一狭槽式接触插塞接触,其中,所述第一狭槽式接触插塞、所述介电保护层和所述介电覆盖层均位于所述第二层间电介质中。
9.根据权利要求8所述的集成电路结构,其中,所述介电覆盖层包括与所述介电保护层的相对部分接触的相对边缘。
10.根据权利要求8所述的集成电路结构,其中,所述介电覆盖层的顶面、所述介电保护层的顶部边缘以及所述第二层间电介质的顶面共平面。
11.根据权利要求8所述的集成电路结构,进一步包括:
第一源极/漏极区,位于所述第一层间电介质下方;
栅极堆叠件,位于所述第一层间电介质中;
第三层间电介质,位于所述第二层间电介质上方;以及
栅极接触插塞,位于所述第二层间电介质和所述第三层间电介质中。
12.根据权利要求8所述的集成电路结构,进一步包括:
第二源极/漏极区,位于所述第一层间电介质下方;
第二狭槽式接触插塞,位于所述第二源极/漏极区上方并且电连接至所述第二源极/漏极区,其中,所述第二狭槽式接触插塞位于所述第一层间电介质中;
第三狭槽式接触插塞,位于所述第二狭槽式接触插塞上方并且接触所述第二狭槽式接触插塞,其中,所述第三狭槽式接触插塞位于所述第二层间电介质中;
第三层间电介质,位于所述第二层间电介质上方;以及
接触插塞,穿透所述第三层间电介质,其中,所述接触插塞与所述第三狭槽式接触插塞接触。
13.根据权利要求12所述的集成电路结构,其中,所述接触插塞的底面低于所述第二层间电介质的顶面。
14.一种形成集成电路结构的方法,包括:
在第一层间电介质上方形成第二层间电介质,栅极堆叠件位于所述第一层间电介质中;
蚀刻所述第二层间电介质以形成第一接触开口;
在所述第一接触开口的相对侧壁上形成介电保护层;
在所述第一接触开口中形成第一接触插塞,所述第一接触插塞位于所述介电保护层的相对部分之间;
形成位于所述第一接触插塞上方并且接触所述第一接触插塞的介电覆盖层;
在所述第二层间电介质上方形成第三层间电介质;
在所述第二层间电介质和所述第三层间电介质中形成第二接触开口;
以及
填充所述第二接触开口以形成第二接触插塞,
其中,形成所述介电覆盖层包括:
使所述第一接触插塞凹进以形成凹槽;
在所述凹槽中填充所述介电覆盖层;和
平坦化所述介电覆盖层,其中,所述介电覆盖层的顶面与所述第二层间电介质的顶面平齐。
15.根据权利要求14所述的形成集成电路结构的方法,其中,在形成所述第二接触开口中,所述介电保护层暴露于所述第二接触开口,并且其中,在形成所述第二接触开口期间,不蚀刻所述介电保护层。
16.根据权利要求14所述的形成集成电路结构的方法,其中,在形成所述第一接触开口之后,所述第一层间电介质暴露于所述第一接触开口。
17.根据权利要求14所述的形成集成电路结构的方法,进一步包括:
在形成所述第二层间电介质之前,在所述第一层间电介质上方形成蚀刻停止层,其中,所述第一接触开口穿透所述蚀刻停止层。
18.根据权利要求14所述的形成集成电路结构的方法,进一步包括:当形成所述第二接触插塞时,同时在所述第二层间电介质和所述第三层间电介质中形成源极/漏极接触插塞。
CN201410441782.2A 2014-06-12 2014-09-01 具有保护层的自对准互连件 Active CN105280591B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/302,616 2014-06-12
US14/302,616 US10998228B2 (en) 2014-06-12 2014-06-12 Self-aligned interconnect with protection layer

Publications (2)

Publication Number Publication Date
CN105280591A CN105280591A (zh) 2016-01-27
CN105280591B true CN105280591B (zh) 2018-09-11

Family

ID=54706306

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410441782.2A Active CN105280591B (zh) 2014-06-12 2014-09-01 具有保护层的自对准互连件

Country Status (4)

Country Link
US (1) US10998228B2 (zh)
KR (1) KR101612636B1 (zh)
CN (1) CN105280591B (zh)
DE (1) DE102014108872B4 (zh)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
DE102017103464B4 (de) 2016-07-29 2021-09-30 Taiwan Semiconductor Manufacturing Co. Ltd. Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
DE102017118475B4 (de) 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN110233131B (zh) * 2018-03-02 2022-07-19 中芯国际集成电路制造(上海)有限公司 金属插塞以及金属插塞的形成方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
TW202104645A (zh) * 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11532561B2 (en) * 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
DE102020121496A1 (de) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11264277B2 (en) * 2019-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with spacers for self aligned vias
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112838048A (zh) * 2019-11-22 2021-05-25 联华电子股份有限公司 互连结构以及其制作方法
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257753B2 (en) * 2020-01-21 2022-02-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and method for manufacturing the interconnect structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11404366B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11854883B2 (en) * 2021-04-15 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230065045A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact formation method and related structure
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101292348A (zh) * 2005-10-24 2008-10-22 英特尔公司 具有增强的热和器件性能的可堆叠晶片或管芯封装

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6066555A (en) * 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US6348411B1 (en) * 1998-09-03 2002-02-19 Micron Technology, Inc. Method of making a contact structure
CN1248059A (zh) 1998-09-17 2000-03-22 世大积体电路股份有限公司 平坦的金属层间介电层或内层介电层的制造方法
JP2001077212A (ja) * 1999-08-31 2001-03-23 Toshiba Corp 半導体装置及びその製造方法
US6124192A (en) * 1999-09-27 2000-09-26 Vanguard International Semicondutor Corporation Method for fabricating ultra-small interconnections using simplified patterns and sidewall contact plugs
TW548832B (en) * 2001-03-08 2003-08-21 Hitachi Ltd Method of producing semiconductor integrated circuit device and semiconductor integrated circuit device
US6696336B2 (en) * 2001-05-14 2004-02-24 Micron Technology, Inc. Double sided container process used during the manufacture of a semiconductor device
US6790721B2 (en) * 2001-07-13 2004-09-14 Micron Technology, Inc. Metal local interconnect self-aligned source flash cell
JP2003332531A (ja) * 2002-05-17 2003-11-21 Mitsubishi Electric Corp 半導体装置の製造方法
KR100726145B1 (ko) * 2002-07-19 2007-06-13 주식회사 하이닉스반도체 반도체소자 제조방법
US7074717B2 (en) * 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
TW589720B (en) * 2003-07-10 2004-06-01 Powerchip Semiconductor Corp Split gate flash memory and manufacturing method thereof
TWI242797B (en) * 2004-06-01 2005-11-01 Nanya Technology Corp Method for forming self-aligned contact of semiconductor device
KR100635925B1 (ko) * 2005-07-21 2006-10-18 삼성전자주식회사 반도체 장치의 배선 구조물 및 이의 형성 방법
US20070202677A1 (en) * 2006-02-27 2007-08-30 Micron Technology, Inc. Contact formation
US8129235B2 (en) * 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
KR20090069857A (ko) 2007-12-26 2009-07-01 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8143699B2 (en) * 2009-02-25 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-dielectric MIM capacitors for system-on-chip applications
US8298937B2 (en) 2009-06-12 2012-10-30 International Business Machines Corporation Interconnect structure fabricated without dry plasma etch processing
JP2011124497A (ja) * 2009-12-14 2011-06-23 Toshiba Corp 半導体装置およびその製造方法
TWI447742B (zh) * 2010-07-16 2014-08-01 Inotera Memories Inc 記憶體佈局結構及記憶體結構
KR20120065113A (ko) 2010-12-10 2012-06-20 삼성전자주식회사 수직 채널 트랜지스터들을 구비한 반도체 장치 및 그 제조방법.
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US8581348B2 (en) 2011-12-13 2013-11-12 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8765599B2 (en) * 2012-01-06 2014-07-01 GlobalFoundries, Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
US8921226B2 (en) * 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US8993433B2 (en) * 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
KR102326090B1 (ko) * 2015-10-16 2021-11-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102217242B1 (ko) * 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10707131B2 (en) * 2018-08-14 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101292348A (zh) * 2005-10-24 2008-10-22 英特尔公司 具有增强的热和器件性能的可堆叠晶片或管芯封装

Also Published As

Publication number Publication date
US20150364371A1 (en) 2015-12-17
KR101612636B1 (ko) 2016-04-14
DE102014108872A1 (de) 2015-12-17
KR20150143263A (ko) 2015-12-23
CN105280591A (zh) 2016-01-27
US20210225707A1 (en) 2021-07-22
DE102014108872B4 (de) 2019-10-17
US10998228B2 (en) 2021-05-04

Similar Documents

Publication Publication Date Title
CN105280591B (zh) 具有保护层的自对准互连件
CN109686790B (zh) 半导体装置
US10049941B2 (en) Semiconductor isolation structure with air gaps in deep trenches
KR102472133B1 (ko) 집적회로 소자
CN107919358B (zh) 半导体器件及其制造方法
TWI604567B (zh) 半導體裝置及其製造方法
CN104867967B (zh) 半导体器件及其制造方法
TWI759277B (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
CN108288604A (zh) 接触插塞及其制造方法
US9484346B2 (en) Semiconductor structure and manufacturing method thereof
CN108122827A (zh) 集成电路及其形成方法
US10804264B2 (en) Integrated circuit device
CN107230702A (zh) 半导体器件及其制造方法
US10804403B2 (en) Method of fabricating semiconductor devices
CN107068757A (zh) Finfet器件及其形成方法
US9502302B2 (en) Process for integrated circuit fabrication including a uniform depth tungsten recess technique
US20190074211A1 (en) Semiconductor device
KR20210011214A (ko) 도핑 영역을 갖는 저항 소자 및 이를 포함하는 반도체 소자
CN105762134A (zh) 集成电路设备和形成集成电路设备的方法
CN110350027A (zh) 半导体装置
CN108573969A (zh) 集成电路器件
CN111987160A (zh) 半导体器件
US9997412B1 (en) Methods of manufacturing semiconductor devices
US20200303247A1 (en) Semiconductor structures with a protective liner and methods of forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant