DE102017103464B4 - Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung - Google Patents

Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102017103464B4
DE102017103464B4 DE102017103464.1A DE102017103464A DE102017103464B4 DE 102017103464 B4 DE102017103464 B4 DE 102017103464B4 DE 102017103464 A DE102017103464 A DE 102017103464A DE 102017103464 B4 DE102017103464 B4 DE 102017103464B4
Authority
DE
Germany
Prior art keywords
gate
stack
contact pin
spacer
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017103464.1A
Other languages
English (en)
Other versions
DE102017103464A1 (de
Inventor
Chih-Teng Liao
Yi-Wei Chiu
Xi-Zong Chen
Chia-Ching Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/429,894 external-priority patent/US10121873B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017103464A1 publication Critical patent/DE102017103464A1/de
Application granted granted Critical
Publication of DE102017103464B4 publication Critical patent/DE102017103464B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Verfahren mit folgenden Schritte:Herstellen eines ersten Maskenstapels (112) und eines zweiten Maskenstapels (212), die unterschiedliche Breiten haben;Herstellen eines Fotoresists (28), um den zweiten Maskenstapel (212) zu bedecken;Verringern einer ersten Breite des ersten Maskenstapels (112);Entfernen des Fotoresists (28);weiteres Verringern der ersten Breite des ersten Maskenstapels (112) und gleichzeitig Verringern einer zweiten Breite des zweiten Maskenstapels (212); undVerwenden des ersten Maskenstapels und des zweiten Maskenstapels als eine Ätzmaske zum Ätzen einer Dummy-Gate-Elektrodenschicht (24') und einer dielektrischen Dummy-Gate-Schicht (22') als ein Dummy-Gate-Stapel (30) über einem Halbleiterbereich (20A);Herstellen eines ersten Gate-Abstandshalters (32) auf einer Seitenwand des Dummy-Gate-Stapels (30);Entfernen des Dummy-Gate-Stapels, um eine Öffnung (48) herzustellen;Herstellen eines Ersatz-Gate-Stapels (52) in der Öffnung;Aussparen des Ersatz-Gate-Stapels (52), um eine Aussparung herzustellen;Füllen der Aussparung mit einem leitenden Material (74); undDurchführen einer Planarisierung, um überschüssige Teile des leitenden Materials über dem ersten Gate-Abstandshalter zu entfernen, wobei ein verbleibender Teil des leitenden Materials einen Gate-Kontaktstift (74') bildet, wobei ein oberer Teil des Gate-Kontaktstifts (74') auf der gleichen Ebene wie ein oberer Teil des ersten Gate-Abstandshalters (32) liegt.

Description

  • Hintergrund der Erfindung
  • Mit abnehmender Größe von integrierten Schaltkreisen werden die jeweiligen Herstellungsprozesse zunehmend schwieriger, und es können Probleme dort auftreten, wo bisher keine Probleme aufgetreten sind. Zum Beispiel können bei der Herstellung von Finnen-Feldeffekttransistoren (FinFETs) die Metall-Gates und die angrenzenden Source- und Drain-Bereiche miteinander elektrisch kurzgeschlossen werden. Die Kontaktstifte (contact plugs) und Metall-Gates können mit den benachbarten Kontaktstiften der Source- und Drain-Bereiche kurzgeschlossen werden.
  • Darüber hinaus kann die Herstellung von FinFETs Folgendes umfassen: Herstellen von Polysilizium-Dummy-Gates; Entfernen der Polysilizium-Dummy-Gates in nachfolgenden Prozessen; und Füllen der Aussparungen, die von den Polysilizium-Dummy-Gates zurückgelassen werden, mit Ersatz-Metall-Gates. Da jedoch die Polysilizium-Dummy-Gates sehr schmal werden, kann durch unvollständiges Entfernen der Polysilizium-Dummy-Gates ein Polysilizium-Rückstand zurückbleiben, was zu einer Minderung der Leistung der Bauelemente führt.
  • Gatestrukturen mit einfachem Abstandshalter auf beiden Seiten der Gatestruktur sind z.B. in der US 2012 / 0 273 901 A1 und in der US 2015 / 0 364 371 A1 beschrieben. Eine doppelte Abstandshalter-Struktur ist in der US 2012 / 0 139 062 A1 gezeigt. Weiterer Stand der Technik ist aus der US 2016 / 0 190 287 A1 bekannt.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 23 zeigen Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors gemäß einigen Ausführungsformen.
    • 24 zeigt einen Prozessablauf zur Herstellung eines Transistors gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen beispielhaften Ausführungsformen werden ein Bauelement gemäß Anspruch 13 und Verfahren gemäß den Ansprüchen 1 und 7 bereitgestellt. Die Zwischenstufen der Herstellung des Transistors und der Metall-Gates und Kontaktstifte werden anhand einiger Ausführungsformen erläutert. Es werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen verschiedenen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.
  • Die 1 bis 23 zeigen Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die Schritte, die in den 1 bis 23 dargestellt sind, sind auch schematisch in dem Prozessablauf 500 dargestellt, der in 24 gezeigt ist. Für die erläuternden Ausführungsformen wird die Herstellung eines Finnen-Feldeffekttransistors (FinFET) als ein Beispiel verwendet. Das Prinzip der vorliegenden Erfindung lässt sich ohne weiteres für planare Transistoren verwenden.
  • In 1 wird ein Halbleitersubstrat 20 bereitgestellt, das Teil eines Halbleiterwafers 2 ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst das Halbleitersubstrat 20 kristallines Silizium. Außerdem kann das Halbleitersubstrat 20 auch andere gebräuchliche Materialien umfassen, wie etwa Kohlenstoff, Germanium, Gallium, Bor, Arsen, Stickstoff, Indium, Phosphor und dergleichen. Das Substrat 20 kann auch ein Verbundsubstrat sein, das einen III-V-Verbindungshalbleiter oder Siliziumgermanium umfasst.
  • Das Halbleitersubstrat 20 umfasst Teile in einer Vielzahl von Bereichen, in denen verschiedene Arten von Transistoren hergestellt werden sollen. Bei einigen Ausführungsformen umfasst die Vielzahl von Bereichen einen Standard-Bauelementbereich 100, einen Hochspannungs(HV)-Bauelementbereich 200, einen Langkanal-Bauelementbereich 300 und einen Eingangs-Ausgangs(E/A)-Bauelementbereich 400. Der Standard-Bauelementbereich 100 kann zum Herstellen von Kern-/Logiktransistoren verwendet werden. Der HV-Bauelementbereich 200 kann zum Herstellen von HV-Transistoren verwendet werden. Der Langkanal-Bauelementbereich 300 kann zum Herstellen von Transistoren mit langen Kanälen verwendet werden, und der E/A-Bauelementbereich 400 kann zum Herstellen von E/A-Transistoren verwendet werden. Die Kerntransistoren können mit einer niedrigen positiven Versorgungsspannung gespeist werden, die zum Beispiel niedriger als etwa 1,0 V ist. Der HV-Transistor kann mit einer hohen positiven Versorgungsspannung gespeist werden, die höher als die positive Versorgungsspannung der Bauelemente in dem Bauelementbereich 100 ist, und er kann so konfiguriert sein, dass er dieser Spannung standhält. Die Versorgungsspannungen der HV-Bauelementbereiche 200 können zum Beispiel etwa 1,5 V bis etwa 3,3 V betragen.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist das Substrat 20 eine oder mehrere Halbleiterfinnen 20A auf, die die Teile über angrenzenden Trennbereichen sind. Eine beispielhafte Finne 20A ist in 5B dargestellt, die zeigt, dass die Halbleiterfinne 20A höher als angrenzende Trennungsbereiche 38 sind, die STI-Bereiche (STI: flache Grabenisolation) sein können. Es ist zu beachten, dass der Einfachheit halber die Finnen in den Bereichen 100, 200, 300 und 400 zwar als miteinander verbunden dargestellt sind, aber in der Realität die Finnen in verschiedenen Bauelementbereichen voneinander getrennt sind.
  • Zurück zu 1. Hier wird ein Dummy-Gate-Dielektrikum 22 über dem Substrat 20 hergestellt. Das Dummy-Gate-Dielektrikum 22 kann aus Siliziumoxid bestehen. Über dem Dummy-Gate-Dielektrikum 22 wird eine Dummy-Gate-Elektrode 24 hergestellt, die bei einigen Ausführungsformen aus Polysilizium bestehen kann.
  • In den Bauelementbereichen 100, 200, 300 und 400 werden Maskenstapel 112, 212, 312 bzw. 412 hergestellt, die Breiten W112, W212, W312 bzw. W412 haben, wobei bei einigen beispielhaften Ausführungsformen die Beziehung W412 > W312 > W212 > W112 gilt. Der Maskenstapel 112 kann Schichten 114, 116 und 118 haben, die aus Siliziumoxid, Siliziumnitrid, Silizium-Kohlenstoff-Nitrid, Silizium-Kohlenstoff-Oxidnitrid oder dergleichen bestehen können. Die Maskenstapel 212, 312 und 412 haben die gleichen Schichten wie der Maskenstapel 112.
  • Über der Dummy-Gate-Elektrode 24 wird ein unterer Antireflexbelag (bottom antireflective coating; BARC) 26 hergestellt, der den Zwischenraum zwischen den Maskenstapeln 112, 212, 312 und 412 füllt. Bei einigen Ausführungsformen besteht der BARC 26 aus einem dielektrischen Material, wie etwa SiON. Der BARC 26 kann so hergestellt werden, dass er die Maskenstapel 112, 212,312 und 412 bedeckt, oder kann eine Oberseite haben, die in einer Ebene mit der Oberseite der Schicht 118 liegt oder höher als diese ist. Über dem BARC 26 wird ein strukturiertes Fotoresist 28 hergestellt, das die Maskenstapel 212, 312 und 412 bedeckt, sodass der Maskenstapel 112 unbedeckt zurückbleibt.
  • In 2 wird der BARC 26 unter Verwendung des Fotoresists 28 als eine Ätzmaske strukturiert. Dadurch werden die Seitenwände des Maskenstapels 112 freigelegt. Dann wird ein Ätzschritt ausgeführt, wobei die Ätzung isotrop ist und ein Nassätzprozess oder ein Trockenätzprozess sein kann. Der entsprechende Schritt ist als Schritt 502 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Bei einigen Ausführungsformen wird die Ätzung durch einen Nassätzprozess unter Verwendung einer Ätzlösung durchgeführt, die HF, vollentsalztes Wasser, NH4OH, H2O2 oder Isopropanol (IPA) umfasst. Alternativ wird die Ätzung durch einen Trockenätzprozess unter Verwendung eines Ätzgases durchgeführt, das HBr, SO2 oder CF4 umfasst. Im Ergebnis der Ätzung hat der Maskenstapel 112 eine Breite W112', die bei einigen Ausführungsformen kleiner als etwa 0,9 × W112 ist.
  • Dann werden der BARC 26 und das Fotoresist 28 entfernt, wie in 3 gezeigt ist. Ein weiterer Ätzprozess durch isotropes Ätzen kann durchgeführt werden, um die Breiten der Maskenstapel 112, 212, 312 und 412 weiter zu verringern. Der entsprechende Schritt ist als Schritt 502 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Das Ätzmittel kann das Gleiche wie das Ätzmittel sein, das bei der in 2 gezeigten Ätzung verwendet wird, oder kann von diesem verschieden sein. Dadurch sind die Breiten W112", W212', W312' und W412' kleiner als etwa 90 Prozent der Breiten W112', W212, W312 bzw. W412, wie in 2 gezeigt ist.
  • In 4 werden die Dummy-Gate-Elektrodenschicht 24 und das Dummy-Gate-Dielektrikum 22 (3) unter Verwendung der Maskenstapel 112, 212, 312 und 412 als eine Ätzmaske geätzt. Die verbleibenden Teile der geätzten Dummy-Gate-Elektrodenschicht 24 sind Dummy-Gate-Elektroden 124, 224, 324 und 424. Die verbleibenden Teile des geätzten Dummy-Gate-Dielektrikums 22 sind Dummy-Gate-Dielektrika 122, 222, 322 bzw. 422. Der entsprechende Schritt ist als Schritt 504 in dem Prozessablauf angegeben, der in 24 gezeigt ist. In diesem Schritt wird die dielektrische Dummy-Gate-Schicht 22 (3) durchgeätzt und die Halbleiterfinne 20A wird freigelegt. Die Seitenwände der Dummy-Gate-Dielektrika 122, 222, 322 und 422 werden ebenfalls freigelegt. Die Maskenschichten 118, die in 3 gezeigt sind, können aufgezehrt werden.
  • Durch den Prozess, der in den 1 bis 4 gezeigt ist, werden die Breiten der Dummy-Gate-Elektroden 124, 224, 324 und 424 angepasst und auf Sollwerte verringert, was zu einer vorteilhaften Verringerung der kritischen Abmessung (critical dimension; CD - die Gate-Breite) der resultierenden Transistoren führt, insbesondere der Standardtransistoren und HV-Transistoren. Bei einigen Ausführungsformen der vorliegenden Erfindung können die Breiten der Dummy-Gate-Elektroden 124, 224, 324 und 424 ein Verhältnis W112': W212': W312' : W412' in dem Bereich von 1,0 : 1,0 ~ 1,3: 1,3 ~ 1,6: 4,0 ~ 4,5 haben.
  • Die Prozessschritte, die nachfolgend in den 5A/5B bis 23 dargestellt sind, zeigen Zwischenschritte für die Herstellung von Transistoren. Es wird der Prozessablauf für die Herstellung eines einzelnen Transistors dargestellt, wobei der Prozessablauf den Prozessablauf für die Standardtransistoren in dem Bauelementbereich 100, die HV-Transistoren in dem Bauelementbereich 200, die Langkanal-Transistoren in dem Bauelementbereich 300 und die E/A-Transistoren in dem Bauelementbereich 400 verkörpern kann. Daher verkörpern die Komponenten, die in 5A gezeigt sind, den Maskenstapel und die/das entsprechende darunter befindliche Dummy-Gate-Elektrode und Dummy-Gate-Dielektrikum, die in 4 gezeigt sind, je nachdem, welche Art von Transistor hergestellt werden soll. Wenn zum Beispiel ein Standardtransistor hergestellt werden soll, verkörpern die Elemente 22', 24', 14 und 16 in 5A die Elemente 122, 124, 114 bzw. 116 in 4. Gleichermaßen verkörpern, wenn ein E/A-Transistor hergestellt werden soll, die Elemente 22', 24', 14 und 16 in 5A die Elemente 422, 424, 414 bzw. 416 in 4. Wie in 5A gezeigt ist, haben das Dummy-Gate-Dielektrikum 22' und die Dummy-Gate-Elektrode 24' Seitenwandteile (die durch Strichlinien dargestellt sind), die auf der Oberseite und den Seitenwänden der Halbleiterfinne 20A verlaufen. In der nachfolgenden Erörterung werden die Elemente 22', 24', 14 und 16 kollektiv als Dummy-Gate-Stapel 30 bezeichnet.
  • 5B zeigt eine Schnittansicht der in 5A gezeigten Struktur, wobei die Schnittansicht von der Ebene erhalten wird, die die Linie 5B - 5B in 5A schneidet. 5B zeigt, dass die Halbleiterfinne 20A über Oberseiten 38A von STI-Bereichen 38 übersteht und der Dummy-Gate-Stapel 30 auf der Oberseite und den Seitenwänden der Halbleiterfinne 20A verläuft.
  • 6 zeigt die Herstellung von Gate-Abstandshaltern 32, die auf den Seitenwänden des Dummy-Gate-Stapels 30 hergestellt werden. Der entsprechende Schritt ist als Schritt 506 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfassen die Gate-Abstandshalter 32 eine Vielzahl von Schichten, zum Beispiel eine Schicht 32A und eine Schicht 32B über der Schicht 32A. Die Gate-Abstandshalter 32 können mehr Schichten umfassen, auch wenn diese nicht dargestellt sind. Zu den Materialien der Gate-Abstandshalter 32 gehören Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Silizium-Kohlenstoff-Oxidnitrid und dergleichen. Die Schichten 32A und 32B können zum Beispiel aus verschiedenen Materialien bestehen. Alternativ können die Schichten 32A und 32B die gleichen Elemente (wie etwa Silizium und Stickstoff) mit unterschiedlichen Zusammensetzungen (mit unterschiedlichen Prozentgehalten) haben. Die Gate-Abstandshalter 32 können bei einigen Ausführungsformen in Kontakt mit den Oberseiten und den Seitenwänden der Halbleiterfinne 20A sein.
  • In 7 werden Source-/Drain-Bereiche 36 hergestellt. Der entsprechende Schritt ist als Schritt 508 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der Source-/Drain-Bereiche 36 die Ätzung der Teile der Halbleiterfinne 20A, die nicht von dem Dummy-Gate-Stapel 30 und den Gate-Abstandshaltern 32 bedeckt sind, und die Durchführung einer Epitaxie, um Epitaxiebereiche in den resultierenden Aussparungen aufwachsen zu lassen. Wenn bei einigen beispielhaften Ausführungsformen ein n-FinFET hergestellt werden soll, weisen die Source-/Drain-Bereiche 36 Siliziumphosphor (SiP) oder mit Phosphor dotierten Silizium-Kohlenstoff (SiCP) auf. Wenn ein p-FinFET hergestellt werden soll, können die Source-/Drain-Bereiche 36 SiGe und ein p-Dotierungsatom, wie etwa Bor oder Indium, aufweisen, das während der Epitaxie in situ dotiert werden kann. Um ein n-Dotierungsatom (für einen n-FinFET) oder ein p-Dotierungsatom (für einen p-FinFET) in die Epitaxiebereiche zu dotieren, kann eine Implantation durchgeführt werden oder auch nicht. Bei alternativen Ausführungsformen wird die Herstellung der Source-/Drain-Bereiche 36 durch Implantieren der Halbleiterfinne 20A durchgeführt.
  • 8 zeigt die Herstellung einer Kontakt-Ätzstoppschicht (contact etch stop layer; CESL) 40 und eines Zwischenschicht-Dielektrikums (ILD) 42 über der CESL 40. Der entsprechende Schritt ist als Schritt 510 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Bei einigen Ausführungsformen wird vor der Herstellung der CESL 40 eine Pufferoxidschicht (nicht dargestellt) auf den Source-/Drain-Bereichen 36 hergestellt. Die Pufferoxidschicht kann aus Siliziumoxid bestehen, und die CESL 40 kann aus Siliziumnitrid, Silizium-Kohlenstoff-Nitrid oder dergleichen bestehen. Die Pufferoxidschicht und die CESL 40 können zum Beispiel durch Atomlagenabscheidung (ALD) hergestellt werden. Das ILD 42 kann aus einem fließfähigen Oxid zum Beispiel mittels fließfähiger chemischer Aufdampfung (flowable chemical vapor depositon; FCVD) hergestellt werden. Das ILD 42 kann außerdem Phosphorsilicatglas (PSG), Borosilicatglas (BSG), Borphosphorsilicatglas (BPSG), Tetraethylorthosilicat(TEOS)-Oxid oder dergleichen aufweisen. Um die Oberseiten des Dummy-Gate-Stapels 30, der Gate-Abstandshalter 32, der CESL 40 und des ILD 42 zu egalisieren, kann eine Planarisierung, wie etwa eine chemisch-mechanische Polierung (CMP), durchgeführt werden.
  • Dann wird der Dummy-Gate-Stapel 30 entfernt, wie in den 9 und 10 gezeigt ist. Der entsprechende Schritt ist als Schritt 512 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Zunächst werden die Maskenschichten 14 und 16 entfernt, und die resultierende Struktur ist in 9 gezeigt. Die Maskenschichten 14 und 16 können zum Beispiel unter Verwendung von fluorhaltigen Prozessgasen, wie etwa CF4/O2/N2, NF3/O2, SF6 oder SF6/O2, oder unter Verwendung einer H3PO4-Lösung entfernt werden. Dann werden Schritte zum Ätzen einer Oxidschicht ausgeführt, die eine Eigenoxidschicht sein kann, die auf der Dummy-Gate-Elektrode 24' hergestellt ist. Das Entfernen des Oxids kann mittels NF3 und NH3 durchgeführt werden, die bei Behandlung mit RF miteinander zu NH4F reagieren, das zum Ätzen von Siliziumoxid (unter Erwärmung auf zum Beispiel etwa 40 °C) verwendet wird, sodass (NH4)2SiF6 und Wasser entstehen. (NH4)2SiF6 ist fest, und wenn es zum Beispiel bei einer Temperatur von mehr als etwa 100 °C geglüht wird, entstehen die Gase SiF4, NH3 und HF, die aus einer Kammer 44 mittels einer Pumpe (nicht dargestellt) evakuiert werden.
  • 9 zeigt weiterhin die Ätzung der Dummy-Gate-Elektrode 24' gemäß einigen Ausführungsformen der vorliegenden Erfindung. Ein Wafer 2 wird in der Kammer 44 platziert, in die auch Prozessgase NF3 und H2 eingeleitet werden, und aus NF3 wird ein Plasma erzeugt, das mit H2 (mit dem erzeugten Plasma) zu H(Wasserstoff)-Radikalen und F(Fluor)-Radikalen reagiert. Aus den Prozessgasen werden auch Ionen erzeugt. 9 zeigt Radikale, die durch den Buchstaben R dargestellt sind, und positive und negative Ionen mit dem Vorzeichen „+“ bzw. „- “. Ein Filter 46 (das ein Selektivitätsmodulationsbauelement sein kann) dient zum Herausfiltern der Ionen, während Radikale das Filter 46 passieren können, sodass sie den Wafer 2 erreichen. Fluorradikale reagieren mit Silizium (der Dummy-Gate-Elektrode 24') zu gasförmigem SiH4 und H2, die mittels einer Pumpe (nicht dargestellt) aus der Kammer 44 evakuiert werden. Während der Ätzung der Dummy-Gate-Elektrode 24' sind die Fluorradikale nicht gerichtet und haben keinen Bombardierungseffekt. Daher werden die Teile in der unteren Ecke der Dummy-Gate-Elektrode 24' vollständig und rückstandsfrei geätzt.
  • Nachdem die Dummy-Gate-Elektrode 24' entfernt worden ist, wird auch das Dummy-Gate-Dielektrikum 22' zum Beispiel unter Verwendung von NF3/NH3 oder HF entfernt, sodass die Oberseite und die Seitenwände der Halbleiterfinne 20A freigelegt werden und eine Aussparung 48 entsteht, wie in 10 gezeigt ist. Vorteilhafterweise bleibt auf Grund des Durchätzens der dielektrischen Dummy-Gate-Schicht 22 (2 und 3) kein Dummy-Gate-Dielektrikum direkt unter den Gate-Abstandshaltern 32 zurück. Daher entsteht keine Unterätzung direkt unter den Gate-Abstandshaltern 32, wobei die Unterätzung, wenn sie entsteht, mit dem nachfolgend abgeschiedenen Metall zur Herstellung eines Ersatz-Gates gefüllt werden kann und einen Leck-/Kurzschlusspfad erzeugen kann. Somit wird durch das Durchätzen der dielektrischen Dummy-Gate-Schicht 22 vorteilhafterweise der Leck-/Kurzschlusspfad beseitigt.
  • Die 11 und 12 zeigen die Herstellung von Gate-Abstandshaltern 50 gemäß einigen Ausführungsformen. Der entsprechende Schritt ist als Schritt 514 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Bei alternativen Ausführungsformen werden die Schritte, die in den 11 und 12 gezeigt sind, weggelassen. In 11 wird eine Gate-Abstandshalterschicht 49 zum Beispiel unter Verwendung eines konformen Abscheidungsverfahrens, wie etwa ALD oder CVD, hergestellt. Daher liegen die Dicken der horizontalen Teile und der vertikalen Teile der Gate-Abstandshalterschicht 49 dicht beieinander, zum Beispiel beträgt die Differenz zwischen der Dicke der vertikalen Teile und der Dicke der horizontalen Teile weniger als etwa 20 % oder 10 %. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die Gate-Abstandshalterschicht aus Siliziumnitrid, Silizium-Kohlenstoff-Nitrid, Siliziumoxidnitrid oder einem anderen dielektrischen Material, das von den Materialien der Gate-Abstandshalter 32 und den Materialien der CESL 40 und des ILD 42 verschieden sein kann. Bei der Herstellung der Gate-Abstandshalter 50 werden das Metall-Gate und die Source-/Drain-Bereiche 36, die nachfolgend hergestellt werden, vorteilhaft weiter voneinander beabstandet, und die Gefahr des Lecken und elektrischen Kurzschließens zwischen ihnen wird verringert.
  • In 12 wird eine anisotrope Ätzung durchgeführt, um die horizontalen Teile der Gate-Abstandshalterschicht 49 zu entfernen, sodass die Gate-Abstandshalter 50 auf den Seitenwänden der Gate-Abstandshalter 32 zurückbleiben.
  • Dann wird in der Aussparung 48 ein Ersatz-Gate-Stapel 52 hergestellt, der auf der Oberseite und den Seitenwänden der Halbleiterfinne 20A verläuft, wie in 13A gezeigt ist. Der entsprechende Schritt ist als Schritt 516 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Der Ersatz-Gate-Stapel 52 kann eine dielektrische Zwischenschicht 54, ein High-k-Gate-Dielektrikum 56 und eine Ersatz-Gate-Elektrode 58 umfassen. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die dielektrische Zwischenschicht 54 eine Siliziumoxidschicht, die durch thermische Oxidation oder chemische Oxidation hergestellt ist, während der eine Oberflächenschicht der Halbleiterfinne 20A oxidiert wird. Die dielektrische High-k-Schicht 56 kann einen k-Wert haben, der größer als 7 oder größer als 20 ist. Beispielhafte dielektrische High-k-Materialien sind Hafniumoxid, Zirconiumoxid, Lanthanoxid oder dergleichen. Die Ersatz-Gate-Elektrode 58 kann eine Einfachschicht, die aus einem homogenen leitenden Material besteht, oder eine Verbundschicht sein, die eine Vielzahl von Schichten aufweist, die aus TiN, Titansiliziumnitrid (TSN), TaSiN, WN, TiAl, TiAlN, TaC, TaN, Aluminium, Wolfram oder Kombinationen davon bestehen. Für die Herstellung der dielektrischen High-k-Schicht 56 und der Ersatz-Gate-Elektrode 58 können ALD, physikalische Aufdampfung (PVD), metallorganische chemische Aufdampfung (MOCVD) und/oder andere geeignete Verfahren verwendet werden. Um überschüssige Teile des Ersatz-Gate-Stapels 52 zu entfernen, kann eine Planarisierung, wie etwa CMP, durchgeführt werden.
  • Über dem Ersatz-Gate-Stapel 52 wird eine Hartmaske 60 hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung wird der planarisierte Ersatz-Gate-Stapel 52 zurückgeätzt, und die Hartmaske 60 wird in der resultierenden Aussparung hergestellt, die von dem geätzten Teil des Ersatz-Gate-Stapels 52 zurückgelassen wird. Die Herstellung der Hartmaske 60 umfasst einen Abscheidungsschritt und einen Planarisierungsschritt zum Entfernen von überschüssigem abgeschiedenem Material über den Gate-Abstandshaltern 32 und dem ILD 42. Die Hartmaske 60 kann zum Beispiel aus Siliziumnitrid bestehen.
  • 13B zeigt schematisch das Profil des Metall-Gates, das physisch auf einem Wafer hergestellt ist. Es ist zu erkennen, dass die Ersatz-Gate-Elektrode 58 ein Profil haben kann, bei dem ein Mittelteil über Randteile übersteht. Die Oberseiten bilden somit einen Winkel α in der Schnittansicht, die in 13B gezeigt ist. Wie in den vorhergehenden Absätzen dargelegt worden ist, kann der dargestellte Transistor einen Standardtransistor, einen HV-Transistor, einen Langkanal-Transistor und einen E/A-Transistor verkörpern. Auf Grund unterschiedlicher Breiten der Gate-Elektrode können die Profile dieser Transistoren voneinander verschieden sein. Wenn man unterstellt, dass wenn der dargestellte Transistor ein Standardtransistor, ein HV-Transistor, ein Langkanal-Transistor oder ein E/A-Transistor ist, der Winkel α gleich α1, α2, α3 bzw. α4 ist, so kann das Verhältnis α1: α2: α3 : α4 in dem Bereich von 1,0 : 1,7 ~ 1,8 : 1,9 ~ 2,0 : 2,0 ~ 2,1 liegen.
  • Eine Höhe HMG des Ersatz-Gate-Stapels 52 wird von der Oberseite der Hartmaske 60 bis zu der Oberseite 38A der STI-Bereiche 38 (5B) gemessen. Wenn man unterstellt, dass wenn der dargestellte Transistor ein Standardtransistor, ein HV-Transistor, ein Langkanal-Transistor oder ein E/A-Transistor ist, die Höhe HMG gleich einer Höhe HMG1, einer Höhe HMG2, einer Höhe HMG3 bzw. einer Höhe HMG4 ist, so kann das Verhältnis HMG1: HMG2: HMG3: HMG4 in dem Bereich von 1,0 : 1,0 ~ 1,1: 0,9 ~ 1,0 : 1,0 ~1,1 liegen.
  • Das Volumen V des Ersatz-Gate-Stapels 52 kann als V1, V2, V3 oder V4 dargestellt werden, wenn der dargestellte Transistor ein Standardtransistor, ein HV-Transistor, ein Langkanal-Transistor bzw. ein E/A-Transistor ist. Bei einigen Ausführungsformen der vorliegenden Erfindung kann das Verhältnis V1: V2: V3: V4 in dem Bereich von 1,0: 0,9 ~ 0,98: 30 ~ 38 : 42 ~ 48 liegen.
  • Ein Neigungswinkel β1 der Seitenwände der Gate-Abstandshalter 50 kann kleiner als etwa 89 Grad sein, und ein Neigungswinkel β2 der Seitenwände der Gate-Abstandshalter 32 kann ebenfalls kleiner als etwa 89 Grad sein. Gemäß einigen Ausführungsformen der vorliegenden Erfindung sind die Ränder des Ersatz-Gate-Stapels 52 im Wesentlichen geradlinig, und daher betragen die Neigungswinkel β1 und β2 nahezu 90 Grad.
  • Die 14 bis 16 zeigen die Herstellung von unteren Source-/Drain-Kontaktstiften. Der entsprechende Schritt ist als Schritt 518 in dem Prozessablauf angegeben, der in 24 gezeigt ist. In 14 wird eine dielektrische Opferschicht 62 hergestellt, und anschließend wird ein strukturiertes Fotoresist 64 aufgebracht. Die dielektrische Opferschicht 62 besteht aus einem dielektrischen Material, das von dem Material des ILD 42 verschieden ist. Zum Beispiel kann die dielektrische Opferschicht 62 aus einem dielektrischen Material bestehen, das aus den gleichen dielektrischen Materialien gewählt ist, die für die Herstellung des ILD 42 in Frage kommen, aber sie bestehen immer noch aus unterschiedlichen Materialien. Wie in 15 gezeigt ist, werden dann die dielektrische Opferschicht 62, das ILD 42 und die CESL 40 so geätzt, dass Kontaktöffnungen 66 entstehen. Dann werden Source-/Drain-Silicidbereiche 68 zum Beispiel durch ein Verfahren zur Bildung von selbstjustierenden Siliciden hergestellt. Es dürfte wohlverstanden sein, dass die Source-/Drain-Kontaktöffnungen 66 in nur einem lithografischen Prozess oder aber in einem Doppelstrukturierungsprozess, für den zwei lithografische Prozesse verwendet werden, hergestellt werden können, wobei sich die Struktur der Source-/Drain-Kontaktöffnung 66 auf der linken Seite des Ersatz-Gate-Stapels 52 in einer ersten lithografischen Maske befindet und sich die Struktur der Source-/Drain-Kontaktöffnung 66 auf der rechten Seite des Ersatz-Gate-Stapels 52 in einer zweiten lithografischen Maske befindet. Dann wird das Fotoresist 64 entfernt.
  • In 16 werden die Kontaktöffnungen 66 mit einem oder mehreren leitenden Materialien gefüllt. Daran schließt sich ein Planarisierungsprozess an, wodurch Source-/Drain-Kontaktstifte oder Kontaktstöpsel (contact plugs) 70 entstehen. Bei einigen Ausführungsformen weisen die Source-/Drain-Kontaktstifte 70 eine leitende Sperrschicht, die aus Titan, Titannidrid, Tantal oder Tantalnitrid besteht, und ein Metall, wie etwa Wolfram, Aluminium, Kupfer oder dergleichen, über der Diffusionssperrschicht auf. Bei alternativen Ausführungsformen werden die Kontaktstifte 70 aus einer Einfachschicht hergestellt, die aus einem homogenen Material, wie etwa Wolfram oder einer Legierung, besteht.
  • Die 17 bis 19 zeigen die Herstellung eines Gate-Kontaktstifts oder Kontaktstöpsels (contact plug). Der entsprechende Schritt ist als Schritt 520 in dem Prozessablauf angegeben, der in 24 gezeigt ist. In 17 wird ein fotolithografischer Prozess unter Verwendung einer lithografischen Maske (nicht dargestellt) durchgeführt, um die dielektrische Opferschicht 62 durchzuätzen. Dann wird die Hartmaske 60 entfernt, sodass eine Öffnung 72 entsteht. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der Öffnung 72 eine anisotrope Ätzung zum Durchätzen der dielektrischen Opferschicht 62 und eine isotrope Ätzung (Trocken- oder Nassätzung) zum Entfernen der Hartmaske 60. Dadurch werden die Seitenwände der Gate-Abstandshalter 50 freigelegt. Bei den Ausführungsformen, bei denen die Gate-Abstandshalter 50 nicht hergestellt werden, werden die Seitenwände der Gate-Abstandshalter 32 zu der Öffnung 72 freigelegt. Das Ätzmittel zum Ätzen der dielektrischen Opferschicht 62 und der Hartmaske 60 wird so gewählt, dass die Gate-Abstandshalter 50 und 32 im Wesentlichen nicht geätzt werden. Bei alternativen Ausführungsformen der vorliegenden Erfindung ist die Öffnung 72 schmaler als die Hartmaske 60, und daher bleiben einige Randteile der Hartmaske 60 zurück, wobei die entsprechende Öffnung 72 und die Hartmaske 60 mittels Strichlinien 72' dargestellt sind.
  • In 18 wird ein leitendes Material 74 abgeschieden, wobei eine Einfachschicht oder eine Verbundschicht (die eine Vielzahl von leitenden Schichten umfasst) hergestellt wird. Das Material und die Struktur für einen Gate-Kontaktstift 74 können aus den gleichen Materialien und Strukturen gewählt werden, wie sie für die Kontaktstifte 70 in Frage kommen. Dann wird eine Planarisierung, wie etwa CMP, durchgeführt, um die dielektrische Opferschicht 62 und die Teile des leitenden Materials 70 in und über der dielektrischen Opferschicht 62 zu entfernen. Dadurch entsteht der Gate-Kontaktstift 74, wie in 19 gezeigt ist. Außerdem werden die Source-/Drain-Kontaktstifte 70 tiefgesetzt.
  • Der Gate-Kontaktstift 74' und die Source-/Drain-Kontaktstifte 70 haben Oberseiten, die im Wesentlichen koplanar mit den Oberseiten der Gate-Abstandshalter 32 und des ILD 42 sind. Außerdem verlaufen die Gate-Abstandshalter 50 (oder 32, wenn die Gate-Abstandshalter 50 nicht hergestellt werden) so, dass sie die Seitenwände des Gate-Kontaktstifts 74 kontaktieren. Anders ausgedrückt, bei einigen Ausführungsformen sind die Seitenwände des Gate-Kontaktstifts 74' und die Seitenwände des Gate-Stapels 52 in Kontakt mit den gleichen Seitenwänden der jeweiligen Gate-Abstandshalter 50 (oder 32). Die Gate-Abstandshalter 50 und 32 trennen somit den Gate-Kontaktstift 74' von den Source-/Drain-Kontaktstiften 70. Durch die zusätzliche Verwendung der Gate-Abstandshalter 50 wird vorteilhaft die Gefahr des Leckens oder des elektrischen Kurzschließens zwischen dem Gate-Kontaktstift 74' und den Source-/Drain-Kontaktstiften 70 verringert.
  • Bei alternativen Ausführungsformen, bei denen die Hartmaske 60 nicht vollständig entfernt wird, wird der Gate-Kontaktstift 74' durch verbleibende Teile der Hartmaske 60 von einem oder beiden Gate-Abstandshaltern 32 getrennt, wobei die Oberseite der Hartmaske 60 ebenfalls koplanar mit den Oberseiten der Gate-Abstandshalter 32 und des ILD 42 ist. Die Strichlinien zeigen die Seitenwände des Gate-Kontaktstifts 74' bei diesen Ausführungsformen.
  • 20 zeigt die Herstellung einer Ätzstoppschicht 76, eines ILD 78 und von Source-/Drain-Kontaktstiften 82 in der Ätzstoppschicht 76 und dem ILD 78. Die Ätzstoppschicht 76 kann Siliziumcarbid, Siliziumoxidnitrid, Silizium-Kohlenstoff-Nitrid oder dergleichen aufweisen. Das ILD 78 kann ein Material aus der Gruppe PSG, BSG, BPSG, Fluorsilicatglas (FSG) und TEOS oder andere nicht-poröse dielektrische Low-k-Materialien umfassen. Die Ätzstoppschicht 76 kann mittels eines Abscheidungsverfahrens, wie etwa CVD, hergestellt werden. Das ILD 78 kann durch Schleuderbeschichtung, fließfähige chemische Aufdampfung (FCVD) oder dergleichen oder mittels eines Abscheidungsverfahrens wie Plasma-unterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung bei Tiefdruck (LPCVD) oder dergleichen hergestellt werden.
  • Das ILD 78 und die Ätzstoppschicht 76 werden so geätzt, dass Öffnungen entstehen. Die Ätzung kann zum Beispiel durch reaktives Ionenätzen (RIE) durchgeführt werden. In einem nachfolgenden Schritt werden Kontaktabstandshalter 80 hergestellt. Die Kontaktabstandshalter 80 können aus einem dielektrischen Material hergestellt werden, das aus der Gruppe SiN, SiON, SiCN, SiOCN, AlON, AlN, Kombinationen davon und/oder Mehrfachschichten davon gewählt ist. Das Herstellungsverfahren kann im Wesentlichen das Gleiche wie für die Herstellung der Gate-Abstandshalter 50 sein, die eine Schutzabscheidung und eine anisotrope Ätzung umfasst. Dann werden Kontaktstifte 82 zum Beispiel durch Abscheidung und Planarisierung hergestellt. Der entsprechende Schritt ist als Schritt 522 in dem Prozessablauf angegeben, der in 24 gezeigt ist.
  • 21 zeigt die Herstellung einer Ätzstoppschicht 84, einer dielektrischen Schicht 86, von leitenden Durchkontaktierungen 88 und von Durchkontaktierungsöffnungen 90. Die Ätzstoppschicht 84 und die dielektrische Schicht 86 können unter Verwendung ähnlicher (oder anderer) Materialien und ähnlicher Verfahren wie für die Ätzstoppschicht 76 bzw. das ILD 78 hergestellt werden. Die Durchkontaktierungen 88 können eine Sperrschicht, die aus Titan, Titannidrid, Tantal oder Tantalnitrid besteht, und ein leitendes Material, wie etwa Kupfer, Wolfram oder dergleichen, über der Sperrschicht aufweisen. Die Öffnung 90 wird durch Ätzung der Schichten 76, 78, 84 und 86 hergestellt.
  • Dann wird die Öffnung 90 gefüllt, um eine Durchkontaktierung 92 herzustellen, wie in 22 gezeigt ist, wobei die Durchkontaktierung 92 aus einem ähnlichen Material wie die Durchkontaktierungen 88 hergestellt werden kann. Der entsprechende Schritt ist als Schritt 524 in dem Prozessablauf angegeben, der in 24 gezeigt ist. Zum Verringern des Leckens oder des elektrischen Kurzschließens können Durchkontaktierungs-Abstandshalter 94 auf den Seitenwänden der Durchkontaktierungen 88 und/oder 90 hergestellt werden. 23 zeigt die Herstellung einer unteren Metallisierungsschicht, die Metallleitungen 96 aufweist.
  • Die Ausführungsformen der vorliegenden Anmeldung haben einige vorteilhafte Merkmale. Durch das Verkleinern der Maskenstapel werden die Breiten einiger Transistoren verringert und die entsprechenden Transistoren werden kleiner. Durch das Durchätzen des Dummy-Gate-Dielektrikums wird die Gefahr des Leckens und des elektrischen Kurzschließens verringert, die von der Unterätzung verursacht wird, die unter den Gate-Abstandshaltern entsteht. Durch die Herstellung von zusätzlichen Gate-Abstandshaltern in der Aussparung, die von dem Dummy-Gate-Stapel zurückgelassen wird, werden das Lecken und das elektrische Kurzschließen ebenfalls vorteilhaft verringert. Die Ätzung der Dummy-Gate-Elektrode unter Verwendung von Radikalen führt zu einer besseren Entfernung ohne Rückstände. Außerdem werden durch die Herstellung von Kontaktabstandshaltern ebenfalls das Lecken und die Gefahr des Kurzschließens zwischen dem Gate-Kontaktstift und den Source-/Drain-Kontaktstiften verringert.

Claims (17)

  1. Verfahren mit folgenden Schritte: Herstellen eines ersten Maskenstapels (112) und eines zweiten Maskenstapels (212), die unterschiedliche Breiten haben; Herstellen eines Fotoresists (28), um den zweiten Maskenstapel (212) zu bedecken; Verringern einer ersten Breite des ersten Maskenstapels (112); Entfernen des Fotoresists (28); weiteres Verringern der ersten Breite des ersten Maskenstapels (112) und gleichzeitig Verringern einer zweiten Breite des zweiten Maskenstapels (212); und Verwenden des ersten Maskenstapels und des zweiten Maskenstapels als eine Ätzmaske zum Ätzen einer Dummy-Gate-Elektrodenschicht (24') und einer dielektrischen Dummy-Gate-Schicht (22') als ein Dummy-Gate-Stapel (30) über einem Halbleiterbereich (20A); Herstellen eines ersten Gate-Abstandshalters (32) auf einer Seitenwand des Dummy-Gate-Stapels (30); Entfernen des Dummy-Gate-Stapels, um eine Öffnung (48) herzustellen; Herstellen eines Ersatz-Gate-Stapels (52) in der Öffnung; Aussparen des Ersatz-Gate-Stapels (52), um eine Aussparung herzustellen; Füllen der Aussparung mit einem leitenden Material (74); und Durchführen einer Planarisierung, um überschüssige Teile des leitenden Materials über dem ersten Gate-Abstandshalter zu entfernen, wobei ein verbleibender Teil des leitenden Materials einen Gate-Kontaktstift (74') bildet, wobei ein oberer Teil des Gate-Kontaktstifts (74') auf der gleichen Ebene wie ein oberer Teil des ersten Gate-Abstandshalters (32) liegt.
  2. Verfahren nach Anspruch 1, wobei die Planarisierung so lange durchgeführt wird, bis der erste Gate-Abstandshalter (32) freigelegt ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Entfernen des Dummy-Gate-Stapels (30) die folgenden Schritte umfasst: Erzeugen eines Plasmas aus einem fluorhaltigen Prozessgas; Herausfiltern von Ionen aus dem Plasma und Freisetzen von Fluorradikalen; und Ätzen einer Polysiliziumschicht des Dummy-Gate-Stapels (30) unter Verwendung der Fluorradikale.
  4. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Ätzen der Dummy-Gate-Elektrodenschicht (24'), um die dielektrische Dummy-Gate-Schicht (22') freizulegen; und Durchätzen der dielektrischen Dummy-Gate-Schicht (22'), um den Halbleiterbereich freizulegen.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin den folgenden Schritt umfasst: nach dem Entfernen des Dummy-Gate-Stapels (30) zum Herstellen der Öffnung Herstellen eines zweiten Gate-Abstandshalters (50) in der Öffnung, wobei der zweite Gate-Abstandshalter (50) eine erste Seitenwand, die den ersten Gate-Abstandshalter (32) kontaktiert, und eine zweite Seitenwand hat, die eine Seitenwand des Gate-Kontaktstifts (74') kontaktiert.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei der Gate-Kontaktstift (74') eine Seitenwand aufweist, die eine Seitenwand des ersten Gate-Abstandshalters (32) kontaktiert.
  7. Verfahren mit den folgenden Schritten: Herstellen eines Dummy-Gate-Stapels (30) auf einer Oberseite und einer Seitenwand einer Halbleiterfinne (20A); Herstellen von ersten Gate-Abstandshaltern (32), die Seitenwände haben, die Seitenwände des Dummy-Gate-Stapels (30) kontaktieren; Herstellen eines Source-/Drain-Bereichs (36) auf einer Seite des Dummy-Gate-Stapels (30); Herstellen eines Zwischenschicht-Dielektrikums (42), um den Source-/Drain-Bereich zu bedecken; Entfernen des Dummy-Gate-Stapels (30), um eine Öffnung (48) zwischen den ersten Gate-Abstandshaltern (32) herzustellen; Füllen eines unteren Teils der Öffnung (48) mit einem Ersatz-Gate-Stapel (52); und Herstellen eines Gate-Kontaktstifts (74'), um einen oberen Teil der Öffnung zu füllen, wobei sich der Gate-Kontaktstift (74') zwischen oberen Teilen der ersten Gate-Abstandshalter (32) befindet, wobei der Gate-Kontaktstift (74') eine Seitenwand aufweist, die eine Seitenwand des ersten Gate-Abstandshalters (32) kontaktiert.
  8. Verfahren nach Anspruch 7, wobei der Gate-Kontaktstift (74') mit den folgenden Schritten hergestellt wird: Ätzen eines oberen Teils des Ersatz-Gate-Stapels (52), um eine Aussparung zwischen den oberen Teilen der ersten Gate-Abstandshalter (32) herzustellen; Füllen der Aussparung mit einer Hartmaskenschicht; Entfernen der Hartmaskenschicht, um die Aussparung wieder herzustellen; Füllen der Aussparung mit einem leitenden Material; und Durchführen einer Planarisierung, um überschüssige Teile des leitenden Materials zu entfernen, wobei ein verbleibender Teil des leitenden Materials den Gate-Kontaktstift (74') bildet.
  9. Verfahren nach Anspruch 7 oder 8, wobei das Herstellen des Ersatz-Gate-Stapels (52) die folgenden Schritte umfasst: Füllen einer dielektrischen Gate-Schicht (56) in die Öffnung, die nach dem Entfernen des Dummy-Gate-Stapels (30) zurückbleibt; Abscheiden einer Gate-Elektrodenschicht (58) über der dielektrischen Gate-Schicht; und Durchführen einer Planarisierung an der dielektrischen Gate-Schicht (56) und der Gate-Elektrodenschicht (58), um den Ersatz-Gate-Stapel herzustellen.
  10. Verfahren nach einem der Ansprüche 7 bis 9, das weiterhin die folgenden Schritte aufweist: Ätzen des Zwischenschicht-Dielektrikums (42), um eine Source-/Drain-Kontaktöffnung (66) herzustellen, wobei der Source-/Drain-Bereich (36) zu der Source-/Drain-Kontaktöffnung freigelegt wird; Herstellen eines Source-/Drain-Kontaktstifts (70), um die Source-/Drain-Kontaktöffnung (66) zu füllen, wobei beim Herstellen des Gate-Kontaktstifts das Material des Gate-Kontaktstifts (74') über und in Kontakt mit dem Source-/Drain-Kontaktstift (70) abgeschieden wird; und Entfernen eines Teils des Materials des Gate-Kontaktstifts (74'), das über und in Kontakt mit dem Source-/Drain-Kontaktstift (70) abgeschieden worden ist.
  11. Verfahren nach einem der Ansprüche 7 bis 10, wobei das Herstellen des Gate-Kontaktstifts (74') die folgenden Schritte umfasst: Herstellen einer dielektrischen Opferschicht (62) über dem Zwischenschicht-Dielektrikum (42); Ätzen der dielektrischen Opferschicht (62), um eine weitere Öffnung (72) in dem Zwischenschicht-Dielektrikum herzustellen; Füllen eines metallischen Materials (74) in die weitere Öffnung (72) und den oberen Teil der Öffnung; und Entfernen der dielektrischen Opferschicht (62) und eines Teils des metallischen Materials (74), das in die weitere Öffnung gefüllt worden ist.
  12. Verfahren nach einem der Ansprüche 7 bis 11, das weiterhin den folgenden Schritt aufweist: nach dem Entfernen des Dummy-Gate-Stapels (30) zum Herstellen der Öffnung Herstellen eines zweiten Gate-Abstandshalters (50) in der Öffnung, wobei der zweite Gate-Abstandshalter (50) eine erste Seitenwand, die eine Seitenwand des ersten Gate-Abstandshalters (32) kontaktiert, und eine zweite Seitenwand hat, die eine Seitenwand des Gate-Kontaktstifts (74') kontaktiert.
  13. Bauelement mit: einem Halbleiterbereich (20A); einem Gate-Stapel (52) über dem Halbleiterbereich (20A); einem Source-/Drain-Bereich (36) auf einer Seite des Gate-Stapels (52); einem ersten Gate-Abstandshalter (50) und einem zweiten Gate-Abstandshalter (50) auf gegenüberliegenden Seitenwänden des Gate-Stapels (52); einem dritten Gate-Abstandshalter (32) und einem vierten Gate-Abstandshalter (32), wobei der erste und der zweite Gate-Abstandshalter (50) zwischen dem dritten und dem vierten Gate-Abstandshalter (32) angeordnet sind, und einem Gate-Kontaktstift (74') über dem Gate-Stapel (52), wobei der Gate-Kontaktstift (74') zwischen dem ersten Gate-Abstandshalter (50) und dem zweiten Gate-Abstandshalter (50) angeordnet ist, wobei obere Teile des ersten Gate-Abstandshalters (50) und des zweiten Gate-Abstandshalters (50) auf der gleichen Ebene wie der Gate-Kontaktstift (74') liegen, wobei der dritte und der vierte Gate-Abstandshalter (32) Folgendes aufweisen: eine erste Schicht, die eine L-Form hat; und eine zweite Schicht direkt über einem horizontalen Schenkel der ersten Schicht.
  14. Bauelement nach Anspruch 13, wobei der Gate-Kontaktstift (74') in Kontakt mit Seitenwänden des ersten Gate-Abstandshalters (50) und des zweiten Gate-Abstandshalters (50) ist und gegenüberliegende Seitenwände des Gate-Stapels (74') in Kontakt mit den Seitenwänden des ersten Gate-Abstandshalters Abstandshalters (50) und des zweiten Gate-Abstandshalters (50) sind.
  15. Bauelement nach Anspruch 13 oder 14, wobei der erste Gate-Abstandshalter (50) und der zweite Gate-Abstandshalter (50) in physischem Kontakt mit einer Oberseite des Halbleiterbereichs sind.
  16. Bauelement nach einem der Ansprüche 13 bis 15, das weiterhin Folgendes aufweist: einen ersten Source-/Drain-Kontaktstift (70), der über dem Source-/Drain-Bereich (36) angeordnet ist und mit diesem elektrisch verbunden ist, wobei der erste Source-/Drain-Kontaktstift (70) eine Oberseite aufweist, die im Wesentlichen koplanar mit Oberseiten des ersten Gate-Abstandshalters (50) und des zweiten Gate-Abstandshalters (50) ist; eine Ätzstoppschicht (76) über dem ersten Source-/Drain-Kontaktstift (70) und dem Gate-Kontaktstift (74'); eine dielektrische Schicht (78) über der Ätzstoppschicht (76); einen zweiten Source-/Drain-Kontaktstift (82), der über dem ersten Source-/Drain-Kontaktstift (70) angeordnet ist und diesen kontaktiert, wobei der zweite Source-/Drain-Kontaktstift (82) in der Ätzstoppschicht (76) und der dielektrischen Schicht (78) angeordnet ist; und einen dielektrischen Kontaktabstandshalter (80), der den zweiten Source-/Drain-Kontaktstift (82) umschließt und kontaktiert.
  17. Bauelement nach einem der Ansprüche 13 bis 16, wobei in einer Schnittansicht des Bauelements eine Seitenwand des Gate-Kontaktstifts (74') vertikal zu einer Seitenwand des Gate-Stapels (52) ausgerichtet ist.
DE102017103464.1A 2016-07-29 2017-02-21 Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung Active DE102017103464B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662368505P 2016-07-29 2016-07-29
US62/368,505 2016-07-29
US15/429,894 US10121873B2 (en) 2016-07-29 2017-02-10 Metal gate and contact plug design and method forming same
US15/429,894 2017-02-10

Publications (2)

Publication Number Publication Date
DE102017103464A1 DE102017103464A1 (de) 2018-02-01
DE102017103464B4 true DE102017103464B4 (de) 2021-09-30

Family

ID=60951396

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017103464.1A Active DE102017103464B4 (de) 2016-07-29 2017-02-21 Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung

Country Status (1)

Country Link
DE (1) DE102017103464B4 (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3651189A1 (de) * 2018-11-08 2020-05-13 IMEC vzw Verfahren zur herstellung einer gatterschneidstruktur auf einem array von halbleiterrippen
CN112201614A (zh) * 2019-07-08 2021-01-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7098120B2 (en) 2003-10-30 2006-08-29 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US20080185637A1 (en) 2007-02-06 2008-08-07 Sony Corporation Insulated gate field effect transistor and a method of manufacturing the same
US20120139062A1 (en) 2010-12-02 2012-06-07 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-k gate dielectric
US20120273901A1 (en) 2010-03-16 2012-11-01 Institute of Microelectronics, Chinese Academy of Science Semiconductor device and method for manufacturing the same
US8486789B2 (en) 2007-02-15 2013-07-16 Sony Corporation Method for manufacturing insulated gate field effect transistor
US20150364371A1 (en) 2014-06-12 2015-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US20160190287A1 (en) 2014-12-31 2016-06-30 United Microelectronics Corp. Method for forming semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7098120B2 (en) 2003-10-30 2006-08-29 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US20080185637A1 (en) 2007-02-06 2008-08-07 Sony Corporation Insulated gate field effect transistor and a method of manufacturing the same
US8486789B2 (en) 2007-02-15 2013-07-16 Sony Corporation Method for manufacturing insulated gate field effect transistor
US20120273901A1 (en) 2010-03-16 2012-11-01 Institute of Microelectronics, Chinese Academy of Science Semiconductor device and method for manufacturing the same
US20120139062A1 (en) 2010-12-02 2012-06-07 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-k gate dielectric
US20150364371A1 (en) 2014-06-12 2015-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US20160190287A1 (en) 2014-12-31 2016-06-30 United Microelectronics Corp. Method for forming semiconductor device

Also Published As

Publication number Publication date
DE102017103464A1 (de) 2018-02-01

Similar Documents

Publication Publication Date Title
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102017117971B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102015113184A1 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102017117793B4 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102017127542A1 (de) Struktur und verfahren für einen gate-isolierstecker
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102017120571A1 (de) Verfahren zum reduzieren der kontakttiefenschwankung bei der halbleiterherstellung
DE102019117011A1 (de) Halbleiter-bauelement und verfahren
DE102017103464B4 (de) Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung
DE102018122665A1 (de) Sockelentfernung in metallschnittverfahren
DE102017127228B3 (de) Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102022100570A1 (de) Halbleitervorrichtungen mit parasitären kanalstrukturen
DE102020104379B4 (de) Herstellungsverfahren für trichterförmige gateelektrode und entsprechende halbleitervorrichtung
DE102020102548A1 (de) Selbstausrichtende kontaktanordnung
DE102020114996A1 (de) Halbleitervorrichtung und verfahren zum ausbilden von dieser

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final