DE102017127228B3 - Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung - Google Patents

Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung Download PDF

Info

Publication number
DE102017127228B3
DE102017127228B3 DE102017127228.3A DE102017127228A DE102017127228B3 DE 102017127228 B3 DE102017127228 B3 DE 102017127228B3 DE 102017127228 A DE102017127228 A DE 102017127228A DE 102017127228 B3 DE102017127228 B3 DE 102017127228B3
Authority
DE
Germany
Prior art keywords
opening
mask layer
layer
mask
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017127228.3A
Other languages
English (en)
Inventor
Xi-Zong Chen
Y.H. Kuo
Cha-Hsin Chao
Yi-Wei Chiu
Li-Te Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102017127228B3 publication Critical patent/DE102017127228B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ein Ausfiihrungsverfahren weist das Strukturieren einer Öffnung durch eine dielektrische Schicht hindurch, das Abscheiden einer Haftschicht entlang von Seitenwänden und einer Grundfläche der Öffnung, das Abscheiden einer ersten Maskenschicht in der Öffnung über der Haftschicht, das Zurückätzen der ersten Maskenschicht unter eine obere Fläche der dielektrischen Schicht, und das Aufweiten eines oberen Abschnitts der Öffnung nach dem Zurückätzen der ersten Maskenschicht auf. Die erste Maskenschicht maskiert einen Grundabschnitt der Öffnung während des Aufweitens des oberen Abschnitts der Öffnung. Das Verfahren weist ferner das Entfernen der ersten Maskenschicht nach dem Aufweiten des oberen Abschnitts der Öffnung und nach dem Entfernen der ersten Maskenschicht auf, wobei ein Kontakt in der Öffnung durch Abscheiden eines leitfähigen Materials in der Öffnung über der Haftschicht gebildet wird.

Description

  • STAND DER TECHNIK
  • Halbleitervorrichtungen werden in einer Vielfalt elektronischer Anwendungen eingesetzt, wie zum Beispiel in Personal Computern, Mobiltelefonen, digitalen Kameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden typischerweise durch sequenzielles Aufbringen isolierender oder dielektrischer Schichten, leitfähiger Schichten und Halbleiter-Materialschichten über einem Halbleitersubstrat und Strukturieren der diversen Materialschichten unter Verwenden von Lithografie zum Bilden von Schaltungsbauteilen und Elementen darauf hergestellt.
  • Die Halbleiterindustrie verbessert laufend die Integrationsdichte der diversen elektronischen Bauteile (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren usw.) durch immer weitere Verringerungen der Merkmalmindestgröße, die es erlauben, mehr Bauteile in eine gegebene Fläche zu integrieren. Mit der Reduzierung der Merkmalmindestgröße, ergeben sich jedoch zusätzliche Probleme, welchen begegnet werden muss.
  • Die DE 10 2004 036 156 A1 beschreibt eine Halbleiterspeicherkomponente mit mehreren Speicherzellen auf einem Substrat, von denen jedes Transistorstrukturen, Wortleitungen und Gateelektroden umfasst, die diese mit anderen Zellen verbinden. Die Wortleitungen weisen an ihren oberen Oberflächen und Seiten eine Isolierung auf, zwischen denen Kontakte liegen, die mit der Source/Drain-Zone der Speicherzellen oder Bitleitungen verbunden sind. Jeder Bitleitungskontakt hat eine Füllung, die sich nach oben erweitert. Ein isolierender Abstandshalter ist an diesem.
  • Die JP H02-069 934 A zeigt eine Barriere in einer Öffnung, worauf Resist als erste Maske gebildet und geätzt wird, um die Barriere zu strukturieren. Anschließend wird die Maske entfernt.
  • Die US 5 374 849 A beschreibt allgemein den „loading effect“.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung versteht man am besten aus der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Elemente nicht maßstabgerecht gezeichnet sind. Die Maße der diversen Elemente können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.
    • 1 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht in Übereinstimmung mit einigen Ausführungsformen.
    • Die 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 16A und 16B sind Querschnittansichten von Zwischenstadien der Herstellung von FinFETs in Übereinstimmung mit einigen Ausführungsformen.
    • Die 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28 und 29 sind Querschnittansichten von Zwischenstadien der Herstellung von Kontaktstopfen in Übereinstimmung mit einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Umsetzen unterschiedlicher Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind dies natürlich nur Beispiele, die nicht bezwecken, einschränkend zu sein. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal eventuell nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung Bezugszeichen und/oder Bezugsbuchstaben in den diversen Beispielen wiederholen. Diese Wiederholung soll der Einfachheit und der Klarheit dienen und schreibt selbst keine Beziehung zwischen den diversen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumliche Bezugsbegriffe, wie zum Beispiel „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Merkmals oder Merkmals zu einem oder mehreren anderen Merkmalen oder Merkmalen, wie sie in den Figuren veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen der Vorrichtung beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den Figuren abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt.
  • Diverse Ausführungsformen stellen Prozesse zum Bilden von Kontaktstopfen mit verbesserter Lückenfüllung bereit. Eine Öffnung kann zum Beispiel in einer dielektrischen Schicht strukturiert werden, um ein darunterliegendes Merkmal (zum Beispiel einen Source-/Drain-Bereich oder ein Metall-Gate des Transistors) freizulegen. Obere Abschnitte der Öffnung können aufgeweitet werden, um das Prozessfenster zum Einfüllen eines leitfähigen Materials in die Öffnung zu vergrößern. Bei einigen Ausführungsformen ist das leitfähige Material Kobalt, das vorteilhafterweise niedrigeren Widerstand als andere Kontaktmaterialien (zum Beispiel Wolfram) bereitstellt. Die aufgeweiteten oberen Abschnitte der Öffnung erlauben es, das leitfähige Material mit weniger Mängeln, wie unerwünschten Leerstellen, einzufüllen.
  • Bei einigen Ausführungsformen wird eine Haftschicht in der Öffnung vor dem Abscheiden des leitfähigen Materials abgeschieden. Die Haftschicht verbessert das Haften zwischen dem leitfähigen Material und der dielektrischen Schicht. Eine Maskenschicht kann über der Haftschicht in der Öffnung abgeschieden werden, und die Maskenschicht kann zurückgeätzt werden, um obere Abschnitte der Haftschicht freizulegen, während die Öffnung aufgeweitet wird. Das Aufweiten der Öffnung kann vorteilhafterweise ein größeres Prozessfenster zum Bilden von Kontakten in der Öffnung mit weniger Mängeln (zum Beispiel Leerstellen) bereitstellen. Bei einigen Ausführungsformen schützt die Maskenschicht Grundabschnitte der Haftschicht, während die oberen Abschnitte der Öffnung aufgeweitet werden. Bei einigen Ausführungsformen kann die Maskenschicht wiederholt abgeschieden und zurückgeätzt werden, bevor die Öffnung aufgeweitet wird, um einen Strukturladeeffekt, der durch unterschiedliche Strukturdichten einer Vorrichtung verursacht wird, während der Verarbeitung zu mindern. Diverse Ausführungsformen stellen daher Herstellungsverfahren bereit sowie resultierende Strukturen für Kontaktstopfen mit weniger Mängeln, verringertem Widerstand und erhöhtem Ertrag.
  • Diverse Ausführungsformen werden hier in einem speziellen Kontext besprochen, insbesondere das Formen von Source-/Drain-Kontakten, die mit Source-/Drain-Bereichen eines FinFET-Transistors elektrisch verbunden sind. Diverse Ausführungsformen können jedoch an andere Halbleitervorrichtungen/-prozesse angewandt werden, wie zum Beispiel an Gate-Kontakte von FinFET-Transistoren, Gate- und/oder Source-/Drain-Kontakte planarer Transistoren, Verbindungsstrukturen und dergleichen. Ferner können die wiederholten Abscheidung- und Rückätzprozesse, die hier besprochen sind, bei jeder beliebigen Lücken füllendes Anwendung, bei welchen Strukturladen ein Besorgnis ist (zum Beispiel Abscheidung eines reversen Materials bei dem Strukturierungsprozess verwendet werden.
  • 1 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht in Übereinstimmung mit einigen Ausführungsformen. Der FinFET umfasst eine Finne 58 auf einem Substrat 50 (zum Beispiel einem Halbleitersubstrat). Isolationsbereiche 56 sind in dem Substrat 50 angeordnet, und die Finne 58 steht über und von zwischen benachbarten Isolationsbereichen 56 vor. Obwohl die Isolationsbereiche 56 als von dem Substrat 50 getrennt beschrieben/veranschaulicht sind, kann, wie hier verwendet, der Begriff „Substrat“ verwendet werden, um nur auf das Halbleitersubstrat oder auf ein Halbleitersubstrat inklusive der Isolationsbereiche zu verweisen. Eine Gate-Dielektrikumschicht 92 liegt entlang von Seitenwänden und über einer oberen Fläche der Finne 58, und eine Gateelektrode 94 liegt über der Gate-Dielektrikumschicht 92. Source-/Drain-Bereiche 82 sind in entgegengesetzten Seiten der Finne 58 in Bezug auf die Gate-Dielektrikumschicht 92 und die Gateelektrode 94 angeordnet. 1 veranschaulicht ferner Referenzquerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt A-A verläuft entlang einer Längsachse der Gateelektrode 94 und in eine Richtung, zum Beispiel senkrecht zu der Richtung des Stromflusses, zwischen den Source-/Drain-Bereichen 82 des FinFET. Der Querschnitt B-B ist senkrecht zu dem Querschnitt A-A und verläuft entlang einer Längsachse der Finne 58 und in eine Richtung zum Beispiel eines Stromflusses zwischen den Source-/Drain-Bereichen 82 des FinFET. Der Querschnitt C-C ist parallel zu dem Querschnitt A-A und erstreckt sich durch einen Source-/Drain-Bereich des FinFET. Darauffolgende Figuren verweisen zur Klarheit auf diese Referenzquerschnitte.
  • Einige Ausführungsformen, die hier besprochen sind, werden in dem Kontext von FinFETs besprochen, die unter Verwenden eines Gate-Last-Prozesses gebildet werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Einige Ausführungsformen ziehen auch Aspekte in Betracht, die in planaren Vorrichtungen verwendet werden, wie planare FETs.
  • Die 2 bis 16B sind Querschnittansichten von Zwischenstadien der Herstellung von FinFETs in Übereinstimmung mit einigen Ausführungsformen. Die 2 bis 7 veranschaulichen den Referenzquerschnitt A-A, der in 1 veranschaulicht ist, mit Ausnahme mehrerer Finnen/FinFETs. In den 8A bis 16B, sind Figuren, die mit einer „A“-Bezeichnung enden, entlang des Referenzquerschnitts A-A, der in 1 veranschaulicht ist, veranschaulicht, und Figuren, die mit einer „B“-Bezeichnung enden, sind entlang eines ähnlichen Querschnitts B-B, mit Ausnahme mehrerer Finnen/FinFETs, veranschaulicht. Die 10C und 10D sind entlang des Referenzquerschnitts C-C, der in 1 veranschaulicht ist, mit Ausnahme mehrerer Finnen/FinFETs veranschaulicht. Die 17 bis 29 sind entlang des Referenzquerschnitts B-B, der in 1 veranschaulicht ist, veranschaulicht.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Bulk-Halbleiter, ein Halbleiter auf Isolator (Semiconductor-On-Insulator - SOI)-Substrat oder dergleichen, das dotiert (zum Beispiel mit einem p-Typ oder einem n-Typ-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie ein Siliziumwafer sein. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann zum Beispiel eine eingebettete Oxidschicht (Buried Oxid - BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat vorgesehen, typischerweise auf einem Silizium- oder Glassubstrat. Andere Substrate, wie ein mehrschichtiges oder Gradient-Substrat, können auch verwendet werden. Bei einigen Ausführungsformen kann das Halbleitersubstrat 50 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumsarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid aufweist; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP aufweist, oder Kombinationen davon aufweisen.
  • Das Substrat 50 hat einen Bereich 50B und einen Bereich 50C. Der Bereich 50B kann zum Bilden von n-Typ-Vorrichtungen, wie NMOS-Transistoren, zum Beispiel n-Typ-FinFETs, dienen. Der Bereich 50C kann zum Bilden von p-Typ-Vorrichtungen, wie PMOS-Transistoren, zum Beispiel p-Typ-FinFETs, dienen. Der Bereich 50B kann physisch von dem Bereich 50C getrennt sein (wie durch den Trenner 51 veranschaulicht), und eine beliebige Anzahl von Vorrichtungsmerkmalen (zum Beispiel andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen usw.) können zwischen den Bereich 50B und dem Bereich 50 C angeordnet werden. Bei einigen Ausführungsformen werden sowohl der Bereich 50B als auch der Bereich 50C verwendet, um dieselben Vorrichtungstypen zu bilden, wie zum Beispiel, wenn beide Regionen für n-Typ-Vorrichtungen oder p-Typ Vorrichtungen bestimmt sind.
  • In 3 sind Finnen 52 in dem Substrat 50 gebildet. Die Finnen 52 sind Halbleiterstreifen. Bei einigen Ausführungsformen können die Finnen 52 in dem Substrat 50 durch Ätzen von Gräben in dem Substrat 50 gebildet werden. Das Ätzen kann ein beliebiger akzeptabler Ätzprozess sein, wie zum Beispiel ein reaktives Ionenätzen (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE) oder dergleichen, oder kann eine Kombination dieser sein. Das Ätzen kann anisotrop sein.
  • Die Finnen können durch ein beliebiges zweckdienliches Verfahren strukturiert werden. Die Finnen können zum Beispiel unter Verwenden eines oder mehrerer photolitografischer Prozesse, darunter Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie und selbstständig ausgerichtete Prozesse, die es erlauben, Strukturen zu schaffen, die zum Beispiel Abstände kleiner als die haben, die man anderenfalls unter Verwenden eines einzigen direkten Fotolithografieprozesses erhalten kann. Bei einer Ausführungsform wird zum Beispiel eine Opferschicht über einem Substrat gebildet und unter Verwenden eines Fotolithografieprozesses strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht unter Verwenden eines sich selbstständig ausrichtenden Prozesses gebildet. Die Opferschicht wird dann entfernt, und die restlichen Abstandhalter können dann verwendet werden, um die Finnen zu strukturieren.
  • In 4 ist ein Isoliermaterial 54 über dem Substrat 50 und zwischen benachbarten Finnen 52 gebildet. Das Isolationsmaterial 54 kann ein Oxid sein, wie Siliziumoxid, ein Nitrid oder dergleichen, oder eine Kombination dieser, und kann durch hochdichte chemische Gasphasenabscheidung (High Density Plasma Chemical Vapor Deposition HDP-CVD), fließbare CVD (FCVD) (zum Beispiel eine auf CVD-basierende Materialabscheidung in einem entfernten Plasmasystem und Nachhärten gebildet werden, um es in ein anderes Material, wie ein Oxid, umwandeln zu lassen), dergleichen oder eine Kombination dieser. Andere Isoliermaterialien, die durch einen beliebigen akzeptablen Prozess gebildet werden, können verwendet werden. Bei der veranschaulichten Ausführungsform ist das Isoliermaterial 54 Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Ein Glühprozess kann ausgeführt werden, nachdem das Isoliermaterial gebildet wurde. Bei einer Ausführungsform wird das Isolationsmaterial 54 derart gebildet, dass überschüssiges Isolationsmaterial 54 die Finnen 52 abdeckt.
  • In 5 wird ein Planarisierungsprozess auf dem Isolationsmaterial 54 angewandt. Bei einigen Ausführungsformen weist der Planarisierungsprozess ein chemisch-mechanisches Polieren (Chemical Mechanical Polishing - CMP), einen Rückätzprozess, Kombinationen dieser oder dergleichen auf. Der Planarisierungsprozess legt die Finnen 52 frei. Obere Flächen der Finnen 52 und des Isolationsmaterials 54 sind, nachdem der Planarisierungsprozess abgeschlossen wurde, auf gleicher Ebene.
  • In 6 sind die Isolationsbereiche 54 vertieft, um Shallow Trench Isolation (STI)-Bereiche 56 zu bilden. Das Isolationsmaterial 54 ist derart vertieft, dass Finnen 58 in dem Bereich 50B und in dem Bereich 50C von zwischen benachbarten STI-Bereichen 56 vorstehen. Ferner können die oberen Flächen der STI- Bereiche 56 eine flache Oberfläche, wie veranschaulicht, eine konvexe Oberfläche, eine konkave Oberfläche (wie zum Beispiel ein Dishing) oder eine Kombination dieser haben. Die oberen Flächen der STI-Bereiche 56 können flach, konvex und/oder konkav durch ein zweckmäßiges Ätzen gebildet werden. Die STI-Bereiche 56 können unter Verwenden eines akzeptablen Ätzprozesses vertieft werden, wie einem, der hinsichtlich des Materials des Isolationsmaterials 54 selektiv ist. Zum Beispiel kann eine chemische Oxidentfernung unter Verwenden einer CERTAS®-Schätzung oder eines Applied Materials SICONI-Tools oder verdünnter Fluorwasserstoffsäure (dHF) verwendet werden.
  • Ein Durchschnittsfachmann versteht ohne Weiteres, dass der Prozess, der unter Bezugnahme auf die 2 bis 6 beschrieben ist, nur ein Beispiel des Bildens der Finnen 58 ist. Bei einigen Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben aufgewachsen werden, und die dielektrische Schicht kann derart vertieft werden, dass die homoepitaktischen Strukturen aus der dielektrischen Schicht vorstehen, um Finnen zu bilden. Bei einigen Ausführungsformen können heteroepitaktische Strukturen für die Finnen 52 verwendet werden. Die Finnen 52 in 5 können zum Beispiel vertieft werden, und ein anderes Material als das der Finnen 52 kann epitaktisch an ihrer Stelle aufgewachsen werden. Bei noch einer weiteren Ausführungsform kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben unter Verwenden eines anderen Materials als das des Substrats 50 aufgewachsen werden, und die dielektrische Schicht kann derart vertieft werden, dass die heteroepitaktischen Strukturen aus der dielektrischen Schicht vorstehen, um die Finnen 58 zu bilden. Bei einigen Ausführungsformen, bei welchen homoepitaktische und heteroepitaktische Strukturen epitaktisch aufgewachsen werden, können die aufgewachsenen Materialien in situ während des Aufwachsens dotiert werden, was vorhergehende und darauffolgende Implantationen umgehen kann, obwohl sie in situ sind, und Implantationsdotierung kann gemeinsam verwendet werden. Ferner kann es vorteilhaft sein, ein Material epitaktisch in einem NMOS-Bereich, der von dem Material in einem PMOS-Bereich unterschiedlich ist, aufzuwachsen. Bei diversen Ausführungsformen können die Finnen 58 aus Silizium-Germanium (SixGe1-x, wobei x in dem Bereich von o und 1 liegen kann), Siliziumkarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen gebildet werden. Zum Beispiel weisen die verfügbaren Materialien zum Bilden eines III-V-Verbindungshalbleiters, ohne darauf beschränkt zu sein, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AIP, GaP und dergleichen auf.
  • Ferner können in 6 zweckmäßige Wannen (nicht gezeigt) in den Finnen 58, den Finnen 52 und/oder dem Substrat 50 gebildet werden. Bei einigen Ausführungsformen kann eine P-Wanne in dem Bereich 50B gebildet werden, und eine N-Wanne in dem Bereich 50C gebildet werden. Bei einigen Ausführungsformen wird eine P-Wanne oder eine N-Wanne sowohl in dem Bereich 50B als auch in dem Bereich 50C gebildet.
  • Bei den Ausführungsformen mit unterschiedlichen Wannentypen, können die unterschiedlichen Implantationsschritte für den Bereich 50B und den Bereich 50C unter Verwenden eines Fotolacks oder anderer Masken (nicht gezeigt) erzielt werden. Ein Fotolack kann zum Beispiel über den Finnen 58 und den STI-Bereichen 56 in dem Bereich 50B gebildet werden. Der Fotolack wird strukturiert, um den Bereich 50C des Substrats 50, wie einen PMOS-Bereich, freizulegen. Der Fotolack kann unter Verwenden einer Aufschleudertechnik gebildet werden und kann unter Verwenden akzeptabler Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, wird eine n-Typ-Verunreinigungsimplantation in dem Bereich 50C ausgeführt, und der Fotolack kann als eine Maske wirken, um im Wesentlichen n-Typ-Verunreinigungen daran zu hindern, in dem Bereich 50B, wie einem NMOS-Bereich, implantiert zu werden. Die n-Typ-Verunreinigungen können Phosphor, Arsen oder dergleichen sein, die in dem ersten Bereich mit einer Konzentration von gleich oder weniger als 1018 cm-3, wie zwischen etwa 1017 cm-3 und etwa 1018 cm-3 liegen. Nach dem Implantieren wird der Fotolack entfernt, wie zum Beispiel durch einen zweckdienlichen Veraschungsprozess.
  • Im Anschluss an das Implantieren des zweiten Bereichs 50C, wird über den Finnen 58 und den STI-Bereichen 56 in dem Bereich 50C ein Fotolack gebildet. Der Fotolack wird strukturiert, um den Bereich 50B des Substrats 50, wie einen NMOS-Bereich, freizulegen. Der Fotolack kann unter Verwenden einer Aufschleudertechnik gebildet werden und kann unter Verwenden akzeptabler Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, kann eine p-Typ-Verunreinigungsimplantation in dem Bereich 50B ausgeführt werden, und der Fotolack kann als eine Maske wirken, um im Wesentlichen p-Typ-Verunreinigungen daran zu hindern, in dem Bereich 50C, wie dem PMOS-Bereich, implantiert zu werden. Die p-Typ-Verunreinigungen können Bor, BF2 oder dergleichen sein, die in dem Bereich mit einer Konzentration von gleich oder weniger als 1018 cm-3, wie zwischen etwa 1017 cm-3 und etwa 1018 cm-3 liegen. Nach dem Implantieren kann der Fotolack entfernt werden, wie zum Beispiel durch einen zweckdienlichen Veraschungsprozess.
  • Nach den Implantationen des Bereichs 50B und des Bereich 50C, kann ein Härten ausgeführt werden, um die p-Typ- und/oder n-Typ-Verunreinigungen, die implantiert wurden, zu aktivieren. Bei einigen Ausführungsformen können aufgewachsene epitaktische Finnen in situ während des Aufwachsens dotiert werden, was die Implantationen umgehen kann, obwohl In-situ- und Implantationdotieren gemeinsam verwendet werden können.
  • In 7 wird auf den Finnen 58 eine Dummy-Dielektrikumschicht 60 gebildet. Die Dummy-Dielektrikumschicht 60 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination dieser oder dergleichen sein, und kann gemäß zweckdienlichen Techniken abgeschieden oder thermisch aufgewachsen werden. Eine Dummy-Gateschicht 62 wird über der Dummy-Dielektrikumschicht 60 gebildet, und eine Maskenschicht 64 wird über der Dummy-Gateschicht 62 gebildet. Die Dummy-Gateschicht 62 kann über der Dummy-Dielektrikumschicht 60 abgeschieden und dann zum Beispiel durch ein CMP planarisiert werden. Die Maskenschicht 64 kann über der Dummy-Gateschicht 62 abgeschieden werden. Die Dummy-Gateschicht 62 kann ein leitfähiges Material sein und kann aus einer Gruppe ausgewählt werden, die polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), Metallnitride, Metallsilizide, Metalloxide und Metalle aufweist. Bei einer Ausführungsform wird amorphes Silizium abgeschieden und wieder kristallisiert, um Polysilizium zu schaffen. Die Dummy-Gateelektrode 62 kann durch physische Gasphasenabscheidung (PVD), CVD, Sputterabscheidung oder andere Techniken abgeschieden werden, die bekannt sind und im Stand der Technik zum Abscheiden leitfähiger Materialien verwendet werden. Die Dummy-Gateschicht 62 kann aus anderen Materialien bestehen, die eine hohe Ätzselektivität von dem Ätzen von Isolationsbereichen haben. Die Maskenschicht 64 kann zum Beispiel SiN, SiON oder dergleichen aufweisen. Bei diesem Beispiel werden eine einzige Dummy-Gateschicht 62 und eine einzige Maskenschicht 64 über dem Bereich 50B und dem zweiten Bereich 50C gebildet. Bei einigen Ausführungsformen können separate Dummy-Gateschichten in dem Bereich 50B und dem Bereich 50C gebildet werden, und separate Maskenschichten können in dem Bereich 50B und dem Bereich 50C gebildet werden.
  • Die 8A bis 16B veranschaulichen diverse zusätzliche Schritte bei dem Herstellen der Ausführungsvorrichtungen. Die 8A bis 16B veranschaulichen Merkmale in den Bereichen 50B und 50C. Die Strukturen, die in den 8A bis 16B veranschaulicht sind, können zum Beispiel sowohl an den Bereich 50B als auch an den Bereich 50C anwendbar sein. Unterschiede (falls vorhanden) in den Strukturen des Bereichs 50B und des Bereichs 50C sind in dem Text, der jede Figur begleitet, beschrieben.
  • In den 8A und 8B kann die Maskenschicht 64 unter Verwenden akzeptabler Fotolithografie- und Ätztechniken strukturiert werden, um Masken 74 zu bilden. Die Strukturen der Masken 74 können dann auf die Dummy-Gateschicht 62 und die Dummy-Dielektrikumschicht 60 durch eine akzeptable Ätztechnik übertragen werden, um Dummy-Gates 72 zu bilden. Die Dummy-Gates 72 decken jeweilige Kanalbereiche der Finnen 58 ab. Die Strukturierungen der Masken 74 können zum physischen Trennen jedes der Dummy-Gates 72 von benachbarten Dummy-Gates verwendet werden. Die Dummy-Gates 72 können auch eine Längsrichtung im Wesentlichen senkrecht zu der Längsrichtung der jeweiligen epitaktischen Finnen 52/58 haben.
  • Ferner können in den 8A und 8B Gate-Dichtungsabstandhalter 80 auf exponierten Flächen der Dummy-Gates 72, der Masken 74 und/oder der Finnen 58 gebildet werden. Eine Wärmeoxidation oder eine Abscheidung, gefolgt von einem anisotropen Ätzen, können die Gate-Dichtungsabstandhalter 80 bilden.
  • Nach dem Bilden der Gate-Dichtungsabstandhalter 80, können Implantate für leicht dotierte Source-/Drain (LDD)-Bereiche (nicht explizit veranschaulicht) gebildet werden. In den Ausführungsformen mit unterschiedlichen Vorrichtungstypen kann ähnlich wie bei den Implantaten, die oben in 6 besprochen wurden, eine Maske, wie ein Fotolack, über dem Bereich 50B gebildet werden, während der Bereich 50 exponiert wird, und Verunreinigungen eines zweckdienlichen Typs (zum Beispiel n-Typ oder p-Typ) können in die exponierten Finnen 58 in dem Bereich 50C implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie ein Fotolack, über dem Bereich 50C gebildet werden, während der Bereich 50B exponiert wird, und Verunreinigungen eines zweckdienlichen Typs können in die exponierten Finnen 58 in dem Bereich 50B implantiert werden. Die Maske kann dann entfernt werden. Die n-Typ-Verunreinigungen können beliebige der n-Typ-Verunreinigungen sein, die oben besprochen wurden, und die p-Typ-Verunreinigungen können beliebige der p-Typ-Verunreinigungen sein, die oben besprochen wurden. Die leicht dotierten Source-/Drain-Bereiche haben eine Verunreinigungskonzentration von etwa 1015 cm-3 bis etwa 1016 cm-3. Ein Härten kann verwendet werden, um die implantierten Verunreinigungen zu aktivieren.
  • In den 9A und 9B werden Gate-Abstandhalter 86 auf den Gate-Dichtungsabstandhaltern 80 entlang von Seitenwänden der Dummy-Gates 72 und der Masken 74 gebildet. Die Gate-Abstandhalter 86 können durch formangeglichenes Abscheiden eines Materials und anschließendes anisotropes Ätzen des Materials gebildet werden. Das Material der Gate-Abstandhalter 86 kann Siliziumnitrid, SiCN, eine Kombination dieser oder dergleichen sein.
  • In den 10A und 10B werden epitaktische Source-Drain-Bereiche 82 in den Finnen 58 gebildet. Die epitaktischen Source-Drain-Bereiche 82 werden in den Finnen 58 derart gebildet, dass jedes Dummy-Gate 72 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-Drain-Bereiche 82 angeordnet ist. Bei einigen Ausführungsformen können sich die epitaktischen Source-Drain-Bereiche 82 in die Finnen 52 erstrecken. Bei einigen Ausführungsformen werden die Gate-Abstandhalter 86 verwendet, um die epitaktischen Source-/Drain-Bereiche 82 von den Dummy-Gates 72 durch einen zweckdienlichen zeitlichen Abstand derart zu trennen, dass die epitaktischen Source-/Drain-Bereiche 82 anschließend gebildete Gates der resultierenden FinFETs nicht kurzschließen.
  • Die epitaktischen Source-/Drain-Bereiche 82 in dem Bereich 50B, zum Beispiel in dem NMOS-Bereich, können durch Maskieren des Bereichs 50C, zum Beispiel des PMOS-Bereichs gebildet werden, und Ätzen der Source-/Drain-Bereiche der Finnen 58 in dem Bereich 50B bildet Vertiefungen in den Finnen 58. Die Source-/Drain-Bereiche 82 in dem Bereich 50B werden epitaktisch in den Vertiefungen aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 82 können ein beliebiges akzeptables Material, das für n-Typ-FinFETs geeignet ist, aufweisen. Falls die Finne 58 zum Beispiel Silizium ist, können die epitaktischen Source-/Drain-Bereiche 82 Silizium, SiC, SiCP, SiP oder dergleichen aufweisen. Die epitaktischen Source-/Drain-Bereiche 82 können Oberflächen haben, die von jeweiligen Oberflächen der Finnen 58 erhöht sind, und können Facetten haben.
  • Die epitaktischen Source-/Drain-Bereiche 82 in dem Bereich 50C, zum Beispiel in dem PMOS-Bereich, können durch Maskieren des Bereichs 50B, zum Beispiel des NMOS-Bereichs, gebildet werden, und Source-/Drain-Bereiche der Finnen 58 in dem Bereich 50C werden geätzt, um Vertiefungen in den Finnen 58 zu bilden. Dann werden die epitaktischen Source-/Drain-Bereiche 82 in dem Bereich 50C epitaktisch in den Vertiefungen aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 82 können ein beliebiges akzeptables Material, das für p-Typ-FinFETs geeignet ist, aufweisen. Falls die Finne 58 zum Beispiel Silizium ist, können die epitaktischen Source-/Drain-Bereiche 82 in dem Bereich 50C SiGe, SiGeB, Ge, GeSn oder dergleichen umfassen. Die epitaktischen Source-/Drain-Bereiche 82 in dem Bereich 50C können Oberflächen haben, die von jeweiligen Oberflächen der Finnen 58 erhöht sind, und können Facetten haben.
  • Die epitaktischen Source-/Drain-Bereiche 82 und/oder die Finnen 58 können mit Dotierstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, ähnlich wie der oben besprochene Prozess zum Bilden leicht dotierter Source-/Drain-Bereiche, gefolgt von einem Härten. Die Source-/Drain-Bereiche können eine Verunreinigungskonzentration von zwischen etwa 1019 cm-3 und etwa 1021 cm-3 haben. Die n-Typ- und/oder p-Typ-Verunreinigungen für Source-/Drain-Bereiche können beliebige der oben besprochenen Verunreinigungen sein. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 82 in situ während des Aufwachsens dotiert werden.
  • Als ein Resultat der epitaktischen Prozesse, die verwendet werden, um die epitaktischen Source-/Drain-Bereiche 82 in dem Bereich 50B und in dem Bereich 50C zu bilden, haben obere Flächen der epitaktischen Source-/Drain-Bereiche Facetten, die sich seitlich über eine Seitenwand der Finnen 58 hinaus erstrecken. Bei einigen Ausführungsformen bewirken diese Facetten, dass benachbarte Source-/Drain-Bereiche 82 desselben FinFET wie von 10C veranschaulicht, zusammenlaufen. Bei anderen Ausführungsformen bleiben die Source-/Drain-Bereiche 82 getrennt, nachdem der epitaktische Prozess abgeschlossen wurde, wie von 10D veranschaulicht.
  • In den 11A und 11B, wird eine ILD 88 über der Struktur, die in den 10A und 10B veranschaulicht ist, abgeschieden. Die ILD 88 kann aus einem dielektrischen Material oder einem Halbleitermaterial gebildet werden, und kann durch ein beliebiges geeignetes Verfahren, wie CVD, plasmaverstärkte CVD (PECVD) oder FCVD abgeschieden werden. Dielektrische Materialien können Phospho-Silikatglas (Phospho-Silicate Glass - PSG), Borsilikat-Glas (Boro-Silicate Glass - BSG), Bor-dotiertes Phosphor-Silikatglas (Boron-Doped Phosphor-Silicate Glass - BPSG), undotieres Silikatglas (Undoped Silicate Glass - USG) oder dergleichen aufweisen. Die Halbleitermaterialien können amorphes Silizium, Silizium-Germanium (SixGe1-x, wobei x zwischen etwa 0 und 1 liegen kann), reines Germanium oder dergleichen aufweisen. Andere Isolier- oder Halbleitermaterialien, die durch einen beliebigen akzeptablen Prozess gebildet werden, können verwendet werden. Bei einigen Ausführungsformen wird eine Kontaktätzstoppschicht (CESL), nicht veranschaulicht, zwischen der ILD 88 und den epitaktischen Source-/Drain-Bereiche 82, der Hartmaske 74 und den Gate-Abstandhaltern 86 angeordnet.
  • In den 12A und 12B kann ein Planarisierungsprozess, wie ein CMP, ausgeführt werden, um die obere Fläche der ILD 88 mit der oberen Fläche der Dummy-Gates 72 abzugleichen. Bei einer Ausführungsform wird der Planarisierungsprozess unter Verwenden des Planarisierungssystems 200 ausgeführt. Der Planarisierungsprozess kann auch die Masken 74 auf den Dummy-Gates 72 und Abschnitte von Gate-Dichtungsabstandhaltern 80 und Gate-Abstandhaltern 86 entlang von Seitenwänden der Masken 74 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Dummy-Gates 72, die Gate-Dichtungsabstandhalter 80, die Gate-Abstandhalter 86 und die ILD 88 auf gleicher Ebene. Folglich werden die oberen Flächen der Dummy-Gates 72 durch die ILD 88 freigelegt.
  • In den 13A und 13B, werden die Dummy-Gates 72 und Abschnitte der Dummy-Dielektrikumschicht 60, die direkt unter den exponierten Dummy-Gates 72 liegen, in einem oder mehreren Ätzschritten entfernt, so dass Vertiefungen 90 gebildet werden. Bei einigen Ausführungsformen werden die Dummy-Gates 72 anhand eines anisotropen Trockenätzprozesses entfernt. Der Ätzprozess kann zum Beispiel einen Trockenätzprozess aufweisen, bei dem Reaktionsgas(e) verwendet wird/werden, das/die die Dummy-Gates 72 ohne Ätzen der ILD 88 oder der Gate-Abstandhalter 86 selektiv ätzt/ätzen. Jede Vertiefung 90 legt einen Kanalbereich einer jeweiligen Finne 58 frei. Jeder Kanalbereich ist zwischen benachbarten Paaren epitaktischer Source-/Drain-Bereiche 82 angeordnet. Während des Entfernens, kann die Dummy-Dielektrikumschicht 60 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 72 geätzt werden. Die Dummy-Dielektrikumschicht 60 kann dann nach dem Entfernen der Dummy-Gates 72 entfernt werden.
  • In den 14A und 14B werden die dielektrischen Schichten 92 und Gateelektroden 94 für Ersatzgates gebildet. Die Gate-Dielektrikumschichten 92 werden in der Form angeglichen in den Vertiefungen 90 zum Beispiel auf den oberen Flächen und den Seitenwänden der Finnen 58 und auf Seitenwänden der Gate-Dichtungsabstandhalter 80/Gate-Abstandhalter 86 abgeschieden. Die Gate-Dielektrikumschichten 92 können auch auf der oberen Fläche der ILD 88 gebildet werden. In Übereinstimmung mit einigen Ausführungsformen, bestehen die Gate-Dielektrikumschichten 92 aus Siliziumoxid, Siliziumnitrid oder mehrfachen Schichten dieser. Bei einigen Ausführungsformen sind die Gate-Dielektrikumschichten 92 dielektrisches High-k-Material, und bei diesen Ausführungsformen können die Gate-Dielektrikumschichten 92 einen k-Wert größer als etwa 7,0 haben, und können ein Metalloxid oder ein Silikat von HF, Al, Zr, La, Mg, Ab, Ti, Pb und Kombinationen dieser aufweisen. Die Bildungsverfahren der Gate-Dielektrikumschichten 92 weisen Molekularstrahlablagerung (Molecular Beam Deposition - MBD), ALD, PVD und dergleichen auf.
  • Die Gateelektroden 94 werden jeweils über den Gate-Dielektrikumschichten 92 abgeschieden und füllen die restlichen Abschnitte der Vertiefungen 90. Die Gateelektroden 94 können ein Metall enthaltendes Material sein, wie TiN, TaN, TaC, Co, Ru, Al, Kombinationen dieser oder mehrfachen Schichten dieser. Obwohl eine einzige Gateelektrode 94 veranschaulicht ist, kann eine beliebige Anzahl von Arbeitsfunktions-Abstimmschichten in den Vertiefungen 90 abgeschieden werden. Nach dem Füllen der Gateelektroden 94, kann ein Planarisierungsprozess, wie ein CMP, ausgeführt werden, um die überschüssigen Abschnitte der Gate-Dielektrikumschichten 92 und des Materials der Gateelektroden 94 zu entfernen, wobei die überschüssigen Abschnitte über der oberen Fläche der ILD 88 liegen. Die restlichen Abschnitte von Material der Gateelektroden 94 und der Gate-Dielektrikumschichten 92 bilden daher Ersatzgates der resultierenden FinFETs. Die Gateelektroden 94 und die Gate-Dielektrikumschichten 92 können gemeinsam ein „Gate“ oder ein „Gatestapel“ genannt werden. Das Gate und die Gate-Stapel können sich entlang von Seitenwänden eines Kanalbereichs der Finnen 58 erstrecken.
  • Das Bilden der Gate-Dielektrikumschichten 92 in dem ersten Bereich 50B und in dem zweiten Bereich 50C kann gleichzeitig auftreten, so dass die Gate-Dielektrikumschichten 92 in jedem Bereich aus denselben Materialien gebildet werden, und das Bilden der Gateelektroden 94 kann gleichzeitig derart auftreten, dass die Gateelektroden 94 in jedem Bereich aus denselben Materialien gebildet werden. Bei einigen Ausführungsformen können die Gate-Dielektrikumschichten 92 in jedem Bereich durch getrennte Prozesse gebildet werden, so dass die Gate-Dielektrikumschichten 92 aus unterschiedlichen Materialien bestehen können, und die Gateelektroden 94 in jedem Bereich können durch getrennte Prozesse gebildet werden, so dass die Gateelektroden 94 aus unterschiedlichen Materialien bestehen können. Diverse Maskierungsschritte können verwendet werden, um zweckdienliche Bereiche beim Verwenden getrennter Prozesse zu maskieren und freizulegen.
  • In den 15A und 15B, wird eine ILD 108 über der ILD 88 abgeschieden. Bei einer Ausführungsform ist die ILD 108 eine fließbare Folie, die anhand eines fließbaren CVD-Verfahrens gebildet wird. Bei einigen Ausführungsformen wird die ILD 108 aus einem dielektrischen Material wie PSG, BSG, BPSG, USG oder dergleichen gebildet und kann anhand eines beliebigen geeigneten Verfahrens, wie CVD und PECVD, abgeschieden werden.
  • In den 16A und 16B werden Kontakte 110 und 112 durch die ILD 108 und die ILD 88 unter Verwenden eines Ausführungsform-Kontaktbildungsprozesses wie unten unter Bezugnahme auf die 17 bis 29 beschrieben, gebildet. Bei einigen Ausführungsformen kann ein Härtprozess ausgeführt werden, um an der Schnittfläche zwischen den epitaktischen Source-/Drain-Bereichen 82 und den Kontakten 112 vor dem Bilden der Kontakte 112 ein Silizid zu bilden. Der Kontakt 110 wird physisch und elektrisch mit der Gate-Elektrode 94 verbunden, und die Kontakte 112 werden physisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 82 verbunden. Die 16A und 16B veranschaulichen die Kontakte 110 und 112 in einem selben Querschnitt; bei anderen Ausführungsformen können die Kontakte 110 und 112 jedoch in unterschiedlichen Querschnitten angeordnet sein. Ferner ist die Position der Kontakte 110 und 112 in den 16A und 16B rein veranschaulichend und bezweckt nicht, auf irgendeine Art einschränkend zu sein. Zum Beispiel kann der Kontakt 110 vertikal mit der Finne 52, wie veranschaulicht, ausgerichtet werden, oder er kann an einer unterschiedlichen Lage auf der Gateelektrode 94 angeordnet werden. Ferner können die Kontakte 112 vor, gleichzeitig mit oder nach dem Bilden der Kontakte 110 gebildet werden.
  • Die 17 bis 29 veranschaulichen Querschnittansichten zum Bilden von Kontakten 112 gemäß diversen Ausführungsformen. Obwohl die besprochenen Prozesse in dem Kontext der Source-/Drain- Kontakte liegen, können diese Prozesse gleichermaßen auf Gate-Kontakte, Durchkontaktierungen, Verbindungsstrukturen oder dergleichen angewandt werden.
  • 17 veranschaulicht eine Querschnittansicht unterschiedlicher Bereiche der Vorrichtung, wie den Bereich 200A und den Bereich 200B. Der Bereich 200A und/oder der Bereich 200B können jeweils in dem Bereich 50B, dem Bereich 50C oder anderswo auf der Vorrichtung angeordnet werden. Bei einigen Ausführungsformen sind der Bereich 200A und der Bereich 200B zueinander benachbart angeordnet. Bei anderen Ausführungsformen können der Bereich 200A und der Bereich 200B beabstandet werden, und eine beliebige Anzahl von Vorrichtungsmerkmalen (zum Beispiel andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen usw.) können zwischen dem Bereich 200A und dem Bereich 200B angeordnet werden. Der Bereich 200A kann ein Bereich mit einer beabstandeten Vorrichtung im Vergleich zu dem Bereich 200B sein, so dass Source-/Drain-Bereiche 82 in dem Bereich 200A näher aneinander liegen als Source-/Drain-Bereiche 82 in dem Bereich 200B. Eine Dichte von Merkmalen in dem Bereich 200A ist zum Beispiel größer als eine Dichte von Merkmalen in dem Bereich 200B.
  • In 17 werden Kontaktöffnungen 202 durch die ILD 88 und 108 unter Verwenden zum Beispiel einer Kombination aus Fotolithografie und Ätzen strukturiert. Öffnungen 202 legen obere Flächen der Source-/Drain-Bereiche 82 frei, und Source-/Drain-Kontakte werden anschließend in den Öffnungen 202 gebildet. Die Öffnungen 202 in dem Bereich 200A haben einen Abstand P1, während die Öffnungen 202 in dem Bereich 200B einen Abstand P2 haben. Bei einigen Ausführungsformen ist der Abstand P1 kleiner als der Abstand P2.
  • In 18 wird eine Haftschicht 204 entlang von Seitenwänden und einer Grundfläche der Öffnungen 202 abgeschieden. Die Haftschicht 204 kann unter Verwenden eines formangeglichenen Abscheidungsprozesses wie CVD, ALD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen umfasst die Haftschicht 204 Titannitrid. Bei anderen Ausführungsformen können andere zweckmäßige Materialien, wie Titanoxid, Tantalnitrid, Tantaloxid, Wolfram-Carbon oder dergleichen verwendet werden. Bei Ausführungsformen, bei welchen die Haftschicht 204 Carbon umfasst, kann eine Konzentration von Carbon in der Haftschicht 204 in Übereinstimmung mit einer gewünschten Ätzrate der Haftschicht 204 bei darauffolgenden Verarbeitungsschritten angepasst werden. Die Haftschicht 204 kann verwendet werden, um das Haften nacheinander gebildeter Kontakte in den Öffnungen 202 mit den ILD 88 und 108 zu verbessern. Bei einigen Ausführungsformen kann die Haftschicht 204 auch als eine Diffusionssperrschicht funktionieren, die dabei hilft, Diffusion eines Materials der anschließend in den Öffnungen 202 gebildeten Kontakte in die ILD 88 und 108 zu verringern. Bei einigen Ausführungsformen kann eine Stärke der Haftschicht 204 kleiner als etwa 3 nm (30 Angström) sein.
  • In 19 wird eine Maskenschicht 206 (zum Beispiel ein Fotolack, eine Rückseiten-Antireflex-Beschichtungsschicht (Backside Antireflective Coating Layer - BARC)-Material oder dergleichen) über der Haftschicht 204 und in den Öffnungen 202 abgeschieden. Die Maskenschicht 206 kann bis zum Überfüllen der Öffnungen 202 derart abgeschieden werden, dass sich die Maskenschicht 206 über den Öffnungen 202 erstreckt. Die Maskenschicht 206 kann ein beliebiges dielektrisches Material umfassen, das Ätzselektivität mit der Haftschicht 204 und den ILD 88 und 108 in Bezug auf ein und denselben Ätzprozess hat. Ein Verhältnis der Ätzrate der Maskenschicht 206 zu der Ätzrate der Haftschicht 204 kann zum Beispiel in dem Bereich von etwa 5 bis 8 in Bezug auf denselben Ätzprozess liegen (zum Beispiel der Ätzprozess, der unter Bezugnahme auf die 22 und 27 unten beschrieben ist). Bei einem anderen Beispiel kann ein Verhältnis der Ätzrate der Haftschicht der Maskenschicht 204 zu der Ätzrate der ILD 108 zum Beispiel in dem Bereich von etwa 1,5 bis 2,5 in Bezug auf denselben Ätzprozess liegen (zum Beispiel der Ätzprozess, der unter Bezugnahme auf 23 unten beschrieben ist).
  • Die Maskenschicht 206 kann unter Verwenden eines ganzflächigen Abscheidungsprozesses wie anhand eines Spin-On-Prozesses abgeschieden werden. Da Merkmale in dem Bereich 200A eine größere Dichte haben als Merkmale in dem Bereich 200B, ist eine Abscheidungsrate der Maskenschicht 206 über unterschiedliche Bereiche (zum Beispiel über den Bereich 200A und den Bereich 200B) nicht gleichmäßig. Eine Abscheidungsrate der Maskenschicht 206 kann zum Beispiel in dem Bereich 200B größer sein (zum Beispiel Merkmale mit niedrigerer Dichte haben) als in dem Bereich 200A (der zum Beispiel Merkmale mit höherer Dichte hat). Als ein Resultat kann die oberste Fläche 206B der Maskenschicht 206 in dem Bereich 200B höher sein als eine oberste Fläche 206A der Maskenschicht in dem Bereich 200A. Ein Höhenunterschied zwischen der Fläche 206B und der Fläche 206A wird in 19 Höhe H1 genannt. Bei einigen Ausführungsformen ist die Höhe H1 größer als 10 nm. Dieser Höhenunterschied wird Ladeeffekt genannt und kann während darauffolgender Verarbeitung Mängel verursachen. Diverse Ausführungsformen zielen darauf ab, diesen Ladeeffekt unter Verwenden der unten beschriebenen Prozesse zu verbessern.
  • In 20 wird die Maskenschicht 206 unter Verwenden zum Beispiel eines Rückätzprozesses zum Entfernen von Abschnitten der Maskenschicht 206 über den Öffnungen 202 und zum Vertiefen oberer Flächen der Maskenschicht 206 unter eine obere Fläche der ILD 108 strukturiert. Bei diversen Ausführungsformen legt der Rückätzprozess die Haftschicht 204 auf den oberen Seitenwänden der Öffnungen 202 frei. Der Rückätzprozess kann ein Trockenätzen unter Verwenden eines Ätzmittels, das CxFy (zum Beispiel CF4) umfasst, aufweisen. Das Ätzmittel kann auch ein oder mehrere Inertgase wie Sauerstoff, Stickstoff, Argon, Wasserstoff oder dergleichen umfassen. Andere Ätzprozesse und/oder Ätzmittel können ebenfalls verwendet werden. Der Rückätzprozess kann derart ausgewählt werden, dass die Maskenschicht 206 ohne signifikantes Ätzen der Haftschicht 204 geätzt wird. Der Rückätzprozess kann im Wesentlichen den Ladeeffekt, der von 19 veranschaulicht ist, aufrechterhalten. Ein Höhenunterschied zwischen einer obersten Fläche 206A der Maskenschicht 206 in dem Bereich 200A und einer obersten Fläche 206B der Maskenschicht 206 in dem Bereich 200B nach dem Rückätzprozess bleibt im Wesentlichen an der Höhe H1.
  • In 21 wird eine zusätzliche Maskenschicht 207 auf der Maskenschicht 206 abgeschieden. Die Maskenschicht 207 kann bis zum Überfüllen der Öffnungen 202 derart abgeschieden werden, dass sich die Maskenschicht 207 über den Öffnungen 202 erstreckt. Die Maskenschicht 207 kann ein beliebiges dielektrisches Material umfassen, das Ätzselektivität mit der Haftschicht 204 und den ILD 88 und 108 in Bezug auf ein und denselben Ätzprozess hat. Bei einigen Ausführungsformen umfasst die Maskenschicht 207 das gleiche Material wie die Maskenschicht 206. Bei anderen Ausführungsformen umfasst die Maskenschicht 207 ein von dem der Maskenschicht 206 unterschiedliches Material. Die Maskenschicht 206 kann zum Beispiel ein Wärmeoxid umfassen, und die Maskenschicht 207 kann ein fließbares Oxid umfassen.
  • Die Maskenschicht 207 kann unter Verwenden eines ganzflächigen Abscheidungsprozesses wie anhand eines Spin-On-Prozesses abgeschieden werden. Wie oben besprochen, haben die Bereiche 200A eine größere Dichte als Merkmale in dem Bereich 200B, was einen Ladeeffekt verursacht. Es wurde jedoch beobachtet, dass durch teilweises Füllen der Öffnungen 202 (zum Beispiel mit dem Material der Maskenschicht 206) der Ladeeffekt während der Abscheidung der Maskenschicht 207 verringert wird. Eine oberste Fläche 207B der Maskenschicht 207 in dem Bereich 200B kann immer noch höher sein als eine oberste Fläche 207A der Maskenschicht 207 in dem Bereich 200A, und ein Höhenunterschied zwischen der Fläche 207B und der Fläche 207A wird Höhe H2 genannt. Die Höhe H2 (Höhenunterschiede der Maskenschicht 207 über unterschiedliche Bereiche) kann jedoch kleiner sein als die Höhe H1 (Höhenunterschiede der Maskenschicht 206 über unterschiedliche Bereiche, siehe 19 und 20).
  • In 22 wird die Maskenschicht 207 unter Verwenden zum Beispiel eines Rückätzprozesses zum Entfernen von Abschnitten der Maskenschicht 207 über den Öffnungen 202 und zum Vertiefen oberer Flächen der Maskenschicht 207 unter eine obere Fläche der ILD 108 strukturiert. Bei diversen Ausführungsformen legt der Rückätzprozess der Maskenschicht 207 die Haftschicht 204 auf den oberen Seitenwänden der Öffnungen 202 frei. Der Rückätzprozess kann ein Trockenätzen unter Verwenden eines Ätzmittels, das CxFy (zum Beispiel CF4) umfasst, aufweisen. Das Ätzmittel kann auch ein oder mehrere Inertgase wie Sauerstoff, Stickstoff, Argon, Wasserstoff oder dergleichen umfassen. Andere Ätzprozesse und/oder Ätzmittel können ebenfalls verwendet werden. Der Rückätzprozess kann derart ausgewählt werden, dass die Maskenschicht 207 ohne signifikantes Ätzen der Haftschicht 204 geätzt wird. Der Rückätzprozess kann im Wesentlichen den Ladeeffekt, der von 21 veranschaulicht wird, aufrechterhalten, der im Vergleich zu dem Ladeeffekt, der von 20 veranschaulicht wird, verringert ist. Ein Höhenunterschied zwischen einer obersten Fläche 207A der Maskenschicht 207 in dem Bereich 200A und einer obersten Fläche 207B der Maskenschicht 207 in dem Bereich 200B nach dem Rückätzprozess, bleibt im Wesentlichen an der Höhe H2, während er kleiner ist als ein Höhenunterschied zwischen einer obersten Fläche der Maskenschicht 206 in dem Bereich 200A und einer obersten Fläche der Maskenschicht 206 in dem Bereich 200 B (H1 genannt, siehe 19 und 20). Der Ladeeffekt während des Bildens der Maskenschicht 206 wird daher vorteilhafterweise verringert. Bei einigen Ausführungsformen ist die Höhe H2 kleiner als 10 nm. Es wurde beobachtet, dass Mängel, die aus anschließenden Herstellungsprozessen resultieren, verringert werden können, wenn die Höhe H2 kleiner ist als 10 nm.
  • Bei anderen Ausführungsformen kann die Höhe H2 größer sein als 10 nm. Bei solchen Ausführungsformen können die Prozesse, die in den 21 und 22 beschrieben sind, wiederholt werden, bis ein Höhenunterschied der zurückgeätzten Maskenschichten in den Öffnungen 202 in dem Bereich 200A und dem Bereich 200B innerhalb eines gewünschten Schwellenwerts liegt. Bei einigen Ausführungsformen beträgt der gewünschte Schwellenwert 10 nm. Zusätzliche Maskenschichten können zum Beispiel sequenziell in den Öffnungen 202 abgeschieden und unter eine obere Fläche der ILD 108 zurückgeätzt werden. Mit jeder zusätzlichen Maskenschicht kann der Strukturierungsladeeffekt im Vergleich zu einer zuvor abgeschiedenen und strukturierten Maskenschicht verringert werden. Ein Höhenunterschied in den obersten Flächen jeder aufeinanderfolgend abgeschiedenen Maskenschicht innerhalb des Bereichs 200A und des Bereichs 200B wird zum Beispiel im Vergleich zu einer zuvor abgeschiedenen Maskenschicht verringert.
  • In 23 wird die Haftschicht 204 zum Beispiel unter Verwenden eines Nassätzprozesses strukturiert. Das Strukturieren der Haftschicht 204 kann das Entfernen von Abschnitten der Haftschicht 204 außerhalb der Öffnungen 202 aufweisen. Bei einigen Ausführungsformen kann das Strukturieren der Haftschicht 204 ferner das Ätzen der Haftschicht 204 unter eine obere Fläche der Maskenschichten (zum Beispiel der Maskenschicht 206 und 207) in den Öffnungen 202 aufweisen. Das Strukturieren der Haftschicht 204 legte ILD an den oberen Abschnitten der Öffnungen 202 frei. Ausführungsform-Nassätzprozesses zum Strukturieren der Haftschicht 204 können das Verwenden verdünnter Fluorwasserstoffsäure (HF) als ein Ätzmittel umfassen. Aufgrund der Ätzselektivität zwischen der Haftschicht 204, den Maskenschichten 206, 207, ätzt das Ätzen der Haftschicht 204 die Maskenschicht 206/207 eventuell nicht signifikant. Andere Strukturierungsprozesse können bei anderen Ausführungsformen ebenfalls verwendet werden.
  • In 24 werden obere Abschnitte der Öffnungen 202 zum Beispiel durch Strukturieren der ILD 108 aufgeweitet. Das Strukturieren der ILD 108 kann einen Trocken- und/oder Nassätzprozess aufweisen, der die Ecken der ILD 108 in den Öffnungen 202 abrundet. Zum Beispiel sind nach dem Strukturieren der ILD 108 Seitenwände der Öffnungen 202 in Grundabschnitten der Öffnung 202 (zum Beispiel Abschnitten, die durch die Maskenschichten maskiert werden) vertikaler als in den oberen Abschnitten der Öffnungen 202 (zum Beispiel in Bereichen, in welchen die ILD 108 strukturiert wird). Beispielhafte Trockenätzmittel für die ILD 108 können SiConi (NF3 und NH3), Certas (HF und NH3) oder dergleichen aufweisen. Beispielhafte Nassätzmittel für die ILD 108 können verdünnte Fluorwasserstoffsäure (HF) oder dergleichen aufweisen. Bei einer Ausführungsform kann verdünnte HF kombiniert mit O3 und/oder H2O2 verwendet werden, um die Haftschicht 204 zu strukturieren (zum Beispiel wie oben in 23 beschrieben), und die oberen Abschnitte der Öffnungen 202 aufzuweiten (zum Beispiel wie in 24 beschrieben), indem der gleiche Ätzprozess verwendet wird. Ein zeitgesteuerter Prozess kann verwendet werden, um obere Abschnitte der Öffnungen 202 auf eine gewünschte Breite W1 aufzuweiten. Bei einigen Ausführungsformen liegt die Breite W1 in dem Bereich von etwa 12 nm bis etwa 20 nm.
  • Während des Aufweitens der oberen Abschnitte der Öffnungen 202, können die Maskenschichten in den Öffnungen 202 (zum Beispiel die Maskenschicht 206 und 207) dabei helfen, die Haftschicht 204 und Grundbereiche der Öffnungen 202 vor Beschädigung als ein Resultat des Strukturierungsprozesses maskieren helfen. Bei einigen Ausführungsformen kann der Strukturierungsprozess, der zum Ätzen der ILD 108 verwendet wird, einen Rest 208 auf einer oberen Fläche der Maskenschichten 206/207 hinterlassen. Anschließend kann der Rest 208 zum Beispiel unter Verwenden eines CxFy-(zum Beispiel C4F6) Plasmaätzens entfernt werden. Die resultierende Struktur ist in 25 veranschaulicht.
  • In 26 werden die Maskenschichten in den Öffnungen 202 entfernt. Obwohl die 25 und 26 das Entfernen von zwei Maskenschichten (der Maskenschicht in 206 und 207) veranschaulichen, können bei Ausführungsformen, bei welchen zusätzliche Maskenschichten in den Öffnungen abgeschieden und zurückgeätzt werden, die zusätzlichen Maskenschichten ebenfalls entfernt werden. Das Entfernen der Maskenschichten in den Öffnungen 202 kann einen Plasma-Ätzprozess umfassen, der zum Beispiel Sauerstoffplasma (zum Beispiel Gammastrahlung) verwendet. Andere Gase, wie Wasserstoff und Stickstoff, können während des Plasmaätzens ebenfalls gegenwärtig sein, um die Maskenschichten in den Öffnungen 202 zu entfernen.
  • In 27 wird ein Abscheidungsprozess ausgeführt, um zusätzliches Material für die Haftschicht 204 auf freigelegten Flächen der Öffnungen 202 und über der ILD 108 abzuscheiden. Das Abscheiden des zusätzlichen Materials für die Haftschicht 204 kann das Abscheiden des gleichen Materials unter Verwenden des gleichen Prozesses wie oben unter Bezugnahme auf 18 besprochen aufweisen. Das zusätzliche Material kann zum Beispiel das gleiche sein wie ein Material (zum Beispiel TiN) der Haftschicht 204, die zuvor in den Öffnungen 202 abgeschieden wurde. Ferner kann das Abschalten des zusätzlichen Materials einen CVD-Prozess, einen ALD-Prozess oder dergleichen umfassen. Bei einigen Ausführungsformen scheidet der Abscheidungsprozess das Material der Haftschicht 204 schneller auf dem Material der ILD 108 (zum Beispiel ein Oxid) als auf der davor existierenden Haftschicht 204 in den Öffnungen 202 ab. Nach dem Abscheiden hat die Haftschicht 204 eine erste Stärke T1 in einem oberen Abschnitte der Öffnungen 202 und eine Stärke T2 in einem unteren Abschnitt der Öffnungen 202. Die Stärke T1 kann kleiner sein als die Stärke T2.
  • In 28 wird ein leitfähiges Material 210 in den Öffnungen 202 über der Haftschicht 204 abgeschieden. Bei einigen Ausführungsformen umfasst das leitfähige Material 210 Kobalt, Wolfram, Aluminium, Ruthenium, Kupfer, Kombinationen dieser oder dergleichen. Bei Ausführungsformen, bei welchen das leitfähige Material 210 Kobalt umfasst, können die resultierenden Kontakte verbesserte elektrische Merkmale (zum Beispiel niedrigeren Widerstand und niedrigere RC-Verzögerung) haben. Das Abscheiden des leitfähigen Materials 210 kann einen Plattierungsprozess, wie elektro-chemisches Abscheiden, stromloses Abscheiden oder dergleichen, aufweisen. Aufgrund der Aufweitung der oberen Abschnitte der Öffnungen 202, das oben besprochen wurde, kann das leitfähige Material 210 in den Öffnungen 202 mit einem weiteren Prozessfenster und weniger Mängeln (zum Beispiel Leerstellen) abgeschieden werden.
  • Das leitfähige Material 210 kann abgeschieden werden, um die Öffnungen 202 derart zu überfüllen, dass das leitfähige Material 200 auch über oberen Flächen der ILD 108 abgeschieden wird. Anschließend kann ein Planarisierungsprozess (zum Beispiel CMP- oder Rückätzprozess) verwendet werden, um überschüssige Abschnitte des leitfähigen Materials 210 und überschüssige Abschnitte der Haftschicht 204 außerhalb der Öffnungen 202 zu entfernen. Die resultierende Struktur ist in 29 veranschaulicht. Derart können Source-/Drain-Kontakte 112 (die die Haftschicht 204 und das leitfähige Material 210 umfassen) gebildet werden, um sich durch die ILDs 108 und 88 zu erstrecken, um die Source-/Drain-Bereiche 82 elektrisch zu verbinden. Die Haftschicht 204 erstreckt sich entlang von Seitenwänden und einer Grundfläche des leitfähigen Materials 210. Die Haftschicht 204 kann das Haften zwischen dem leitfähigen Material 210 und den ILDs 88/108 verbessern. Bei einigen Ausführungsformen kann die Haftschicht 204 auch eine Diffusionssperrschicht bereitstellen, die die Diffusion des Materials des leitfähigen Materials 210 in die umgebenden dielektrischen Schichten (zum Beispiel die ILDs 88/108) verringert.
  • Wie oben besprochen, werden daher ein Prozess und eine resultierende Struktur für einen Kontakt beschrieben. Bei einigen Ausführungsformen wird eine Öffnung durch eine oder mehrere dielektrische Schichten hindurch strukturiert. Eine Haftschicht wird in der Öffnung vor dem Abscheiden des leitfähigen Materials abgeschieden. Eine Maskenschicht kann über der Haftschicht in der Öffnung abgeschieden werden, und die Maskenschicht kann zurückgeätzt werden, um obere Abschnitte der Haftschicht freizulegen, während die Öffnung aufgeweitet wird. Das Aufweiten der Öffnung kann vorteilhafterweise ein größeres Prozessfenster zum Bilden von Kontakten in der Öffnung mit weniger Mängeln (zum Beispiel Leerstellen) bereitstellen. Bei einigen Ausführungsformen schützt die Maskenschicht Grundabschnitte der Haftschicht, während die oberen Abschnitte der Öffnung aufgeweitet werden. Bei einigen Ausführungsformen umfasst die Maskenschicht eine Vielzahl von Maskenschichten, die jeweils abgeschieden und vor dem Aufweiten der Öffnung zurückgeätzt werden, um einen Strukturladeeffekt, der durch unterschiedliche Strukturdichten einer Vorrichtung verursacht wird, während der Verarbeitung zu mindern. Die Maskenschicht kann zum Beispiel mindestens zwei Maskenschichten aufweisen, die sequenziell abgeschieden und vor dem Aufweiten der Öffnung zurückgeätzt werden. Diverse Ausführungsformen stellen daher Herstellungsverfahren bereit sowie resultierende Strukturen für Kontaktstopfen mit weniger Mängeln, verringertem Widerstand und erhöhtem Ertrag.
  • In Übereinstimmung mit einer Ausführungsform, weist das Verfahren das Strukturieren einer Öffnung durch eine dielektrische Schicht hindurch; das Abscheiden einer Haftschicht entlang von Seitenwänden und einer Grundfläche der Öffnung; das Abscheiden einer ersten Maskenschicht in der Öffnung über der Haftschicht; das Zurückätzen der ersten Maskenschicht unter eine obere Fläche der dielektrischen Schicht; das Aufweiten eines oberen Abschnitts der Öffnung nach dem Zurückätzen der ersten Maskenschicht auf, wobei die erste Maskenschicht einen Grundabschnitt der Öffnung während des Aufweitens des oberen Abschnitts der Öffnung abdeckt; das Entfernen der ersten Maskenschicht nach dem Aufweiten des oberen Abschnitts der Öffnung, und nach dem Entfernen der ersten Maskenschicht, das Bilden eines Kontakts in der Öffnung durch Abscheiden eines leitfähigen Materials in der Öffnung über der Haftschicht. Bei einer Ausführungsform legt das Zurückätzen der ersten Maskenschicht einen Abschnitt der Haftschicht frei, und das Verfahren weist ferner das Entfernen der Haftschicht auf, wobei die Öffnung eine Seitenwand der dielektrischen Schicht nach dem Entfernen des Abschnitts der Haftschicht freigelegt. Bei einer Ausführungsform umfasst das Aufweiten des oberen Abschnitts der Öffnung das Ätzen der Seitenwand der dielektrischen Schicht. Bei einer Ausführungsform weist das Verfahren ferner das Abscheiden eines zusätzlichen Materials der Haftschicht entlang von Seitenwänden der Öffnung nach dem Aufweiten des oberen Abschnitts der Öffnung auf. Bei einer Ausführungsform ist eine Abscheidungsrate des zusätzlichen Materials auf der dielektrischen Schicht schneller als auf einem Material der Haftschicht, die bereits in der Öffnung existiert, bevor das zusätzliche Material abgeschieden wird. Bei einer Ausführungsform weist das Verfahren ferner das Abscheiden einer zweiten Maskenschicht über der ersten Maskenschicht in der Öffnung auf, und das Zurückätzen der zweiten Maskenschicht unter die obere Fläche der dielektrischen Schicht, bevor der obere Abschnitt der Öffnung aufgeweitet wird. Bei einer Ausführungsform umfasst das leitfähige Material Kobalt, die Haftschicht umfasst Titannitrid, und der Kontakt ist ein Source-/Drain-Kontakt oder ein Gate-Kontakt. Bei einer Ausführungsform bildet das Aufweiten des oberen Abschnitts der Öffnung einen Rest direkt über der ersten Maskenschicht. Bei einer Ausführungsform weist das Verfahren ferner das Entfernen des Rests auf, bevor die erste Maskenschicht entfernt wird, und das Entfernen des Rests verwendet einen von dem Entfernen der ersten Maskenschicht unterschiedlichen Ätzprozess.
  • In Übereinstimmung mit einer Ausführungsform weist das Verfahren das Strukturieren einer ersten Öffnung durch eine dielektrische Schicht in einem Bereich einer Vorrichtung; das Strukturieren einer zweiten Öffnung durch die dielektrische Schicht in einem Bereich der Vorrichtung; das Abscheiden einer ersten Maskenschicht in der ersten Öffnung und der zweiten Öffnung, und das Zurückätzen der ersten Maskenschicht derart auf, dass eine erste obere Fläche der ersten Maskenschicht in der ersten Öffnung und eine zweite obere Fläche der ersten Maskenschicht in der zweiten Öffnung unter einer oberen Fläche der dielektrischen Schicht sind. Das Verfahren weist ferner das Abscheiden einer zweiten Maskenschicht über der ersten Maskenschicht in der ersten Öffnung und der zweiten Öffnung und das Zurückätzen der zweiten Maskenschicht derart auf, dass eine dritte obere Fläche der zweiten Maskenschicht in der ersten Öffnung und eine vierte obere Fläche der zweiten Maskenschicht in der zweiten Öffnung unter einer oberen Fläche der dielektrischen Schicht sind. Die dritte obere Fläche ist um einen zweiten Abstand, der kleiner ist als der erste Abstand, niedriger als die vierte obere Fläche. In Übereinstimmung mit einer Ausführungsform ist die Strukturdichte erster Merkmale in dem Bereich größer als eine Strukturdichte zweiter Merkmale in dem Bereich. In Übereinstimmung mit einer Ausführungsform erstreckt sich die erste Maskenschicht, so wie sie abgeschieden wird, in dem Bereich um einen dritten Abstand höher als in dem Bereich. In Übereinstimmung mit einer Ausführungsform erstreckt sich die zweite Maskenschicht, so wie sie abgeschieden wird, in dem Bereich um einen vierten Abstand kleiner als in dem dritten Bereich. In Übereinstimmung mit einer Ausführungsform ist der erste Abstand größer als 10 nm, und der zweite Abstand ist kleiner als 10 nm. In Übereinstimmung mit einer Ausführungsform weist das Verfahren ferner das Abscheiden einer dritten Maskenschicht über der ersten Maskenschicht in der ersten Öffnung und der zweiten Öffnung und das Zurückätzen der dritten Maskenschicht derart auf, dass eine fünfte obere Fläche der dritten Maskenschicht in der ersten Öffnung und eine sechste obere Fläche der dritten Maskenschicht in der zweiten Öffnung unter einer oberen Fläche der dielektrischen Schicht sind, wobei die fünfte obere Fläche um einen Abstand, der kleiner ist als der zweite Abstand, niedriger ist als die sechste obere Fläche. In Übereinstimmung mit einer Ausführungsform erfolgen nach dem Zurückätzen der zweiten Maskenschicht das Aufweiten oberer Abschnitte der ersten Öffnung und der zweiten Öffnung; nach dem Aufweiten der oberen Abschnitte der ersten Öffnung und der zweiten Öffnung das Entfernen der ersten Maskenschicht und der zweiten Maskenschicht; das Bilden eines ersten Kontakts in der ersten Öffnung und das Bilden eines zweiten Kontakts in der zweiten Öffnung. In Übereinstimmung mit einer Ausführungsform weist das Verfahren ferner das Abscheiden einer Haftschicht über und entlang von Seitenwänden der ersten Öffnung und der zweiten Öffnung auf, wobei die erste Maskenschicht über der Haftschicht abgeschieden wird; vor dem Aufweiten der oberen Abschnitte der ersten Öffnung und der zweiten Öffnung das Entfernen von Abschnitten der Haftschicht über der zweiten Maskenschicht, und nach dem Entfernen der ersten Maskenschicht und der zweiten Maskenschicht das Abscheiden eines zusätzlichen Materials der Haftschicht auf Seitenwänden der ersten Öffnung und der zweiten Öffnung. In Übereinstimmung mit einer Ausführungsform, weist das Entfernen von Abschnitten der Haftschicht das Ätzen eines Abschnitts der Haftschicht in der ersten Öffnung unter der dritten oberen Fläche und das Ätzen eines Abschnitts der Haftschicht in der zweiten Öffnung unter der vierten Fläche auf.
  • In Übereinstimmung mit einer Ausführungsform weist eine Halbleitervorrichtung eine dielektrische Low-k-Schicht und einen Kontaktstopfen, der ein leitfähiges Material und eine Haftschicht entlang von Seitenwänden und einer Grundfläche des leitfähigen Materials umfasst, auf. Das leitfähige Material ist einem oberen Abschnitt der dielektrischen Low-k-Schicht weiter als in einem unteren Abschnitt der dielektrischen Low-k-Schicht, und die Haftschicht ist in einem oberen Abschnitt der dielektrischen Low-k-Schicht dünner als in einem unteren Abschnitt der dielektrischen Low-k-Schicht. In Übereinstimmung mit einer Ausführungsform umfasst das leitfähige Material Kobalt, die Haftschicht umfasst Titannitrid, und der Kontaktstopfen ist ein Source-/Drain-Kontakt oder ein Gate-Kontakt. In Übereinstimmung mit einer Ausführungsform ist eine Seitenwand des leitfähigen Materials in dem unteren Abschnitt der dielektrischen Low-k-Schicht vertikaler als der obere Abschnitt der dielektrischen Low-k-Schicht.

Claims (20)

  1. Verfahren, umfassend: Strukturieren einer Öffnung durch eine dielektrische Schicht hindurch; Abscheiden einer Haftschicht entlang von Seitenwänden und einer Grundfläche der Öffnung; Abscheiden einer ersten Maskenschicht in der Öffnung über der Haftschicht; Zurückätzen der ersten Maskenschicht unter eine obere Fläche der dielektrischen Schicht; Aufweiten eines oberen Abschnitts der Öffnung nach dem Zurückätzen der ersten Maskenschicht, wobei die erste Maskenschicht einen Grundabschnitt der Öffnung maskiert, während der obere Abschnitt der Öffnung aufgeweitet wird; Entfernen der ersten Maskenschicht nach dem Aufweiten des oberen Abschnitts der Öffnung, und nach dem Entfernen der ersten Maskenschicht, Bilden eines Kontakts in der Öffnung durch Abscheiden eines leitfähigen Materials in der Öffnung über der Haftschicht.
  2. Verfahren nach Anspruch 1, wobei das Zurückätzen der ersten Maskenschicht einen Abschnitt der Haftschicht freilegt, und wobei das Verfahren ferner das Entfernen der Haftschicht umfasst, wobei die Öffnung eine Seitenwand der dielektrischen Schicht freilegt, nachdem der Abschnitt der Haftschicht entfernt wurde.
  3. Verfahren nach Anspruch 2, wobei das Aufweiten des oberen Abschnitts der Öffnung das Ätzen der Seitenwand der dielektrischen Schicht umfasst.
  4. Verfahren nach Anspruch 2 oder 3, das ferner das Abscheiden eines zusätzlichen Materials der Haftschicht entlang von Seitenwänden der Öffnung nach dem Aufweiten des oberen Abschnitts der Öffnung umfasst.
  5. Verfahren nach Anspruch 4, wobei eine Abscheidungsrate des zusätzlichen Materials auf der dielektrischen Schicht schneller ist als auf einem Material der Haftschicht, die bereits in der Öffnung existiert, bevor das zusätzliche Material abgeschieden wird.
  6. Verfahren nach Anspruch 4 oder 5, ferner umfassend: Abscheiden einer zweiten Maskenschicht über der ersten Maskenschicht in der Öffnung, und Zurückätzen der zweiten Maskenschicht unter die obere Fläche der dielektrischen Schicht, bevor der obere Abschnitt der Öffnung aufgeweitet wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das leitfähige Material Kobalt umfasst, wobei die Haftschicht Titannitrid umfasst, und wobei der Kontakt ein Source-/Drain-Kontakt oder ein Gate-Kontakt ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Aufweiten des oberen Abschnitts der Öffnung einen Rest direkt über der ersten Maskenschicht bildet, wobei das Verfahren ferner das Entfernen des Rests umfasst, bevor die erste Maskenschicht entfernt wird, und wobei das Entfernen des Rests einen unterschiedlichen Ätzprozess verwendet als der des Entfernens der ersten Maskenschicht.
  9. Verfahren, umfassend: Strukturieren einer ersten Öffnung durch eine dielektrische Schicht hindurch in einem Bereich A einer Vorrichtung; Strukturieren einer zweiten Öffnung durch eine dielektrische Schicht hindurch in einem Bereich B einer Vorrichtung; Abscheiden einer ersten Maskenschicht in der ersten Öffnung und in der zweiten Öffnung; Zurückätzen der ersten Maskenschicht derart, dass eine erste obere Fläche der ersten Maskenschicht in der ersten Öffnung und eine zweite obere Fläche der ersten Maskenschicht in der zweiten Öffnung unter einer oberen Fläche der dielektrischen Schicht liegen, wobei die erste obere Fläche um einen ersten Abstand niedriger ist als die zweite obere Fläche; Abscheiden einer zweiten Maskenschicht über der ersten Maskenschicht in der ersten Öffnung und der zweiten Öffnung, und Zurückätzen der zweiten Maskenschicht derart, dass eine dritte obere Fläche der zweiten Maskenschicht in der ersten Öffnung und eine vierte obere Fläche der zweiten Maskenschicht in der zweiten Öffnung unter einer oberen Fläche der dielektrischen Schicht liegen, wobei die dritte obere Fläche um einen zweiten Abstand, der kleiner ist als der erste Abstand, niedriger ist als die vierte obere Fläche.
  10. Verfahren nach Anspruch 9, wobei eine Strukturdichte erster Merkmale in dem Bereich A größer ist als eine Strukturdichte zweiter Merkmale in dem Bereich B.
  11. Verfahren nach Anspruch 9 oder 10, wobei sich die erste Maskenschicht, so wie sie abgeschieden wird, in dem Bereich B um einen dritten Abstand höher erstreckt als in dem Bereich A.
  12. Verfahren nach Anspruch 11, wobei sich die zweite Maskenschicht, so wie sie abgeschieden wird, in dem Bereich B um einen vierten Abstand, der geringer ist als der dritte Abstand, höher erstreckt.
  13. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 12, wobei der erste Abstand größer ist als 10 nm, und wobei der zweite Abstand kleiner ist als 10 nm.
  14. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 13, ferner umfassend: Abscheiden einer dritten Maskenschicht über der ersten Maskenschicht in der ersten Öffnung und der zweiten Öffnung, und Zurückätzen der dritten Maskenschicht derart, dass eine fünfte obere Fläche der dritten Maskenschicht in der ersten Öffnung und eine sechste obere Fläche der dritten Maskenschicht in der zweiten Öffnung unter einer oberen Fläche der dielektrischen Schicht liegen, wobei die fünfte obere Fläche um einen fünften Abstand, der kleiner ist als der zweite Abstand, niedriger ist als die sechste obere Fläche.
  15. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 14, ferner umfassend: nach dem Zurückätzen der zweiten Maskenschicht, Aufweiten oberer Abschnitte der ersten Öffnung und der zweiten Öffnung; nach dem Aufweiten der oberen Abschnitte der ersten Öffnung und der zweiten Öffnung, Entfernen der ersten Maskenschicht und der zweiten Maskenschicht; Bilden eines ersten Kontakts in der ersten Öffnung, und Bilden eines zweiten Kontakts in der zweiten Öffnung.
  16. Verfahren nach Anspruch 15, ferner Folgendes umfassend: Abscheiden einer Haftschicht über der ersten Öffnung und der zweiten Öffnung und entlang ihrer Seitenwände, wobei die erste Maskenschicht über der Haftschicht abgeschieden wird; vor dem Aufweiten der oberen Abschnitte der ersten Öffnung und der zweiten Öffnung, Entfernen von Abschnitten der Haftschicht über der zweiten Maskenschicht, und Nach dem Entfernen der ersten Maskenschicht und der zweiten Maskenschicht, Abscheiden eines zusätzlichen Materials der Haftschicht auf Seitenwänden der ersten Öffnung und der zweiten Öffnung.
  17. Verfahren nach Anspruch 16, wobei das Entfernen der Abschnitte der Haftschicht Folgendes umfasst: Ätzen eines Abschnitts der Haftschicht in der ersten Öffnung unter die dritte obere Fläche; Ätzen eines Abschnitts der Haftschicht in der zweiten Öffnung unter die vierte obere Fläche;
  18. Halbleitervorrichtung, umfassend: eine dielektrische Low-k-Schicht, und einen Kontaktstopfen, umfassend: ein leitfähiges Material, wobei das leitfähige Material in einem oberen Abschnitt der dielektrischen Low-k-Schicht weiter ist als in einem unteren Abschnitt der dielektrischen Low-k-Schicht, und eine Haftschicht entlang von Seitenwänden und einer Grundfläche des leitfähigen Materials, wobei die Haftschicht in einem oberen Abschnitt der dielektrischen Low-k-Schicht dünner ist als in einem unteren Abschnitt der dielektrischen Low-k-Schicht.
  19. Halbleitervorrichtung nach Anspruch 18, wobei das leitfähige Material Kobalt umfasst, wobei die Haftschicht Titannitrid umfasst, und wobei der Kontaktstopfen ein Source-/Drain-Kontakt oder ein Gate-Kontakt ist.
  20. Halbleitervorrichtung nach Anspruch 18 oder 19, wobei eine Seitenwand des leitfähigen Materials in dem unteren Abschnitt der dielektrischen Low-k-Schicht vertikaler als der obere Abschnitt der dielektrischen Low-k-Schicht.
DE102017127228.3A 2017-07-31 2017-11-20 Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung Active DE102017127228B3 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762539333P 2017-07-31 2017-07-31
US62/539,333 2017-07-31
US15/801,154 2017-11-01
US15/801,154 US10269624B2 (en) 2017-07-31 2017-11-01 Contact plugs and methods of forming same

Publications (1)

Publication Number Publication Date
DE102017127228B3 true DE102017127228B3 (de) 2019-01-17

Family

ID=64745740

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127228.3A Active DE102017127228B3 (de) 2017-07-31 2017-11-20 Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung

Country Status (5)

Country Link
US (2) US10269624B2 (de)
KR (1) KR102085324B1 (de)
CN (1) CN109326555A (de)
DE (1) DE102017127228B3 (de)
TW (1) TW201911376A (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US11532479B2 (en) 2020-04-01 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate refill with void

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0269934A (ja) 1988-09-05 1990-03-08 Nec Corp 半導体装置の製造方法
US5374849A (en) 1992-03-02 1994-12-20 Mitsubishi Denki Kabushiki Kaisha Conductive layer connection structure of semiconductor device
DE102004036156A1 (de) 2004-07-26 2006-03-23 Infineon Technologies Ag Halbleiterspeicherbauelement mit oberseitigen Bitleitungsanschlüssen und Herstellungsverfahren

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960015564B1 (ko) * 1993-04-16 1996-11-18 현대전자산업 주식회사 반도체 장치의 금속배선 형성방법
US6420786B1 (en) * 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US6140227A (en) * 1998-11-25 2000-10-31 United Microelectronics Corp. Method of fabricating a glue layer of contact/via
US6096651A (en) * 1999-01-11 2000-08-01 Taiwan Semiconductor Manufacturing Company Key-hole reduction during tungsten plug formation
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
KR20080100548A (ko) * 2007-05-14 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
KR20090078109A (ko) * 2008-01-14 2009-07-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7615489B1 (en) * 2008-10-22 2009-11-10 Applied Materials, Inc. Method for forming metal interconnects and reducing metal seed layer overhang
US9029825B2 (en) * 2010-06-16 2015-05-12 Nec Corporation Semiconductor device and manufacturing method for semiconductor device
KR101186067B1 (ko) * 2010-08-02 2012-09-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US20140374907A1 (en) 2012-06-21 2014-12-25 Applied Materials, Inc. Ultra-thin copper seed layer for electroplating into small features
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) * 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9245797B2 (en) * 2013-08-19 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Opening fill process and structure formed thereby
US9306032B2 (en) * 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
WO2015076792A1 (en) * 2013-11-20 2015-05-28 Intel Corporation Microelectronic transistor contacts and methods of fabricating the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9704798B2 (en) * 2013-12-20 2017-07-11 Intel Corporation Using materials with different etch rates to fill trenches in semiconductor devices
US9390939B2 (en) * 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
KR102401486B1 (ko) * 2015-04-22 2022-05-24 삼성전자주식회사 콘택 구조물을 포함하는 반도체 소자 및 그 제조 방법.
US20160336269A1 (en) * 2015-05-12 2016-11-17 United Microelectronics Corp. Semiconductor structure and process thereof
US9679813B2 (en) * 2015-05-12 2017-06-13 United Microelectronics Corp. Semiconductor structure and process for forming plug including layer with pulled back sidewall part
KR102342079B1 (ko) * 2015-05-20 2021-12-21 삼성전자주식회사 반도체 장치 제조 방법
US10332790B2 (en) * 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US9520363B1 (en) * 2015-08-19 2016-12-13 International Business Machines Corporation Forming CMOSFET structures with different contact liners
JP2017045953A (ja) * 2015-08-28 2017-03-02 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9502308B1 (en) * 2015-11-18 2016-11-22 Globalfoundries Inc. Methods for forming transistor devices with different source/drain contact liners and the resulting devices
US9496225B1 (en) * 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill
US9691658B1 (en) * 2016-05-19 2017-06-27 Globalfoundries Inc. Contact fill in an integrated circuit
US10872980B2 (en) * 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0269934A (ja) 1988-09-05 1990-03-08 Nec Corp 半導体装置の製造方法
US5374849A (en) 1992-03-02 1994-12-20 Mitsubishi Denki Kabushiki Kaisha Conductive layer connection structure of semiconductor device
DE102004036156A1 (de) 2004-07-26 2006-03-23 Infineon Technologies Ag Halbleiterspeicherbauelement mit oberseitigen Bitleitungsanschlüssen und Herstellungsverfahren

Also Published As

Publication number Publication date
TW201911376A (zh) 2019-03-16
KR102085324B1 (ko) 2020-03-05
KR20190013404A (ko) 2019-02-11
CN109326555A (zh) 2019-02-12
US10269624B2 (en) 2019-04-23
US20190252245A1 (en) 2019-08-15
US10985053B2 (en) 2021-04-20
US20190035679A1 (en) 2019-01-31

Similar Documents

Publication Publication Date Title
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102017117793B4 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102020119976B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102020109494B3 (de) Geschnittene metallgate-befüllung mit lücke
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102020110754A1 (de) Halbleitervorrichtung und verfahren
DE102020122151A1 (de) Halbleitervorrichtung und verfahren
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102018106191B4 (de) Verfahren zur selektiven bildung von gate-abstandshaltern eines finfets mittels eines fluorierungsprozesses
DE102017127228B3 (de) Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung
DE102020114655B4 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102021102596B4 (de) Halbleitervorrichtung und verfahren
DE102017127205A1 (de) Halbleiter-bauelement und verfahren
DE102021113053A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102019128469B4 (de) Finnenfeldeffekttransistorbauelement und verfahren zu dessen herstellung
DE102020102548A1 (de) Selbstausrichtende kontaktanordnung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final