DE102013104236B4 - Halbleitervorrichtung, verfahren zur herstellung derselben und verfahren zum betreiben derseleben - Google Patents

Halbleitervorrichtung, verfahren zur herstellung derselben und verfahren zum betreiben derseleben Download PDF

Info

Publication number
DE102013104236B4
DE102013104236B4 DE102013104236.8A DE102013104236A DE102013104236B4 DE 102013104236 B4 DE102013104236 B4 DE 102013104236B4 DE 102013104236 A DE102013104236 A DE 102013104236A DE 102013104236 B4 DE102013104236 B4 DE 102013104236B4
Authority
DE
Germany
Prior art keywords
gate
contact plug
band
protrusion
ild
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102013104236.8A
Other languages
English (en)
Other versions
DE102013104236A1 (de
Inventor
Tung-Heng Hsieh
Kuo Jiun-Ming
Tsung-Lin Wu
Min-Hsiung Chiang
Che-Yuan Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013104236A1 publication Critical patent/DE102013104236A1/de
Application granted granted Critical
Publication of DE102013104236B4 publication Critical patent/DE102013104236B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Vorrichtung, die Folgendes umfasst: einen aktiven Bereich in einem Halbleitersubstrat; ein Gate-Band, das über dem aktiven Bereich liegt und diesen quert und einen Band-Abschnitt und einen Vorsprung aufweist, wobei der Vorsprung über dem aktiven Bereich liegt und mit dem Band-Abschnitt verbunden ist, um mit diesem einen zusammenhängenden Bereich zu bilden, wobei der Vorsprung auf einer ersten Seite des Gate-Bandes liegt; einen ersten Kontaktstöpsel auf derselben Ebene wie das Gate-Band, wobei der erste Kontaktstöpsel auf der ersten Seite des Gate-Bandes liegt; und einen zweiten Kontaktstöpsel über dem Vorsprung und dem ersten Kontaktstöpsel, wobei der zweite Kontaktstöpsel den ersten Kontaktstöpsel und den Vorsprung elektrisch verbindet, wobei der zweite Kontaktstöpsel eine untere Fläche aufweist, die Folgendes umfasst: einen ersten Abschnitt, der mit einer oberen Fläche eines Abschnitts des ersten Kontaktstöpsels in Kontakt ist; einen zweiten Abschnitt, der mit einer oberen Fläche einer dielektrischen Zwischenschicht in Kontakt ist; und einen dritten Abschnitt, der mit einer oberen Fläche des Vorsprungs in Kontakt ist,dadurch gekennzeichnet, dass der Band-Abschnitt und und der Vorsprung den zusammenhängenden Bereich bilden, ohne dass dazwischen eine Grenzschicht liegt.

Description

  • HINTERGRUND
  • Um einen aktiven Bereich einer Metalloxid-Halbleiter(Metal-Oxide-Semiconductor, MOS)-Vorrichtung mit einem Gate-Elektrodenband elektrisch kurzzuschließen, kann ein stumpfer Kontaktstöpsel ausgebildet werden, um den aktiven Bereich und das Gate-Elektrodenband zu verbinden. Herkömmlicherweise wurden der aktive Bereich und das Gate-Elektrodenband mit der elektrischen Erde zum Zweck der Isolierung verbunden.
  • In den herkömmlichen Strukturen wurde das Gate-Elektrodenband, das für die Isolierung der Vorrichtung verwendet wurde, über einem Flacher-Graben-Isolier(Shallow Trench Isolation, STI)-Bereich ausgebildet. Der STI-Bereich erfordert mehr Chipfläche als das Gate-Elektrodenband. Dies führt zu einer nachteiligen Verringerung in der Bauteildichte.
  • Eine Halbleitervorrichtung gemäß dem Oberbegriff des Anspruchs 1 ist aus US 2006/0097294 A1 bekannt. JP 2006/120952 A beschreibt eine Halbleitervorrichtung mit Gates, die als Gate-Bänder mit einseitigen Vorsprüngen ausgebildet sind. Die Sources liegen auf derselben Seite wie die Vorsprünge und weisen jeweils erste Kontaktstöpsel in der Gate-Ebene auf. Zweite Kontaktstöpsel sind in einer Ebene über den Gates und den ersten Kontaktstöpseln vorgesehen. US 2012/0001271 A1 beschreibt ebenfalls eine Halbleitervorrichtung, die Gate-Bänder mit Vorsprüngen auf dem aktiven Bereich aufweist. Source/Drain-Bereiche sind mit ersten Kontakten versehen und die Vorsprünge dienen zur Kontaktierung mit zweiten Kontakten.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Für ein kompletteres Verständnis der Ausführungen und ihrer Vorteile wird nun auf die folgende Beschreibung in Verbindung mit den beigefügten Figuren Zeichnungen Bezug genommen, in denen:
  • 1A bis 6 Draufsichten und Querschnitte von Zwischenstufen in der Herstellung von Metalloxid-Halbleiter(MOS)-Vorrichtungen und einer Vorrichtungs-Isolierstruktur sind, in Übereinstimmung mit manchen beispielhaften Ausführungen.
  • DETAILLIERTE BESCHREIBUNG VON BEISPIELHAFTEN AUSFÜHRUNGEN
  • Metalloxid-Halbleiter-(MOS)-Vorrichtungen und Isolierstrukturen und das Verfahren zum Ausbilden derselben werden in Übereinstimmung mit verschiedenen beispielhaften Ausführungen angegeben. Die Zwischenstufen zum Ausbilden der MOS-Vorrichtungen und der Isolierstrukturen werden dargestellt. Die Varianten der Ausführungen werden behandelt. Überall in den verschiedenen Ansichten und beispielhaften Ausführungen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen.
  • Die 1A stellt Gate-Bänder 22, 122 und 222 dar, die über einem aktiven Bereich 20 ausgebildet sind. In manchen Ausführungen ist der aktive Bereich 20 ein zusammenhängender aktiver Bereich, der aus einem Halbleitermaterial ausgebildet ist. Der aktive Bereich 20 ist definiert durch, beispielsweise umrandet von, Isolierbereichen 28. Die Gate-Bänder 22, 122 und 222 haben Längsrichtungen, die parallel zu einander liegen. Jedes der Gate-Bänder 22, 122 und 222 kann einen Abschnitt aufweisen, der den aktiven Bereich 20 überlappt, und Abschnitte aufweisen, die die Isolierbereiche 28 überlappen, die beispielsweise Flacher-Graben-Isolier-(STI)-Bereiche sein können.
  • Die Gate-Bänder 122 und 222 können einheitliche Breiten W2 bzw. W3 aufweisen. Das Gate-Band 22 umfasst einen Band-Abschnitt 22A und einen Vorsprung 22B („Jog”), der mit dem Band-Abschnitt 22A verbunden ist. Der Band-Abschnitt 22A kann eine Breite W1 aufweisen, die gleich den Breiten W2 und W3 ist. Darüber hinaus können der Band-Abschnitt 22A und die Gate-Bänder 122 und 222 in gleichem Abstand vorgesehen sein, obwohl die Abstände dazwischen auch unterschiedlich sein können. Der Vorsprung 22B und der Band-Abschnitt 22A sind durchgehend verbunden, ohne dass eine Grenzfläche dazwischen ausgebildet ist. Der Vorsprung 22B weist eine Breite W4 auf, wobei das Verhältnis W4/W1 größer als etwa 0,13 sein kann und zwischen 0,13 und etwa 0,55 liegen kann. In manchen beispielhaften Ausführungen liegt die Breite W4 zwischen etwa 2,5 nm und etwa 10 nm, und die Breiten W1, W2 und W3 können zwischen etwa 18 nm und etwa 20 nm liegen. Man beachte jedoch, dass die Werte, die in der gesamten Beschreibung angegeben sind, nur Beispiele sind und auf abweichende Werte geändert werden können. Eine Gesamtheit des Vorsprungs 22B kann an dem aktiven Bereich 20 ausgerichtet sein und diesen überlappen, obwohl ein Abschnitt des Vorsprungs 22B sich so erstrecken kann, dass er den STI-Bereich 28 überlappt, wobei gestrichelte Linien die jeweiligen Ränder des Vorsprungs 22B anzeigen.
  • Das Gate-Band 22 umfasst ein Gate-Dielektrikum 32 und eine Gate-Elektrode 34. Das Gate-Band 122 umfasst ein Gate-Dielektrikum 132 und eine Gate-Elektrode 134. Das Gate-Band 222 umfasst ein Gate-Dielektrikum 232 und eine Gate-Elektrode 234. In manchen Ausführungen sind die Gate-Bänder 22, 122 und 222 Ersatz-Gates, die mittels eines Gate-Zuletzt-Ansatzes ausgebildet sind. Demnach umfasst das Gate-Dielektrikum 32 einen unteren Abschnitt (1B), der durch die Gate-Elektrode 34 überlappt ist, und Seitenwand-Abschnitte auf den Seitenwänden der Gate-Elektrode 34. Ähnlich umfasst das Gate-Dielektrikum 132 einen unteren Abschnitt (1B), der durch die Gate-Elektrode 134 überlappt ist, und Seitenwand-Abschnitte auf den Seitenwänden der Gate-Elektrode 134, und das Gate-Dielektrikum 232 umfasst einen unteren Abschnitt, der durch die Gate-Elektrode 234 überlappt ist, und Seitenwand-Abschnitte auf den Seitenwänden der Gate-Elektrode 234. In alternativen Ausführungen sind die Gate-Bänder 22, 122 und 222 mittels eines Gate-Zuerst-Ansatzes ausgebildet. Demnach umfassen die Gate-Dielektrika 32, 132 und 232 nicht Abschnitte der Seitenwände der Gate-Elektroden 34, 134 bzw. 234. Die gesamten Gate-Elektroden 34, 134 und 234 sind aus einem gleichen Material ausgebildet und sind gleichzeitig ausgebildet. Die gesamten Gate-Dielektrika 32, 132 und 232 sind aus einem gleichen Material ausgebildet und sind gleichzeitig ausgebildet.
  • Die 1B stellt einen Querschnitt der Struktur in 1A dar, wobei der Querschnitt entlang der die Ebene kreuzenden Linie 1B-1B in 1A erhalten wird. Wie in der 1B gezeigt ist, sind die Gate-Bänder 22, 122 und 222 über dem aktiven Bereich 20 ausgebildet, der ein Teil eines Substrats 21 ist. Das Substrat 21 ist ein Halbleitersubstrat und kann ein Silizium-Substrat, ein Silizium-Germanium-Substrat, ein III–V-Material-Halbleitersubstrat etc. sein. Da der Vorsprungs 22B nicht in der dargestellten Ebene liegt, ist ein Rand des Vorsprungs 22B mittels einer gestrichelten Linie dargestellt. Source- und Drain-Bereiche 40 und 42 sind in dem aktiven Bereich 20 ausgebildet. Die Source- und Drain-Bereiche 40 und 42 werden in der gesamten Beschreibung als Source-/Drain-Bereiche 40 und 42 bezeichnet, um anzuzeigen, dass jeder von ihnen ein Source-Bereich oder ein Drain-Bereich sein kann.
  • Die Gate-Bänder 22, 122 und 222 sind in der dielektrischen Zwischenschicht (Inter-Layer Dielectric, ILD, im Folgenden als ILDO bezeichnet) 23 ausgebildet, wobei die ILD 23 aus einem Oxid, wie etwa Phosphorsilikatglas (PSG), Borsilikatglas (BSG), mit Bor dotiertes Phosphorsilikatglas (BPSG), Tetraethyl-Orthosilikat (TEOS) oder Ähnlichem, ausgebildet sein kann. Das Ausbilden der Gate-Bänder 22, 122 und 222 kann das Ausbilden von Gate-Hilfselektroden oder Dummyelektroden (wie etwa Polysilizium-Hilfsbändern, nicht gezeigt) über dem aktiven Bereich 20 und dem STI 28, das Ausbilden der ILD 23, das Entfernen der Gate-Hilfselektroden, um Öffnungen in der ILD 23 auszubilden, das Füllen einer dielektrischen Gate-Schicht und eines leitenden Materials in den ILD 23 und das Ausführen eines chemisch-mechanischen Polierverfahrens (CMP), um überschüssige Abschnitte des leitenden Materials und der dielektrischen Gate-Schicht über der ILD 23 zu entfernen, umfassen.
  • Die 2A stellt das Ausbilden von Kontaktstöpseln (manchmal als M0_OD1 bezeichnet) 36 und 38 dar. Der M0_OD1 36 umfasst einen Abschnitt 36A, der den aktiven Bereich 20 überlappt. In manchen Ausführungen umfasst der M0_OD1 36 weiter einen Abschnitt 36B, der einen Teil des STI-Bereichs 28 überlappt. In alternativen Ausführungen fehlt der Abschnitt 36B, und eine Gesamtheit des M0_OD1 36 überlappt den aktiven Bereich 20. In manchen Ausführungen ist der M0_OD1 36 gegenüber dem Vorsprung 22B verschoben. Der Rand 36' von M0_OD1 36 und die Erweiterungslinie des Randes 22B' des Vorsprung 22B weisen beispielsweise einen Abstand von S1 auf, der gleich oder größer als 0 nm ist und größer als etwa 2 nm sein kann. Darüber hinaus liegt, in der Draufsicht von etwa der 2A, M0_OD1 36 näher an dem Rand 20B des aktiven Bereichs 20 als eine Gesamtheit des Vorsprungs 22B, und der Vorsprungs 22B liegt näher an dem Rand 20A des aktiven Bereichs 20 als der Abschnitt des M0_OD1 36, der den aktiven Bereich 20 überlappt. Gesamtheiten der M0_OD1s 38 können den aktiven Bereich 20 überlappen. Demnach kann das M0_OD1 36 auch gegenüber den M0_OD1s 38 verschoben sein.
  • Die 2B stellt einen Querschnitt der Struktur von 2A dar, wobei der Querschnitt entlang der die Ebene kreuzenden Linie 2B-2B in 2A erhalten wird. Der M0_OD1 36 liegt über dem Source/Drain-Bereich 40 und ist mit ihm elektrisch verbunden, wobei der Source/Drain-Bereich der gemeinsame Source/Drain-Bereich ist, der von den Gate-Bändern 22 und 122 gemeinsam genutzt wird. Ein Silizidbereich (nicht gezeigt) kann zwischen dem M0_OD1 36 und dem darunter liegenden Source/Drain-Bereich 40 ausgebildet sein und mit diesen in Kontakt stehen. Die M0_OD1s 38 liegen über den entsprechenden darunter liegenden Source/Drain-Bereichen 42 und sind mit diesen elektrisch verbunden. Silizidbereiche (nicht gezeigt) können zwischen M0_OD1 38 und dem darunter liegenden Source/Drain-Bereich 42 ausgebildet sein und mit diesen in Kontakt stehen. Die M0_OD1s 36 und 38 werden in der ILD 23 ausgebildet, indem Öffnungen in der ILD 23 ausgebildet werden und ein leitendes Material in die ILD 23 gefüllt wird, gefolgt von einem CMP.
  • Die 3A bis 5C stellen das Ausbilden von Kontaktstöpseln 58, 60 und 62 (5A) dar. Mit Bezug auf die 3B, die ein Querschnitt ist, werden eine Ätzstopp-Schicht (Etch Stop Layer, ESL) 50 und eine ILD 52 über der ILD 23 und den M0_OD1 36 und 38 ausgebildet. Die Ätzstopp-Schicht 50 kann Silizium-Kohlenstoff, Siliziumnitrid, Siliziumoxinitrid oder Ähnliches umfassen. Die ILD 52 kann aus einem dielektrischen Material aus denselben Kandidatenmaterialien zum Ausbilden der ILD 23 ausgebildet sein. Die Kontaktstöpsel-Öffnungen 46 und 48 sind in der ESL 50 und der ILD 52 ausgebildet, so dass die M0_OD1s 36 und 38 freigelegt sind. Die Kontaktstöpsel-Öffnungen 46 und 48 können ausgebildet werden, indem die ILD 52 mittels der ESL 50 als einer Ätzstopp-Schicht geätzt wird und dann die ESL 50 geätzt wird.
  • Die 3A stellt eine Draufsicht der Struktur in 3B dar, wobei der Querschnitt in der 3B entlang der die Ebene kreuzenden Linie 3B-3B in 3A erhalten wird. In manchen Ausführungen sind die Kontaktstöpsel-Öffnungen 48 an den zugehörigen darunter liegenden M0_OD1s 38 ausgerichtet. Zur besseren Deutlichkeit sind die Kontaktstöpsel-Öffnungen 48 so gezeigt, dass sie in Draufsicht Größen aufweisen, die etwas größer als die zugehörigen darunter liegenden M0_OD1s 38 sind. Die Kontaktstöpsel-Öffnungen 48 können in Draufsicht auch Größen und Formen aufweisen, die gleich groß oder kleiner als die der M0_OD1s 38 sind.
  • Die Kontaktstöpsel-Öffnung 46 umfasst einen ersten Abschnitt 46A, der gegenüber dem M0_OD1 36 versetzt ist, und einen zweiten Abschnitt 46B, der an einem Abschnitt von M0_OD1 36 ausgerichtet ist. Der M0_OD1 36 ist also durch die Kontaktstöpsel-Öffnung 46 freiliegend. Darüber hinaus ist ein Abschnitt der Kontaktstöpsel-Öffnung 46 an einem Abschnitt des Vorsprungs 22B ausgerichtet, was bedeutet, dass der Abschnitt der Kontaktstöpsel-Öffnung 46 und der Abschnitt des Vorsprungs 22B gleiche Abstände zu den Rändern 20A und 20B des aktiven Bereichs 20 aufweisen.
  • Die 4A stellt eine Draufsicht dar, die das Ausbilden von Öffnungen 54 und 56 zeigt, die gleichzeitig in demselben Ätzschritt ausgebildet werden. Die Öffnungen 54 und 56 werden auch als die M0_Poly-Öffnungen 54 und 56 bezeichnet, da die Kontaktstöpsel, die darin gefüllt sind, Abschnitte umfassen, die direkt über den Gate-Bändern 22, 122 und 222 liegen (die in manchen Ausführungen Polysilizium umfassen können, daher der Name „Poly”) und mit diesen verbunden sind. Die Öffnung 54 umfasst einen Abschnitt, der einen Abschnitt der Öffnung 46 überlappt. Im Ergebnis bilden die Öffnungen 46 und 54 zusammen eine integrierte und zusammenhängende Öffnung, die im Folgenden als Öffnung 46/54 bezeichnet wird. Die Öffnung 54 überlappt weiter den Vorsprung 22B und somit ist der Vorsprung 22B durch die Öffnung 54 freigelegt. Darüber hinaus kann der Gate-Band-Abschnitt 22A einen Abschnitt, der durch die Öffnung 54 freigelegt ist, umfassen oder auch nicht.
  • Die 4B stellt einen Querschnitt der Struktur in 4A dar, wobei der Querschnitt entlang der die Ebene kreuzenden Linie 4B-4B in 4A erhalten wird. Der M0_OD1 36 liegt nicht in der dargestellten Ebene und ist daher mittels gestrichelter Linien dargestellt. Um ein genügend großes Prozessfenster zu ermöglichen, kann der Rand 54A der Öffnung 54 so gestaltet sein, dass er an einer Mittellinie des Gate-Band-Abschnitts 22A ausgerichtet ist, so dass, selbst wenn der Rand 54A sich auf Grund von Verfahrensabweichungen nach rechts verschiebt, der Rand 54A immer noch den Gate-Band-Abschnitt 22A überlappt und sich nicht auf die rechte Seite des rechten Randes des Gate-Band-Abschnitts 22A verschiebt.
  • Bezieht man sich als nächstes auf die 5A, so werden die Öffnungen 54 und 56 (4A und 4B) gefüllt, um Kontaktstöpsel 58 bzw. 60 auszubilden. Zur gleichen Zeit, in der die Kontaktstöpsel 58 und 60 ausgebildet werden, werden die Kontaktöffnungen 48 (4A und 4B) auch gefüllt, um Kontaktstöpsel 62 auszubilden, die auch im Folgenden als M0_OD2 bezeichnet werden. Das Ausbildungsverfahren kann das Füllen der Öffnungen 46, 48, 54 und 56 mit einer leitenden Haft-/Sperrschicht (die beispielsweise Titan oder Tantal umfasst) und einem metallischen Material, wie etwa Wolfram oder Kupfer, und das Ausführen eines CMP umfassen. Der Kontaktstöpsel 58 liegt über dem M0_OD1 36 und der Gate-Elektrode 34 und verbindet diese elektrisch. Mit Bezug auf die 5A umfasst der Kontaktstöpsel 58 einen ersten Abschnitt 58A, der in einem Abstand von dem Gate-Band 22 liegt, und einen zweiten Abschnitt 58B, der mit dem ersten Abschnitt 58A verbunden ist. Die Abschnitte 58A und 58B können in manchen Ausführungen eine L-Form bilden. Das Ausbilden des Vorsprungs 22B vergrößert den Anschlussbereich („Landing Area”) des Kontaktstöpsels 58. Im Ergebnis wird das Prozessfenster zum elektrischen Verbinden des Gate-Bandes 22A und des Kontaktstöpsels 36 vergrößert, weil es ermöglicht wird, dass der Kontaktstöpsel 58 nach links wandert und immer noch auf den Vorsprungs 22B trifft. Der Kontaktwiderstand zwischen dem Kontaktstöpsel 58 und dem Gate-Band 22A wird verringert. Kontaktstöpsel 60 sind über den entsprechenden darunter liegenden Gate-Elektroden 134 bzw. 234 ausgebildet und mit ihnen verbunden.
  • Die 5B stellt einen Querschnitt der Struktur in 5A dar, wobei der Querschnitt entlang der die Ebene kreuzenden Linie 5B-5B in 5A erhalten wird. Die 5B stellt dar, dass jede der Gate-Elektroden 34, 134 und 234 und die M0_OD1 36 und 38 elektrisch mit den darüber liegenden entsprechenden Kontaktstöpseln 58, 60 und 62 verbunden sind.
  • Die 5C stellt einen Querschnitt der Struktur in 5A dar, wobei der Querschnitt entlang der die Ebene kreuzenden Linie 5C-5C in 5A erhalten wird. Die 5C zeigt, dass der Kontaktstöpsel 58 einen Abschnitt umfasst, den einen Abschnitt des M0_OD1 36 überlappt und mit ihm verbunden ist, und einen anderen Abschnitt umfasst, der die ILD 23 überlappt und mit ihr verbunden ist. Kombiniert man die 5B und 5C, so zeigt sich, dass der Kontaktstöpsel 58 eine untere Fläche aufweist, die einen ersten Abschnitt, der mit einer oberen Fläche eines Abschnitts des M0_OD1 36 (5B und 5C) in Kontakt ist, einen zweiten Abschnitt, der über einer oberen Fläche der ILD 23 (5C) liegt und mit dieser in Kontakt ist, und einen dritten Abschnitt, der über dem Vorsprung 22B und möglicherweise dem Gate-Band-Abschnitt 22A (5B) liegt und mit diesen in Kontakt ist, umfasst.
  • In nachfolgenden Verfahren sind, wie in der 6 gezeigt ist, eine ESL 63, M0-Vias 66 (auch als Durchkontaktierungen bezeichnet) und Metallleitungen 68 in einer unteren Metallschicht M1 ausgebildet. Die M0-Vias 66 und die Metallleitungen 68 sind in einer dielektrischen Schicht 64 ausgebildet, wobei die dielektrische Schicht 64 aus einem low-k-Dielektrikum ausgebildet sein kann, das einen k-Wert aufweist, der niedriger als etwa 3,0 oder beispielsweise niedriger als etwa 2,5 ist. In manchen Ausführungen werden die M0-Vias 66 und die Metallleitungen 68 mittels eines dualen Damaszierverfahrens (Dual Damascene Process) ausgebildet und daher werden keine erkennbaren Grenzflächen zwischen den M0-Kontaktlöchern 66 und den entsprechenden darüber liegenden Metallleitungen 68 ausgebildet.
  • In alternativen Ausführungen können die M0-Vias 66 mittels eines einzelnen Damaszierverfahrens (Single Damascene Process) ausgebildet sein und auch die Metallleitungen können mittels eines einzelnen Damaszierverfahrens ausgebildet sein. In einem nachfolgenden Verfahren können mehr Metallschichten (nicht gezeigt) über der Metallschicht M1 ausgebildet werden. Die M0-Vias 66 und die Metallleitungen 68 können eine Diffusions-Sperrschicht und ein Kupfer enthaltendes Material über der Diffusions-Sperrschicht umfassen.
  • Das Gate-Band 22, der M0_OD1 36 und der Kontaktstöpsel 58 können eine Isolierstruktur bilden, indem der MOS-Transistor 100, der die Gate-Elektrode 34 als das Gate aufweist, ausgeschaltet wird. In diesen Ausführungen kann eine Spannung Vbias, die an die Gate-Elektrode 34 angelegt wird, mit VSS verbunden werden, was die elektrische Erde sein kann, wenn der entsprechende Transistor 100 ein NMOS-Transistor ist. Die Spannung Vbias kann durch eine Spannungsquelle 70 bereitgestellt sein, die konfiguriert ist, die konstante Spannung Vbias bereitzustellen, um den MOS-Transistor 100 auszuschalten. In anderen Ausführungen kann die Spannung Vbias eine positive Spannung sein, die niedriger als die Schwellenspannung des MOS-Transistors ist. Alternativ kann die Spannung Vbias, die an die Gate-Elektrode 34 angelegt wird, mit der VDD verbunden sein, wenn der entsprechende Transistor ein PMOS-Transistor ist. Auf der anderen Seite können die Gate-Elektroden 134 und 234 und die Source/Drain-Bereiche 42 funktionale MOS-Transistoren 200 und 300 bilden, die an- und ausgeschaltet werden können, abhängig von der Spannung, die daran angelegt wird. Der MOS-Transistor 100 wirkt also als die Isolierstruktur für die MOS-Transistoren 200 und 300.
  • In den Ausführungen kann, indem der Vorsprung 22B ausgebildet wird, der rechte Rand des Kontaktstöpsels 58 so gestaltet sein, dass er nach links verschoben wird (6), ohne dass die Sorge besteht, dass der Kontaktstöpsel 58 nicht elektrisch mit der Gate-Elektrode 34 verbunden ist. Das Prozessfenster wird somit vergrößert. Somit wird, wenn der Kontaktstöpsel 58 schon nach links verschoben ist, selbst wenn Verfahrensabweichungen auftreten und der Kontaktstöpsel 58 unerwünscht nach rechts verschoben wird, der Kontaktstöpsel 58 nicht nachteilig auf die rechte Seite des rechten Randes des Gate-Bandes 22A verschoben. Dies vermeidet das Problem, dass dann, wenn der Kontaktstöpsel 58 unerwünscht auf die rechte Seite des rechten Randes des Gate-Bandes 22A verschoben wird, bei dem Ausbilden der Öffnung zum Füllen des Kontaktstöpsels 58 die ILD 23 durchgeätzt werden kann und der Kontaktstöpsel 58 mit dem Source-/Drain-Bereich 42, der auf der rechten Seite der Gate-Elektrode 34 liegt, kurzgeschlossen werden kann.
  • Die Erfindung löst die Aufgabe durch eine Vorrichtung gemäß Anspruch 1, ein Verfahren nach Anspruch 14 oder 18. Besondere Ausgestaltungen ergeben sich aus den Unteransprüchen.

Claims (18)

  1. Vorrichtung, die Folgendes umfasst: einen aktiven Bereich in einem Halbleitersubstrat; ein Gate-Band, das über dem aktiven Bereich liegt und diesen quert und einen Band-Abschnitt und einen Vorsprung aufweist, wobei der Vorsprung über dem aktiven Bereich liegt und mit dem Band-Abschnitt verbunden ist, um mit diesem einen zusammenhängenden Bereich zu bilden, wobei der Vorsprung auf einer ersten Seite des Gate-Bandes liegt; einen ersten Kontaktstöpsel auf derselben Ebene wie das Gate-Band, wobei der erste Kontaktstöpsel auf der ersten Seite des Gate-Bandes liegt; und einen zweiten Kontaktstöpsel über dem Vorsprung und dem ersten Kontaktstöpsel, wobei der zweite Kontaktstöpsel den ersten Kontaktstöpsel und den Vorsprung elektrisch verbindet, wobei der zweite Kontaktstöpsel eine untere Fläche aufweist, die Folgendes umfasst: einen ersten Abschnitt, der mit einer oberen Fläche eines Abschnitts des ersten Kontaktstöpsels in Kontakt ist; einen zweiten Abschnitt, der mit einer oberen Fläche einer dielektrischen Zwischenschicht in Kontakt ist; und einen dritten Abschnitt, der mit einer oberen Fläche des Vorsprungs in Kontakt ist, dadurch gekennzeichnet, dass der Band-Abschnitt und und der Vorsprung den zusammenhängenden Bereich bilden, ohne dass dazwischen eine Grenzschicht liegt.
  2. Vorrichtung nach Anspruch 1, die Folgendes umfasst: die dielektrische Zwischenschicht als eine erste dielektrische Zwischenschicht, ILD, wobei der Band-Abschnitt und der Vorsprung in der ersten ILD angeordnet sind; eine Ätzstopp-Schicht über der ersten ILD, dem Gate-Band und dem ersten Kontaktstöpsel; und eine zweite ILD über der Ätzstopp-Schicht, wobei ein oberer Abschnitt des zweiten Kontaktstöpsels in der zweiten ILD angeordnet ist.
  3. Vorrichtung nach Anspruch 1 oder 2, wobei der zweite Kontaktstöpsel eine L-Form aufweist, die einen ersten Schenkel und einen zweiten Schenkel, der mit dem ersten Schenkel verbunden ist, aufweist, wobei der erste Schenkel mit dem ersten Kontaktstöpsel in Kontakt ist und der zweite Schenkel mit dem Vorsprung in Kontakt ist.
  4. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei eine Gesamtheit des Vorsprungs den aktiven Bereich überlappt und wobei das Gate-Band Folgendes umfasst: einen ersten Abschnitt, der den aktiven Bereich überlappt; und zweite Abschnitte, die Isolierbereiche auf entgegengesetzten Seiten des aktiven Bereichs überlappen.
  5. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei der Vorsprung und der Band-Abschnitt zusammen Folgendes bilden: eine Gate-Elektrode, die einen ersten Abschnitt in dem Vorsprung und einen zweiten Abschnitt in dem Band-Abschnitt aufweist, wobei der erste und der zweite Abschnitt aus dem gleichen Material ausgebildet sind, ohne dass dazwischen eine Grenzschicht liegt; und ein Gate-Dielektrikum, das Folgendes umfasst: einen unteren Abschnitt, der unter dem Vorsprung und dem Band-Abschnitt liegt; und Seitenwand-Abschnitte auf Seitenwänden der Gate-Elektrode.
  6. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei der Vorsprung eine erste Breite aufweist, der Band-Abschnitt eine zweite Breite aufweist und wobei ein Verhältnis der ersten Breite zu der zweiten Breite größer als etwa 0,13 ist.
  7. Vorrichtung nach einem der vorhergehenden Ansprüche, die weiter zwei zusätzliche Gate-Bänder auf entgegengesetzten Seiten des Gate-Bandes umfasst, die über dem aktiven Bereich liegen und diesen queren.
  8. Vorrichtung nach Anspruch 1, die Folgendes umfasst: ein erstes Gate-Band, das Gate-Band als ein zweites Gate-Band und ein drittes Gate-Band, die parallel zu einander sind und über dem aktiven Bereich liegen und diesen queren, wobei das zweite Gate-Band zwischen dem ersten Gate-Band und dem dritten Gate-Band liegt, wobei der Vorsprung zwischen dem ersten Gate-Band und dem zweiten Gate-Band liegt und wobei der Vorsprung und der Band-Abschnitt zusammen Folgendesbilden eine zusammenhängende Gate-Elektrode; und ein zusammenhängendes Gate-Dielektrikum, das einen ersten Abschnitt umfasst, der durch die zusammenhängende Gate-Elektrode überlappt ist, und einen zweiten Abschnitt auf einer Seitenwand der zusammenhängenden Gate-Elektrode; einen Source/Drain-Bereich in dem aktiven Bereich und zwischen dem ersten Gate-Band und dem zweiten Gate-Band; den ersten Kontaktstöpsel auf derselben Ebene wie das erste Gate-Band, wobei der erste Kontaktstöpsel über dem Source-/Drain-Bereich liegt und mit diesem verbunden ist; und den zweiten Kontaktstöpsel, der über dem ersten Kontaktstöpsel und dem Vorsprung liegt und diese verbindet.
  9. Vorrichtung nach Anspruch 8, wobei das zweite Gate-Band einen ersten und einen zweiten Rand des aktiven Bereichs überlappt, wobei der erste und der zweite Rand entgegengesetzte Ränder des aktiven Bereichs sind und wobei in einer Draufsicht der Vorrichtung eine Gesamtheit des Vorsprungs näher an dem ersten Rand liegt als eine Gesamtheit des Kontaktstöpsels und wobei eine Gesamtheit von Abschnitten des ersten Kontaktstöpsels, die den aktiven Bereich überlappen, näher an dem zweiten Rand liegen als eine Gesamtheit des Vorsprungs.
  10. Vorrichtung nach einem der vorhergehenden Ansprüche 8 bis 9, die weiter Folgendes umfasst: die dielektrische Zwischenschicht als eine erste dielektrische Zwischenschicht, ILD, wobei der Band-Abschnitt und der Vorsprung in der ersten ILD angeordnet sind; eine Ätzstopp-Schicht über der ersten ILD, den Gate-Bändern und dem ersten Kontaktstöpsel; und eine zweite ILD über der Ätzstopp-Schicht, wobei der zweite Kontaktstöpsel in der zweiten ILD angeordnet ist.
  11. Vorrichtung nach einem der vorhergehenden Ansprüche 8 bis 10, wobei der Vorsprung eine erste Breite aufweist, der Band-Abschnitt eine zweite Breite aufweist und wobei die erste Breite und die zweite Breite senkrecht zu einer Längsrichtung des zweiten Gate-Bandes gemessen sind und wobei ein Verhältnis der ersten Breite zu der zweiten Breite größer als etwa 0,13 ist.
  12. Vorrichtung nach einem der vorhergehenden Ansprüche 8 bis 11, wobei der zweite Kontaktstöpsel eine L-Form aufweist, die einen ersten Schenkel und einen zweiten Schenkel, der mit dem ersten Schenkel verbunden ist, umfasst, wobei der erste Schenkel in Kontakt mit dem ersten Kontaktstöpsel ist und der zweite Schenkel in Kontakt mit dem Vorsprung ist.
  13. Vorrichtung nach einem der vorhergehenden Ansprüche 8 bis 12, wobei eine Gesamtheit des Vorsprungs den aktiven Bereich überlappt und wobei das zweite Gate-Band Abschnitte umfasst, die Isolierbereiche auf entgegengesetzten Seiten des aktiven Bereichs überlappen.
  14. Verfahren, das Folgendes umfasst: Ausbilden eines Gate-Bandes einer MOS-Vorrichtung, wobei die MOS-Vorrichtung einen Band-Abschnitt und einen Vorsprung über einem aktiven Bereich umfasst, wobei der Band-Abschnitt und der Vorsprung zusammen Folgendes bilden: eine zusammenhängende Gate-Elektrode, die einen ersten Abschnitt in dem Band-Abschnitt und einen zweiten Abschnitt in dem Vorsprung ohne eine Grenzschicht dazwischen aufweist; und ein zusammenhängendes Gate-Dielektrikum, das einen ersten Abschnitt in dem Band-Abschnitt und einen zweiten Abschnitt in dem Vorsprung aufweist; Ausbilden einer ersten dielektrischen Zwischenschicht ILD, wobei das Gate-Band in der ersten ILD liegt; Ausbilden eines ersten Kontaktstöpsels in der ersten ILD, der mit einem Source/Drain-Bereich der MOS-Vorrichtung verbunden ist; Ausbilden einer zweiten ILD über der ersten ILD; Ausbilden einer Öffnung in der zweiten ILD, wobei ein Abschnitt des ersten Kontaktstöpsels, ein Abschnitt des Vorsprungs und ein Abschnitt der ersten ILD zwischen dem Vorsprung und dem ersten Kontaktstöpsel durch die Öffnung freigelegt werden; und Füllen der Öffnung, um einen zweiten Kontaktstöpsel auszubilden.
  15. Verfahren nach Anspruch 14, wobei der Schritt des Ausbildens der Öffnung Folgendes umfasst: Ausführen eines ersten Ätzschrittes auf der zweiten ILD, um eine erste Öffnung in der zweiten ILD auszubilden, wobei der Abschnitt des ersten Kontaktstöpsels durch die erste Öffnung freigelegt wird; und Ausführen eines zweiten Ätzschrittes auf der zweiten ILD, um den Abschnitt des Vorsprungs freizulegen, wobei der Abschnitt des Vorsprungs durch die zweite Öffnung freigelegt wird und wobei die erste Öffnung und die zweite Öffnung mit einander verbunden werden, um die Öffnung zu bilden.
  16. Verfahren nach Anspruch 14, wobei der Band-Abschnitt und der Vorsprung gleichzeitig in gleichen Verfahrensschritten ausgebildet werden.
  17. Verfahren nach Anspruch 14, wobei das Gate-Band einen ersten Rand und einen zweiten Rand des aktiven Bereichs überlappt, wobei der erste und der zweite Rand entgegengesetzte Ränder des aktiven Bereichs sind und wobei in einer Draufsicht der MOS-Vorrichtung eine Gesamtheit des Vorsprungs den aktiven Bereich überlappt.
  18. Verfahren zum Betreiben der nach Anspruch 14 hergestellten Vorrichtung, das weiter das Anschließen der zusammenhängenden Gate-Elektrode an eine konstante Spannung umfasst, um die MOS-Vorrichtung auszuschalten.
DE102013104236.8A 2012-11-16 2013-04-26 Halbleitervorrichtung, verfahren zur herstellung derselben und verfahren zum betreiben derseleben Active DE102013104236B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/679,238 2012-11-16
US13/679,238 US8901627B2 (en) 2012-11-16 2012-11-16 Jog design in integrated circuits

Publications (2)

Publication Number Publication Date
DE102013104236A1 DE102013104236A1 (de) 2014-05-22
DE102013104236B4 true DE102013104236B4 (de) 2017-03-02

Family

ID=50625692

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013104236.8A Active DE102013104236B4 (de) 2012-11-16 2013-04-26 Halbleitervorrichtung, verfahren zur herstellung derselben und verfahren zum betreiben derseleben

Country Status (3)

Country Link
US (3) US8901627B2 (de)
DE (1) DE102013104236B4 (de)
TW (1) TWI523234B (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
US9076845B2 (en) * 2013-10-03 2015-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a high density dielectric etch-stop layer
US10998228B2 (en) 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US9640444B2 (en) 2014-07-23 2017-05-02 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10361195B2 (en) * 2014-09-04 2019-07-23 Samsung Electronics Co., Ltd. Semiconductor device with an isolation gate and method of forming
US20160079167A1 (en) * 2014-09-12 2016-03-17 Qualcomm Incorporated Tie-off structures for middle-of-line (mol) manufactured integrated circuits, and related methods
US9620454B2 (en) * 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
US9761526B2 (en) * 2016-02-03 2017-09-12 Globalfoundries Inc. Interconnect structure having tungsten contact copper wiring
US10157827B2 (en) * 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
CN107799524B (zh) * 2016-09-06 2020-10-09 中芯国际集成电路制造(北京)有限公司 半导体装置、存储器件以及制造方法
US10777671B2 (en) 2016-09-30 2020-09-15 Intel Corporation Layered spacer formation for ultrashort channel lengths and staggered field plates
US10388644B2 (en) 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10692808B2 (en) 2017-09-18 2020-06-23 Qualcomm Incorporated High performance cell design in a technology with high density metal routing
CN110233131B (zh) * 2018-03-02 2022-07-19 中芯国际集成电路制造(上海)有限公司 金属插塞以及金属插塞的形成方法
CN111916391A (zh) * 2019-05-09 2020-11-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113809073B (zh) * 2020-08-31 2024-03-22 台湾积体电路制造股份有限公司 具有有源区域凹凸部的集成电路
US11658215B2 (en) * 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060097294A1 (en) * 2004-11-10 2006-05-11 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2006120952A (ja) * 2004-10-22 2006-05-11 Fuji Electric Holdings Co Ltd Mis型半導体装置
US7724046B2 (en) * 2006-05-22 2010-05-25 Texas Instrumentsdeutschland Gmbh High side/low side driver device for switching electrical loads
US20120001271A1 (en) * 2010-06-30 2012-01-05 Samsung Electronics Co., Ltd. Gate electrode and gate contact plug layouts for integrated circuit field effect transistors

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466490B2 (en) * 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US8258057B2 (en) * 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US20110316117A1 (en) * 2007-08-14 2011-12-29 Agency For Science, Technology And Research Die package and a method for manufacturing the die package
JP5434360B2 (ja) * 2009-08-20 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
US8217469B2 (en) * 2009-12-11 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact implement structure for high density design
US8367508B2 (en) * 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
KR20120124788A (ko) * 2011-05-04 2012-11-14 삼성전자주식회사 반도체 소자
US8455932B2 (en) * 2011-05-06 2013-06-04 International Business Machines Corporation Local interconnect structure self-aligned to gate structure
US8803292B2 (en) * 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US20130307032A1 (en) * 2012-05-16 2013-11-21 Globalfoundries Inc. Methods of forming conductive contacts for a semiconductor device
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006120952A (ja) * 2004-10-22 2006-05-11 Fuji Electric Holdings Co Ltd Mis型半導体装置
US20060097294A1 (en) * 2004-11-10 2006-05-11 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7724046B2 (en) * 2006-05-22 2010-05-25 Texas Instrumentsdeutschland Gmbh High side/low side driver device for switching electrical loads
US20120001271A1 (en) * 2010-06-30 2012-01-05 Samsung Electronics Co., Ltd. Gate electrode and gate contact plug layouts for integrated circuit field effect transistors

Also Published As

Publication number Publication date
US20150087143A1 (en) 2015-03-26
TWI523234B (zh) 2016-02-21
US9691721B2 (en) 2017-06-27
TW201421692A (zh) 2014-06-01
DE102013104236A1 (de) 2014-05-22
US9355912B2 (en) 2016-05-31
US20140138750A1 (en) 2014-05-22
US8901627B2 (en) 2014-12-02
US20160276297A1 (en) 2016-09-22

Similar Documents

Publication Publication Date Title
DE102013104236B4 (de) Halbleitervorrichtung, verfahren zur herstellung derselben und verfahren zum betreiben derseleben
DE102014108872B4 (de) Selbstausgerichtete Verbindung mit Schutzschicht
DE102016117054B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102018101652B4 (de) Integrierte Schaltungsvorrichtung und Verfahren zum Herstellen derselben
DE102017123958B4 (de) Halbleitervorrichtung
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102005027234B4 (de) Verfahren zum Bilden einer Verbindungsstruktur für eine Halbleitervorrichtung
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
DE102012219376B4 (de) Halbleitervorrichtung mit lokalen Transistorverbindungsleitungen
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102015120483A1 (de) Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung
DE102016115991A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE10236682A1 (de) Halbleitervorrichtung
DE10162979A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE112007002971T5 (de) Aufbau und Verfahren zum Ausbilden eines planaren Schottky-Kontakts
DE102018111376B4 (de) Integrierte Schaltungsvorrichtungen
DE102019210597B4 (de) Verfahren zum Bilden von Abstandhaltern neben Gatestrukturen einer Transistorvorrichtung und integriertes Schaltungsprodukt
DE102016114923B4 (de) Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung
DE102021134457A1 (de) Verfahren und strukturen zum kontaktieren des abschirmleiters in einer halbleitervorrichtung
DE102019205807B4 (de) Aktivgate-Kontakte und Verfahren zur Herstellung davon
DE102020116563A1 (de) Halbleitervorrichtung und verfahren zur herstellung derselben
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE10347458B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung und nach dem Verfahren hergestellte Halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final