DE102016114923B4 - Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung - Google Patents

Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung Download PDF

Info

Publication number
DE102016114923B4
DE102016114923B4 DE102016114923.3A DE102016114923A DE102016114923B4 DE 102016114923 B4 DE102016114923 B4 DE 102016114923B4 DE 102016114923 A DE102016114923 A DE 102016114923A DE 102016114923 B4 DE102016114923 B4 DE 102016114923B4
Authority
DE
Germany
Prior art keywords
dielectric layer
interlayer dielectric
resistance wire
dummy
dummy gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016114923.3A
Other languages
English (en)
Other versions
DE102016114923A1 (de
Inventor
Chia-Hsin Hu
Yu-Chiun Lin
Yi-Hsuan CHUNG
Chung-Peng Hsieh
Chung-Chieh Yang
Po-Nien Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016114923A1 publication Critical patent/DE102016114923A1/de
Application granted granted Critical
Publication of DE102016114923B4 publication Critical patent/DE102016114923B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Halbleiter-Bauelement mit:einer Dummy-Finnenstruktur (5), die über einem Substrat (1) angeordnet ist;einer Dummy-Gate-Struktur (10), die über einem Teil der Dummy-Finnenstruktur (5) angeordnet ist;einer ersten Zwischenschicht-Dielektrikum-Schicht (60), in die die Dummy-Gate-Struktur (10) eingebettet ist;einer zweiten Zwischenschicht-Dielektrikum-Schicht (100), die über der ersten Zwischenschicht-Dielektrikum-Schicht (60) angeordnet ist; undeinem Widerstandsdraht (200), der aus einem leitenden Material besteht und in die zweite Zwischenschicht-Dielektrikum-Schicht (100) eingebettet ist, wobei der Widerstandsdraht (200) von der Dummy-Gate-Struktur (10) durch einen unteren Teil (100A) der zweiten Zwischenschicht-Dielektrikum-Schicht (100) getrennt ist und ein oberer Teil der zweiten Zwischenschicht-Dielektrikum-Schicht (100) auf einer Oberseite des Widerstandsdrahts (200) angeordnet ist, wobei der Widerstandsdraht (200) in der Draufsicht die Dummy-Gate-Struktur (10) überlappt.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung eines Halbleiter-Bauelements und insbesondere eine Struktur und ein Herstellungsverfahren für einen Widerstandsdraht über darunter befindlichen Strukturen.
  • Hintergrund der Erfindung
  • In einem Halbleiter-Bauelement, wie etwa einem integrierten Schaltkreis (IC) oder einem LSI-Schaltkreis (LSI: large-scale integration; hoher Integrationsgrad), werden viele Widerstände verwendet. Einige der Widerstände werden durch Herstellen von Diffusionsbereichen in einem Substrat gebildet, und andere werden von leitenden Schichten gebildet, die in oberen Schichten über den darunter befindlichen Strukturen hergestellt werden. Mit der Verringerung der Abmessungen von Halbleiter-Bauelementen sind effizientere Layouts für die Widerstände erforderlich geworden.
  • Figurenliste
  • Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1A ist eine beispielhafte Draufsicht (von oben betrachtet), die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 1B zeigt eine beispielhafte Schnittansicht entlang der Linie a - a' von 1A.
    • 2A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 2B zeigt eine beispielhafte Schnittansicht entlang der Linie b - b' von 2A.
    • 3A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 3B zeigt eine beispielhafte Schnittansicht entlang der Linie c - c' von 3A.
    • 4A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einem Vergleichsbeispiel zeigt. 4B zeigt eine beispielhafte Schnittansicht entlang der Linie d - d' von 4A.
    • 5A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 5B ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einem Vergleichsbeispiel zeigt. 5C zeigt die Änderung der Widerstandswerte in Abhängigkeit von der Größe der Widerstände.
    • Die 6A bis 9A zeigen verschiedene Stufen des sequentiellen Herstellungsprozesses für ein Halbleiter-Bauelement gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 9B zeigt eine beispielhafte Schnittansicht einer weiteren Ausführungsform der vorliegenden Erfindung.
    • Die 10 bis 13 zeigen verschiedene Stufen des sequentiellen Herstellungsprozesses für ein Halbleiter-Bauelement gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 14 zeigt eine beispielhafte Schnittansicht einer weiteren Ausführungsform der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Es dürfte klar sein, dass die nachstehende Beschreibung viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereitstellt. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel sind die Abmessungen von Elementen nicht auf den angegebenen Bereich oder die angegebenen Werte beschränkt, sondern können von Prozessbedingungen und/oder gewünschten Eigenschaften des Bauelements abhängig sein. Außerdem kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Verschiedene Elemente können der Einfachheit und Übersichtlichkeit halber beliebig in verschiedenen Maßstäben gezeichnet sein.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden. Darüber hinaus kann der Begriff „(hergestellt) aus“ entweder „weist auf“ oder „besteht aus“ bedeuten.
  • 1A ist eine beispielhafte Draufsicht (von oben betrachtet), die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 1B zeigt eine beispielhafte Schnittansicht entlang der Linie a - a' von 1A.
  • In den 1A und 1B wird ein Widerstandsdraht 200 bereitgestellt, der in der Y-Richtung verläuft. Der Widerstandsdraht 200 besteht aus einem leitenden Material, wie etwa einem Metall oder einem Metallnitrid. Da der Widerstandsdraht 200 ein Widerstand ist, ist die Leitfähigkeit des Widerstandsdrahts 200 im Vergleich zu anderen Drähten zur Übertragung von Signalen relativ gering. Der spezifische Widerstand des Widerstandsdrahts 200 liegt bei einigen Ausführungsformen in dem Bereich von etwa 1 Ω/□ bis etwa 1000 Ω/□. Das Material für den Widerstandsdraht 200 ist zum Beispiel TiN, TaN oder TiSiN.
  • Die Größe und Dicke des Widerstandsdrahts 200 können sich in Abhängigkeit von dem Verwendungszweck des Widerstandsdrahts 200 ändern.
  • Wie in 1A gezeigt ist, weist der Widerstandsdraht 200 Kontakte (oder Durchkontaktierungen) 300 auf, damit der Widerstandsdraht 200 über Metalldrähte in der oberen Schicht mit anderen Schaltkreiselementen verbunden werden kann. Bei einigen Ausführungsformen sind nur vier Kontakte 300A, 300B, 300C und 300D an dem Widerstandsdraht 200 vorgesehen. Die Kontakte 300A und 300D dienen zum Bereitstellen eines Stromflusses dazwischen, und die Kontakte 300B und 300C dienen zum Messen einer Spannung oder zum Erzielen eines Spannungsabfalls.
  • In den 1A und 1B sind zwei Widerstandsdrähte 200 gezeigt, die in der X-Richtung angeordnet sind. Darüber hinaus sind Dummy-Drahtstrukturen 200D auf beiden Seiten der Widerstandsdrähte 200 entlang der X-Richtung angeordnet, um die Formtreue der Struktur bei Strukturierungsprozessen, wie etwa Lithografie und Ätzen, zu verbessern.
  • Das Layout des Widerstandsdrahts 200 ist jedoch nicht auf diese Figuren beschränkt. Die Anzahl der Widerstandsdrähte 200 kann auch nur eins oder drei oder mehr mit (und zwischen) oder ohne zwei Dummy-Drahtstrukturen betragen.
  • Wie in den 1A und 1B gezeigt ist, sind genau unter dem Widerstandsdraht 200 eine Dummy-Finnenstruktur 5, Dummy-Gate-Strukturen 10 und Dummy-Source-/Drain(S/D)-Strukturen 50 über einem Substrat 1 angeordnet. Wie in 1A gezeigt ist, überlappt der Widerstandsdraht 200 die Dummy-Finnenstruktur 5 und die Dummy-Gate-Strukturen 10 in der Draufsicht. Mit anderen Worten, der Widerstandsdraht 200 ist an die Dummy-Finnenstruktur 5 entlang der Y-Richtung angepasst. Die Dummy-Gate-Strukturen 10 können jeweils eine dielektrische Dummy-Gate-Schicht und eine Dummy-Gate-Elektrodenschicht aufweisen. Bei der vorliegenden Erfindung bedeutet „Dummy“-Element, dass das Element keine elektrische Funktion hat oder kein Bestandteil einer funktionsfähigen Schaltung ist, und „Draufsicht“ bedeutet eine Ansicht entlang der Normalen (der Z-Richtung) des Substrats 1 zu dem Substrat 1 von oben.
  • Bei einer Ausführungsform sind mehrere Dummy-Gate-Strukturen 10 über einem Teil der Dummy-Finnenstruktur 5 angeordnet. Die mehreren Dummy-Gate-Strukturen 10 verlaufen in der Y-Richtung, und die Dummy-Finnenstruktur 5 verläuft in der X-Richtung. Wie in 1A gezeigt ist, sind mehrere Dummy-Finnenstrukturen 5 unter einem einzigen Widerstandsdraht 200 angeordnet und sind entlang der Y-Richtung ausgerichtet. Die Anzahl der Dummy-Finnenstrukturen je Widerstandsdraht ist nicht auf zwei beschränkt und sie kann eins oder drei oder mehr betragen.
  • Bei einer Ausführungsform sind mehrere Dummy-Gate-Strukturen 10 (und somit mehrere Dummy-Gate-Elektrodenschichten) über einer einzigen Dummy-Finnenstruktur 5 angeordnet, wie in den 1A und 1B gezeigt ist. Die Anzahl der Dummy-Gate-Strukturen kann jedoch auch nur eins je Dummy-Finnenstruktur oder mehr als drei je Dummy-Finnenstruktur betragen. Wie in 1A gezeigt ist, überlappt der Widerstandsdraht 200 alle drei Dummy-Gate-Strukturen in der Draufsicht. Bei einigen Ausführungsformen verlaufen die Dummy-Gate-Strukturen 10 in der Y-Richtung und sind über zwei oder mehr Dummy-Finnenstrukturen 5 angeordnet.
  • In der Draufsicht sind die Dummy-Finnenstruktur 5 und die Dummy-Gate-Strukturen 10 zwischen einem Kontaktpaar 300A und 300D und einem Kontaktpaar 300B und 300C angeordnet, und daher überlappen die Kontakte 300 nicht die Dummy-Gate-Strukturen 10. Bei weiteren Ausführungsformen überlappt jedoch mindestens einer der Kontakte 300 die Dummy-Gate-Strukturen 10.
  • Wie in 1B gezeigt ist, ist die Dummy-Finnenstruktur 5 über dem Substrat 1 angeordnet, die Dummy-Gate-Strukturen 10 sind über einem Teil der Dummy-Finnenstruktur 5 angeordnet, und eine Trennungsisolierschicht 40 ist ebenfalls über dem Substrat 1 angeordnet. Die Dummy-Finnenstruktur 5 ist teilweise in die Trennungsisolierschicht 40 eingebettet. Darüber hinaus ist eine erste ILD-Schicht (ILD: inter-layer dielectric; Zwischenschicht-Dielektrikum) 60 über der Dummy-Finnenstruktur 5 angeordnet. Die Dummy-Gate-Strukturen 10 sind in die erste ILD-Schicht 60 eingebettet. Außerdem ist eine zweite ILD-Schicht 100 über der ersten ILD-Schicht 60 angeordnet. Der Widerstandsdraht 200 ist in die zweite ILD-Schicht 100 eingebettet. Darüber hinaus ist eine dritte ILD-Schicht 120 über der zweiten ILD-Schicht 100 angeordnet. Der Kontakt 300 ist in der zweiten und der dritten ILD-Schicht hergestellt. Bei einigen Ausführungsformen ist der Kontakt 300 nur in der zweiten ILD-Schicht 100 hergestellt.
  • 2A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 2B zeigt eine beispielhafte Schnittansicht entlang der Linie b - b' von 2A.
  • Das Layout und die Strukturen der 2A und 2B sind im Wesentlichen die Gleichen wie bei den 1A und 1B, mit der Ausnahme, dass der Widerstandsdraht 200 entlang der Z-Richtung verläuft. Wie in 2B gezeigt ist, ist die Dummy-Finnenstruktur 5 über dem Substrat 1 angeordnet, die Dummy-Gate-Strukturen 10 sind über einem Teil der Dummy-Finnenstruktur 5 angeordnet, und eine Trennungsisolierschicht 40 ist ebenfalls über dem Substrat 1 angeordnet. Die Dummy-Finnenstruktur 5 ist teilweise in die Trennungsisolierschicht 40 eingebettet. Darüber hinaus ist eine erste ILD-Schicht 60 über der Dummy-Finnenstruktur 5 angeordnet. Die Dummy-Gate-Strukturen 10 sind in die erste ILD-Schicht 60 eingebettet. Außerdem ist eine zweite ILD-Schicht 100 über der ersten ILD-Schicht 60 angeordnet. Darüber hinaus ist eine dritte ILD-Schicht 120 über der zweiten ILD-Schicht 100 angeordnet. Der Widerstandsdraht 200 ist in die dritte ILD-Schicht 120 statt in die zweite ILD-Schicht 100 eingebettet. Außerdem ist eine vierte ILD-Schicht 130 über der dritten ILD-Schicht 120 angeordnet. Der Kontakt 300 ist in der dritten und der vierten ILD-Schicht hergestellt. Bei einigen Ausführungsformen ist der Kontakt 300 nur in der dritten ILD-Schicht 120 hergestellt.
  • Im Gegensatz zu der Struktur von 1B ist in der Struktur von 2B eine weitere ILD-Schicht zwischen die ILD-Schicht, in der der Widerstandsdraht 200 angeordnet ist, und die ILD-Schicht geschichtet, in der die Dummy-Gate-Strukturen angeordnet sind. Bei weiteren Ausführungsformen sind mehr als eine weitere ILD-Schicht zwischen die ILD-Schicht, in der der Widerstandsdraht 200 angeordnet ist, und die ILD-Schicht geschichtet, in der die Dummy-Gate-Strukturen angeordnet sind.
  • 3A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. 3B zeigt eine beispielhafte Schnittansicht entlang der Linie c - c' von 3A.
  • Das Layout und die Strukturen der 3A und 3B sind im Wesentlichen die Gleichen wie bei den 2A und 2B, mit Ausnahme der Position des Widerstandsdrahts 200 entlang der X-Richtung und der Anzahl der Dummy-Gate-Strukturen.
  • Wie in 3A gezeigt ist, überlappt in der Draufsicht der Widerstandsdraht 200 teilweise die Dummy-Finnenstruktur 5. Mit anderen Worten, der Rand (z. B. der rechte Rand) des Widerstandsdrahts 200 ist in Bezug zu dem Rand (z. B. dem rechten Rand) der Dummy-Finnenstruktur 5 in der X-Richtung verschoben. Darüber hinaus überlappt der Widerstandsdraht 200 zwei der Dummy-Gate-Strukturen, die über einer einzigen Dummy-Finnenstruktur angeordnet sind, er überlappt eine der Dummy-Gate-Strukturen, die über ein und derselben Dummy-Finnenstruktur angeordnet sind, teilweise und er überlappt eine der Dummy-Gate-Strukturen, die über ein und derselben Dummy-Finnenstruktur angeordnet sind, nicht.
  • Die Verschiebung D1 beträgt 0 ≤ D1 ≤ 0,5 W1 wobei W1 die Breite der Dummy-Finnenstruktur 5 in der Y-Richtung ist. Wenn die Verschiebung D1 null ist, überlappt der Widerstandsdraht 200 in der Draufsicht vollständig die Dummy-Finnenstruktur 5.
  • 4A ist eine beispielhafte Draufsicht, die eine Layout-Struktur eines Halbleiter-Bauelements gemäß einem Vergleichsbeispiel zeigt. 4B zeigt eine beispielhafte Schnittansicht entlang der Linie d - d' von 4A.
  • In dem Vergleichsbeispiel überlappt der Widerstandsdraht 200 in der Draufsicht nicht die Dummy-Finnenstruktur 5 und die Dummy-Gate-Strukturen. Der Widerstandsdraht 200 ist in der Draufsicht in einem Bereich zwischen den Dummy-Finnenstrukturen angeordnet.
  • Vergleicht man das Layout der 1A und 2A mit dem von 4A, wird klar, dass die Breite in der X-Richtung verringert werden kann. Bei einer Ausführungsform beträgt die Breite X1 in den 1A und 2A etwa 80 % bis 90 % der Breite X2 von 4A.
  • Darüber hinaus kann, wie in 5A gezeigt ist, in einer Anordnung von Widerstandsdrähten durch Platzieren aller Dummy-Finnen- und Dummy-Gate-Strukturen unter den Widerstandsdrähten (unter Verwendung der Layouts der 1A und 1B) die Fläche der Anordnung verringert werden. Bei einer Ausführungsform beträgt die Breite X3 von 5A etwa 80 % bis 90 % der Breite X4 von 5B, in der das Layout von 4A verwendet wird, und die Breite Y3 von 5A beträgt etwa 80 % bis 90 % der Breite Y4 von 5B.
  • 5C zeigt die Änderung der Widerstandswerte in Abhängigkeit von der Größe der Widerstände. Die horizontale Achse gibt den Kehrwert der Quadratwurzel aus der Fläche des Widerstandsdrahts an, und die vertikale Achse gibt die Änderung σ der Differenz der spezifischen Widerstände an.
  • In 5C entspricht „Nicht-Stapel“ der Struktur der 4A und 4B, „2-Schicht-Stapel“ entspricht der Struktur der 1A und 1B, und „3-Schicht-Stapel“ entspricht der Struktur der 2A und 2B.
  • Wenn die Durchmesser der Widerstandsdrähte groß sind, sind bei allen Strukturen die Änderungen des spezifischen Widerstands gering. Wenn die Durchmesser kleiner werden, werden die Änderungen größer. Insbesondere nehmen die Änderungen bei dem 2-Schicht-Stapel stärker zu als bei den anderen beiden Strukturen. Bei einigen Ausführungsformen beträgt die mittlere Änderung bei dem 2-Schicht-Stapel mindestens das Zweifache der Änderung bei dem 3-Schicht-Stapel. Durch Zwischenschichten einer oder mehrerer weiterer ILD-Schichten zwischen die ILD-Schicht, in der der Widerstandsdraht 200 angeordnet ist, und die ILD-Schicht, in der die Dummy-Gate-Strukturen angeordnet sind, können die Änderungen des spezifischen Widerstands verringert werden und außerdem kann die Fläche der Widerstandsdrahtstruktur verkleinert werden.
  • Die 6A bis 9A zeigen verschiedene Stufen des sequentiellen Herstellungsprozesses für ein Halbleiter-Bauelement gemäß einer Ausführungsform der vorliegenden Erfindung. Es dürfte klar sein, dass weitere Operationen vor, während und nach den Prozessen vorgesehen werden können, die in den 6A bis 9A gezeigt sind, und dass einige der nachstehend beschriebenen Operationen bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Prozesse ist austauschbar.
  • 6A zeigt eine Struktur eines Halbleiter-Bauelements nach der Herstellung von Metall-Gate-Strukturen. 6A zeigt einen Schaltungsbereich, in dem eine funktionsfähige Schaltung angeordnet ist, und einen Widerstandsbereich, in dem ein Widerstandsdraht und eine Dummy-Finnenstruktur und eine Gate-Struktur angeordnet sind. In dem Schaltungsbereich von 6A werden Metall-Gate-Strukturen 9' über einer Kanalschicht, zum Beispiel einem Teil einer Finnenstruktur 5', hergestellt, und Deckisolierschichten 20' sind über den Metall-Gate-Strukturen 9' angeordnet. Die Finnenstruktur 5' ragt aus der Trennungsisolierschicht 40 heraus. Die Dicke der Metall-Gate-Strukturen 9' liegt bei einigen Ausführungsformen in dem Bereich von 15 nm bis 50 nm. Die Dicke der Deckisolierschicht 20' liegt bei einigen Ausführungsformen in dem Bereich von etwa 10 nm bis 30 nm und liegt bei anderen Ausführungsformen in dem Bereich von etwa 15 nm bis etwa 20 nm. Seitenwand-Abstandshalter 30' sind auf Seitenwänden der Metall-Gate-Struktur 9' und der Deckisolierschicht 20' vorgesehen. Die Schichtdicke der Seitenwand-Abstandshalter 30' an ihrer Unterseite liegt bei einigen Ausführungsformen in dem Bereich von etwa 3 nm bis etwa 15 nm und liegt bei anderen Ausführungsformen in dem Bereich von etwa 4 nm bis etwa 10 nm. Die Kombination aus der Metall-Gate-Struktur 9', der Deckisolierschicht 20' und den Seitenwand-Abstandshaltern 30' kann gemeinsam als Gate-Struktur 9' bezeichnet werden. Darüber hinaus werden Source-/Drain-Bereiche (S/D-Bereiche) 50' angrenzend an die Gate-Strukturen hergestellt, und Zwischenräume zwischen den Gate-Strukturen werden mit einer ersten ILD-Schicht 60 gefüllt. Außerdem wird eine Silicidschicht 55', wie etwa WSi, CoSi, NiSi oder TiSi, auf den S/D-Bereichen 50' hergestellt.
  • In dem Widerstandsbereich wird im Wesentlichen die gleiche Struktur hergestellt. Die Dummy-Metall-Gate-Strukturen 9 werden über einer Dummy-Kanalschicht hergestellt, die ein Teil der Dummy-Finnenstruktur 5 ist, und Dummy-Deckisolierschichten 20 werden über den Dummy-Metall-Gate-Strukturen 9 angeordnet. Die Dummy-Finnenstruktur 5 ragt aus der Trennungsisolierschicht 40 heraus. Dummy-Seitenwand-Abstandshalter 30 werden auf Seitenwänden der Dummy-Metall-Gate-Struktur 9 und der Dummy-Deckisolierschicht 20 vorgesehen. Die Kombination aus der Dummy-Metall-Gate-Struktur 9, der Dummy-Deckisolierschicht 20 und den Dummy-Seitenwand-Abstandshaltern 30 kann gemeinsam als Dummy-Gate-Struktur 10 bezeichnet werden. Darüber hinaus werden Dummy-S/D-Bereiche 50 angrenzend an die Dummy-Gate-Strukturen 10 hergestellt, und Zwischenräume zwischen den Dummy-Gate-Strukturen werden mit der ersten ILD-Schicht 60 gefüllt. Außerdem wird eine Dummy-Silicidschicht 55 auf den Dummy-S/D-Bereichen 50 hergestellt.
  • 6B ist eine vergrößerte Darstellung der Metall-Gate-Struktur 10' und der Dummy-Metall-Gate-Struktur 10. Die nachfolgende Beschreibung bezieht sich auf die Metall-Gate-Struktur 10', aber die Dummy-Metall-Gate-Struktur 10 hat im Wesentlichen die gleiche Struktur.
  • Die Metall-Gate-Struktur 10' umfasst eine oder mehrere Schichten 16 aus einem Metallmaterial, wie etwa AI, Cu, W, Ti, Ta, TiN, TiAl, TiAIC, TiAIN, TaN, NiSi, CoSi oder anderen leitenden Materialien. Eine dielektrische Gate-Schicht 12, die zwischen der Kanalschicht und dem Metall-Gate angeordnet ist, umfasst eine oder mehrere Schichten aus Metalloxiden, wie etwa einem High-k-Metalloxid. Beispiele für Metalloxide, die für High-k-Dielektrika verwendet werden, sind Oxide von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, HF, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu und/oder von deren Gemischen.
  • Bei einigen Ausführungsformen werden eine oder mehrere Austrittsarbeits-Einstellungsschichten 14 zwischen die dielektrische Gate-Schicht 12 und das Metallmaterial 16 geschichtet. Die Austrittsarbeits-Einstellungsschichten 14 bestehen aus einem leitenden Material, wie etwa einer Einfachschicht aus TiN, TaN, TaAlC, TiC, TaC, Co, AI, TiAl, HfTi, TiSi, TaSi oder TiAIC, oder einer Mehrfachschicht aus zwei oder mehr dieser Stoffe. Bei einem n-Kanal-FET werden eine oder mehrere der Verbindungen TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi und TaSi als die Austrittsarbeits-Einstellungsschicht verwendet, und bei einem p-Kanal-FET werden eine oder mehrere der Verbindungen TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC und Co als die Austrittsarbeits-Einstellungsschicht verwendet.
  • Die Deckisolierschicht 20' umfasst eine oder mehrere Schichten aus einem Isoliermaterial, wie etwa einem Material auf Siliciumnitrid-Basis, wie etwa SiN, SiCN und SiOCN. Der Seitenwand-Abstandshalter 30' besteht aus einem anderen Material als die Deckisolierschicht 20' und umfasst eine oder mehrere Schichten aus einem Isoliermaterial, wie etwa einem Material auf Siliciumnitrid-Basis, zum Beispiel SiN, SiON, SiCN und SiOCN. Die erste ILD-Schicht 60 umfasst eine oder mehrere Schichten aus einem Isoliermaterial, wie etwa einem Material auf Siliciumoxid-Basis, zum Beispiel Siliciumdioxid (SiO2), SiON, SiCO oder SiOCN, oder aus anderen Low-k-Materialien.
  • Das Material des Seitenwand-Abstandshalters 30', das Material der Deckisolierschicht 20' und das Material der ersten ILD-Schicht 60 sind voneinander verschieden, sodass jede dieser Schichten selektiv geätzt werden kann. Bei einer Ausführungsform besteht der Seitenwand-Abstandshalter 30' aus SiOCN, SiCN oder SiON, die Deckisolierschicht 20' besteht aus SiN, und die erste ILD-Schicht 60 besteht aus SiO2.
  • Bei dieser Ausführungsform werden Finnen-Feldeffekttransistoren (FinFETs) verwendet, die durch einen Gate-Ersetzungsprozess hergestellt werden.
  • 6C zeigt eine beispielhafte perspektivische Darstellung einer FinFET-Struktur.
  • Zunächst wird eine Finnenstruktur 310 über einem Substrat 300 hergestellt. Die Finnenstruktur hat einen unteren Bereich und einen oberen Bereich als einen Kanalbereich 315. Das Substrat ist zum Beispiel ein p-leitendes Siliciumsubstrat mit einer Dotierungskonzentration in dem Bereich von etwa 1 × 1015 cm-3 bis etwa 1 × 1018 cm-3. Bei weiteren Ausführungsformen ist das Substrat ein n-leitendes Siliciumsubstrat mit einer Dotierungskonzentration in dem Bereich von etwa 1 × 1015 cm-3 bis etwa 1 × 1018 cm-3. Alternativ kann das Substrat Folgendes umfassen: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, der Verbindungshalbleiter der Gruppe IV-IV, wie etwa SiC und SiGe, und Verbindungshalbleiter der Gruppe III-V umfasst, wie etwa GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AllnAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Bei einer Ausführungsform ist das Substrat eine Siliciumschicht eines SOI-Substrats (SOI: Silicium auf Isolator).
  • Nach der Herstellung der Finnenstruktur 310 wird eine Trennungsisolierschicht 320 über der Finnenstruktur 310 hergestellt. Die Trennungsisolierschicht 320 umfasst eine oder mehrere Schichten aus Isoliermaterialien, wie etwa Siliciumoxid, Siliciumoxidnitrid oder Siliciumnitrid, die durch chemische Aufdampfung bei Tiefdruck (low-pressure chemical vapor deposition; LPCVD), Plasma-CVD oder fließfähige CVD hergestellt werden. Die Trennungsisolierschicht kann aus einer oder mehreren Schichten aus Aufschleuderglas (spinon glass; SOG), SiO, SiON, SiOCN und/oder Fluorsilicatglas (FSG) hergestellt werden.
  • Nach der Herstellung der Trennungsisolierschicht 320 über der Finnenstruktur wird ein Planarisierungsprozess durchgeführt, um einen Teil der Trennungsisolierschicht 320 zu entfernen. Der Planarisierungsprozess kann einen CMP-Prozess (CMP: chemischmechanisches Polieren) und/oder einen Rückätzprozess umfassen. Dann wird die Trennungsisolierschicht 320 weiter entfernt (ausgespart), sodass der obere Bereich der Finnenstruktur freigelegt wird.
  • Über der freigelegten Finnenstruktur wird eine Dummy-Gate-Struktur hergestellt. Die Dummy-Gate-Struktur umfasst eine Dummy-Gate-Elektrodenschicht, die aus Polysilicium besteht, und eine dielektrische Dummy-Gate-Schicht. Auf Seitenwänden der Dummy-Gate-Elektrodenschicht werden Seitenwand-Abstandshalter 350 hergestellt, die eine oder mehrere Schichten aus Isoliermaterialien umfassen. Nach der Herstellung der Dummy-Gate-Struktur wird die Finnenstruktur 310, die nicht von der Dummy-Gate-Struktur bedeckt ist, unter der Oberseite der Trennungsisolierschicht 320 ausgespart. Dann wird ein Source-/Drain-Bereich 360 über der ausgesparten Finnenstruktur durch epitaxiales Aufwachsen hergestellt. Der Source-/Drain-Bereich kann ein verspanntes Material haben, um eine Spannung in den Kanalbereich 315 einzutragen.
  • Dann wird eine ILD-Schicht 370 über der Dummy-Gate-Struktur und dem Source-/Drain-Bereich hergestellt. Nach einer Planarisierung wird die Dummy-Gate-Struktur entfernt, sodass ein Gate-Zwischenraum entsteht. Dann wird in dem Gate-Zwischenraum eine Metall-Gate-Struktur 330 hergestellt, die eine Metall-Gate-Elektrode und eine dielektrische Gate-Schicht, wie etwa eine dielektrische High-k-Schicht, umfasst. Weiterhin wird über der Metall-Gate-Struktur 330 eine Deckisolierschicht 340 hergestellt, um die in 6C gezeigte FinFet-Struktur zu erhalten. In 6C sind Teile der Metall-Gate-Struktur 330, der Deckisolierschicht 340, der Seitenwände 330 und der ILD-Schicht 370 abgeschnitten, um die darunter befindliche Struktur zu zeigen.
  • Die Metall-Gate-Struktur 330, die Deckisolierschicht 340, die Seitenwände 330, der Source-/Drain-Bereich 360 und die ILD-Schicht 370 von 6C entsprechen im Wesentlichen den Metall-Gate-Strukturen 10' (Dummy-Metall-Gate-Strukturen 10), den Deckisolierschichten 20' (Dummy-Deckisolierschichten 20), den Seitenwand-Abstandshaltern 30' (Dummy-Seitenwand-Abstandshaltern 30), den S/D-Bereichen 50' (Dummy-S/D-Bereichen 50) und der ersten ILD-Schicht 60 von 6A.
  • Wie in 7 gezeigt ist, wird ein unterer Teil 100A der zweiten ILD-Schicht 100 über der ersten ILD-Schicht 60 hergestellt. Bei einigen Ausführungsformen ist eine erste Isolierschicht 105, die bei der nachfolgenden Kontaktloch-Ätzung als eine erste Ätzstoppschicht (etch stop layer; ESL) fungiert, zwischen der ersten ILD-Schicht 60 und dem unteren Teil 100A der zweiten ILD-Schicht 100 angeordnet.
  • Die erste Isolierschicht 105 umfasst eine oder mehrere Schichten aus einem Material auf Siliciumnitrid-Basis, wie etwa SiN, SiCN oder SiOCN. Die zweite ILD-Schicht 100 umfasst eine oder mehrere Schichten aus einem Isoliermaterial, wie etwa einem Material auf Siliciumoxid-Basis, zum Beispiel Siliciumdioxid (SiO2), SiON, SiCO oder SiOCN, oder aus anderen Low-k-Materialien.
  • Nach der Herstellung des unteren Teils 100A der zweiten ILD-Schicht 100 wird ein Widerstandsdraht 200 auf dem unteren Teil 100A der zweiten ILD-Schicht 100 hergestellt, wie in 7 gezeigt ist. Über dem unteren Teil 100A der zweiten ILD-Schicht 100 wird eine Schutzschicht aus einem leitenden Material hergestellt, und ein Strukturierungsprozess wird durchgeführt, um den Widerstandsdraht 200 zu erhalten. Die Schutzschicht kann durch CVD, physikalische Aufdampfung (PVD), wie etwa Sputtern, oder andere geeignete Schichtherstellungsverfahren hergestellt werden.
  • Anschließend wird der obere Teil der zweiten ILD-Schicht 100 über dem unteren Teil 100A der zweiten ILD-Schicht 100 hergestellt, und der Widerstandsdraht 200 wird in die zweite ILD-Schicht 100 eingebettet, wie in 8 gezeigt ist. Bei einigen Ausführungsformen werden in dem Schaltungsbereich ein erster Kontaktstift 70 und eine erste Metallverdrahtung 75 zum Beispiel durch einen Dual-Damascene-Prozess hergestellt, wie in 8 gezeigt ist. Der erste Kontaktstift 70 und die erste Metallverdrahtung 75 umfassen eine oder mehrere Schichten aus Cu, AI, Ti, Co, W oder Ni oder deren Legierungen oder TiN oder TaN.
  • Darüber hinaus wird, wie in 9A gezeigt ist, eine dritte ILD-Schicht 120 über der zweiten ILD-Schicht 100 hergestellt. Bei einigen Ausführungsformen wird eine zweite Isolierschicht 125, die als eine zweite ESL bei der nachfolgenden Kontaktloch-Ätzung fungiert, zwischen der zweiten ILD-Schicht 100 und der dritten ILD-Schicht 120 angeordnet. Die Materialien oder Konfigurationen der dritten ILD-Schicht 120 und der zweiten ESL 125 sind denen der zweiten ILD-Schicht 100 und der ersten ESL 105 ähnlich.
  • Wie in 9A gezeigt ist, wird nach der Herstellung der dritten ILD-Schicht 120 der Kontakt 300 hergestellt, der mit dem Widerstandsdraht 200 verbunden ist, wie in 9A gezeigt ist.
  • Bei einigen Ausführungsformen werden in dem Schaltungsbereich ein zweiter Kontaktstift 80 und eine zweite Metallverdrahtung 85 zum Beispiel durch einen Dual- oder Single-Damascene-Prozess hergestellt, wie in 9A gezeigt ist. Der Kontakt 300 kann gleichzeitig mit dem zweiten Kontaktstift 80 und/oder der zweiten Metallverdrahtung 85 hergestellt werden. Die Materialien oder Konfigurationen des zweiten Kontaktstifts 80 und der zweiten Metallverdrahtung 85 sind denen des ersten Kontaktstifts 70 und der ersten Metallverdrahtung 75 ähnlich.
  • 9B zeigt eine weitere Ausführungsform der Verdrahtungsstruktur. Wie in 9B gezeigt ist, wird ein Kontakt 300 in der gleichen Verdrahtungsebene wie die erste Metallverdrahtung 75 oder der erste Kontaktstift 70 hergestellt. Dann wird der Kontakt 300 weiter über einen Kontaktstift 80' mit einer Obere-Schicht-Verdrahtung 85' verbunden, die der zweiten Metallverdrahtung 85 und dem zweiten Kontaktstift 80 ähnlich sind.
  • Die 10 bis 13 zeigen verschiedene Stufen des sequentiellen Herstellungsprozesses für ein Halbleiter-Bauelement gemäß einer weiteren Ausführungsform der vorliegenden Erfindung. Es dürfte klar sein, dass weitere Operationen vor, während und nach den Prozessen vorgesehen werden können, die in den 6A bis 9A gezeigt sind, und dass einige der nachstehend beschriebenen Operationen bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Prozesse ist austauschbar. Darüber hinaus können in der nachstehenden Ausführungsform die gleichen oder ähnliche Strukturen, Materialien, Konfigurationen und/oder Prozesse wie bei den vorstehenden Ausführungsformen verwendet werden, und die detaillierte Beschreibung kann entfallen.
  • Nach der Herstellung der Struktur von 6A werden eine zweite ILD-Schicht 100 und eine erste ESL 105 über der ersten ILD-Schicht 60 hergestellt, wie in 10 gezeigt ist. Bei einigen Ausführungsformen werden in dem Schaltungsbereich ein erster Kontaktstift 70 und eine erste Metallverdrahtung 75 hergestellt, wie in 10 gezeigt ist.
  • Dann wird, wie in 11 gezeigt ist, ein unterer Teil 120A einer dritten ILD-Schicht 120 über der zweiten ILD-Schicht 100 hergestellt. Bei einigen Ausführungsformen wird eine zweite Isolierschicht 125, die als eine zweite ESL bei der nachfolgenden Kontaktloch-Ätzung fungiert, zwischen der zweiten ILD-Schicht 100 und dem unteren Teil 120A der dritten ILD-Schicht 120 angeordnet.
  • Wie in 11 gezeigt ist, wird nach der Herstellung des unteren Teils 120A der dritten ILD-Schicht 120 der Widerstandsdraht 200 auf dem unteren Teil 120A der dritten ILD-Schicht 120 hergestellt.
  • Anschließend wird der obere Teil der dritten ILD-Schicht über dem unteren Teil 120A der dritten ILD-Schicht hergestellt, und der Widerstandsdraht 200 wird in die dritte ILD-Schicht 120 eingebettet, wie in 12 gezeigt ist.
  • Darüber hinaus wird, wie in 13 gezeigt ist, ein Kontakt 300 in der dritten ILD-Schicht 120 hergestellt. Bei einigen Ausführungsformen werden in dem Schaltungsbereich ein zweiter Kontaktstift 80 und eine zweite Metallverdrahtung 85 zum Beispiel durch einen Dual-Damascene-Prozess hergestellt, wie in 12 gezeigt ist. Bei einigen Ausführungsformen wird der Kontakt 300 gleichzeitig mit dem zweiten Kontaktstift 80 und/oder der zweiten Metallverdrahtung 85 hergestellt.
  • 14 zeigt eine beispielhafte Schnittansicht einer weiteren Ausführungsform der vorliegenden Erfindung. Es dürfte klar sein, dass weitere Operationen vor, während und nach den Prozessen vorgesehen werden können, die in 14 gezeigt sind, und dass einige der nachstehend beschriebenen Operationen bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Prozesse ist austauschbar. Darüber hinaus können in der nachstehenden Ausführungsform die gleichen oder ähnliche Strukturen, Materialien, Konfigurationen und/oder Prozesse wie bei den vorstehenden Ausführungsformen verwendet werden, und die detaillierte Beschreibung kann entfallen.
  • Wie in 14 gezeigt ist, ist der Widerstandsdraht 200 in die vierte ILD-Schicht 130 eingebettet.
  • Nachdem die Struktur von 10 hergestellt worden ist, werden eine dritte ILD-Schicht 120 und eine zweite ESL 125 über der zweiten ILD-Schicht 100 hergestellt. Bei einigen Ausführungsformen werden nach der Herstellung der dritten ILD-Schicht 120 ein zweiter Kontaktstift 80 und eine zweite Metallverdrahtung 85 in dem Schaltungsbereich hergestellt.
  • Anschließend werden mit ähnlichen Schritten wie bei den 11 bis 13 die vierte ILD-Schicht 130, der Widerstandsdraht 200 und der Kontakt 300 hergestellt, wie in 14 gezeigt ist.
  • Wenn zusätzlich eine oder mehrere weitere ILD-Schichten unter der ILD-Schicht, in der sich der Widerstandsdraht 200 befindet, hergestellt werden, werden die vorstehenden Schritte wiederholt.
  • Es dürfte klar sein, dass die Bauelemente, die in den 9A, 9B, 13 und 14 gezeigt sind, weitere CMOS-Prozesse durchlaufen, um verschiedene Strukturelemente herzustellen, wie etwa Zwischenverbindungs-Metallschichten, dielektrische Schichten, Passivierungsschichten usw.
  • Die verschiedenen Ausführungsformen oder Beispiele, die hier beschrieben worden sind, bieten mehrere Vorzüge gegenüber dem Stand der Technik. Zum Beispiel kann in der vorliegenden Erfindung durch Anordnen aller Dummy-Finnen- und Dummy-Gate-Strukturen unter den Widerstandsdrähten die Fläche des Widerstandsbereichs und/oder einer Widerstandsanordnung verringert werden. Darüber hinaus können durch Zwischenschichten einer oder mehrerer weiterer ILD-Schichten zwischen die ILD-Schicht, in der der Widerstandsdraht angeordnet ist, und die ILD-Schicht, in der die Dummy-Gate-Strukturen angeordnet sind, Änderungen der Widerstandswerte verringert werden.
  • Es dürfte klar sein, dass hier nicht unbedingt alle Vorzüge erörtert worden sind, kein spezieller Vorzug für alle Ausführungsformen oder Beispiele erforderlich ist und andere Ausführungsformen oder Beispiele andere Vorzüge bieten können.
  • Gemäß einem Aspekt der vorliegenden Erfindung weist ein Halbleiter-Bauelement Folgendes auf: eine Dummy-Finnenstruktur, die über einem Substrat angeordnet ist; eine Dummy-Gate-Struktur, die über einem Teil der Dummy-Finnenstruktur angeordnet ist; eine erste Zwischenschicht-Dielektrikum-Schicht, in die die Dummy-Gate-Struktur eingebettet ist; eine zweite Zwischenschicht-Dielektrikum-Schicht, die über der ersten Zwischenschicht-Dielektrikum-Schicht angeordnet ist; und einen Widerstandsdraht, der aus einem leitenden Material besteht und in die zweite Zwischenschicht-Dielektrikum-Schicht eingebettet ist. Der Widerstandsdraht überlappt in der Draufsicht die Dummy-Gate-Struktur.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung weist ein Halbleiter-Bauelement Folgendes auf: eine erste Dummy-Finnenstruktur, die über einem Substrat angeordnet ist; eine erste Dummy-Gate-Struktur, die über einem Teil der ersten Dummy-Finnenstruktur angeordnet ist; eine erste Zwischenschicht-Dielektrikum-Schicht, in die die erste Dummy-Gate-Struktur eingebettet ist; eine zweite Zwischenschicht-Dielektrikum-Schicht, die über der ersten Zwischenschicht-Dielektrikum-Schicht angeordnet ist; eine dritte Zwischenschicht-Dielektrikum-Schicht, die über der zweiten Zwischenschicht-Dielektrikum-Schicht angeordnet ist; und einen Widerstandsdraht, der aus einem leitenden Material besteht und in die dritte Zwischenschicht-Dielektrikum-Schicht eingebettet ist. Der Widerstandsdraht überlappt in der Draufsicht die erste Dummy-Finnenstruktur teilweise oder vollständig.
  • Gemäß einem noch weiteren Aspekt der vorliegenden Erfindung wird bei einem Verfahren zur Herstellung eines Halbleiter-Bauelements eine erste Dummy-Finnenstruktur über einem Substrat hergestellt. Über einem Teil der ersten Dummy-Finnenstruktur wird eine erste Dummy-Gate-Struktur hergestellt. Eine erste Zwischenschicht-Dielektrikum-Schicht wird so hergestellt, dass die erste Dummy-Gate-Struktur in die erste Zwischenschicht-Dielektrikum-Schicht eingebettet wird. Eine zweite Zwischenschicht-Dielektrikum-Schicht wird über der ersten Zwischenschicht-Dielektrikum-Schicht hergestellt. Eine dritte Zwischenschicht-Dielektrikum-Schicht wird über der zweiten Zwischenschicht-Dielektrikum-Schicht hergestellt. Ein Widerstandsdraht, der aus einem leitenden Material besteht, wird so hergestellt, dass er in die dritte Zwischenschicht-Dielektrikum-Schicht eingebettet wird. Der Widerstandsdraht überlappt in der Draufsicht zumindest teilweise die erste Dummy-Finnenstruktur.

Claims (20)

  1. Halbleiter-Bauelement mit: einer Dummy-Finnenstruktur (5), die über einem Substrat (1) angeordnet ist; einer Dummy-Gate-Struktur (10), die über einem Teil der Dummy-Finnenstruktur (5) angeordnet ist; einer ersten Zwischenschicht-Dielektrikum-Schicht (60), in die die Dummy-Gate-Struktur (10) eingebettet ist; einer zweiten Zwischenschicht-Dielektrikum-Schicht (100), die über der ersten Zwischenschicht-Dielektrikum-Schicht (60) angeordnet ist; und einem Widerstandsdraht (200), der aus einem leitenden Material besteht und in die zweite Zwischenschicht-Dielektrikum-Schicht (100) eingebettet ist, wobei der Widerstandsdraht (200) von der Dummy-Gate-Struktur (10) durch einen unteren Teil (100A) der zweiten Zwischenschicht-Dielektrikum-Schicht (100) getrennt ist und ein oberer Teil der zweiten Zwischenschicht-Dielektrikum-Schicht (100) auf einer Oberseite des Widerstandsdrahts (200) angeordnet ist, wobei der Widerstandsdraht (200) in der Draufsicht die Dummy-Gate-Struktur (10) überlappt.
  2. Halbleiter-Bauelement nach Anspruch 1, wobei eine oder mehrere weitere Zwischenschicht-Dielektrikum-Schichten (100) zwischen der ersten Zwischenschicht-Dielektrikum-Schicht (60) und der zweiten Zwischenschicht-Dielektrikum-Schicht 100 angeordnet sind.
  3. Halbleiter-Bauelement nach Anspruch 1 oder 2, wobei das leitende Material des Widerstandsdrahts (200) ein Nitrid eines Übergangsmetalls umfasst.
  4. Halbleiter-Bauelement nach einem der vorhergehenden Ansprüche, wobei das leitende Material des Widerstandsdrahts (200) TiN und/oder TaN umfasst.
  5. Halbleiter-Bauelement nach einem der vorhergehenden Ansprüche, wobei die Dummy-Gate-Struktur (10) zwei oder mehr Dummy-Gate-Elektroden umfasst, die über der Dummy-Finnenstruktur (5) angeordnet sind und in einer ersten Richtung verlaufen und in einer zweiten Richtung angeordnet sind, die senkrecht zu der ersten Richtung ist, und der Widerstandsdraht (200) in der ersten Richtung verläuft.
  6. Halbleiter-Bauelement nach Anspruch 5 wobei der Widerstandsdraht (200) länger als die Dummy-Gate-Elektroden entlang der ersten Richtung ist.
  7. Halbleiter-Bauelement nach einem der vorhergehenden Ansprüche, wobei nur vier Kontakte (300), die in Kontakt mit dem Widerstandsdraht sind, vorgesehen sind, und die Dummy-Gate-Struktur (10) in der Draufsicht zwischen zwei der vier Kontakte (300A, 300B) und den übrigen zwei der vier Kontakte (300C, 300D) angeordnet ist.
  8. Halbleiter-Bauelement nach Anspruch 7, wobei die vier Kontakte (300) nicht die Dummy-Gate-Struktur (10) überlappen.
  9. Halbleiter-Bauelement mit: einer ersten Dummy-Finnenstruktur (5), die über einem Substrat (1) angeordnet ist; einer ersten Dummy-Gate-Struktur (10), die über einem Teil der ersten Dummy-Finnenstruktur (5) angeordnet ist; einer ersten Zwischenschicht-Dielektrikum-Schicht (60), in die die erste Dummy-Gate-Struktur (10) eingebettet ist; einer zweiten Zwischenschicht-Dielektrikum-Schicht (100), die über der ersten Zwischenschicht-Dielektrikum-Schicht (60) angeordnet ist; einer dritten Zwischenschicht-Dielektrikum-Schicht (120), die über der zweiten Zwischenschicht-Dielektrikum-Schicht (100) angeordnet ist; und einem Widerstandsdraht (200), der aus einem leitenden Material besteht und in die dritte Zwischenschicht-Dielektrikum-Schicht (120) eingebettet ist, wobei der Widerstandsdraht (200) in der Draufsicht die erste Dummy-Finnenstruktur (5) teilweise oder vollständig überlappt.
  10. Halbleiter-Bauelement nach Anspruch 9, das weiterhin mindestens eine der folgenden Schichten aufweist: eine erste Isolierschicht (105), die zwischen der ersten Zwischenschicht-Dielektrikum-Schicht (60) und der zweiten Zwischenschicht-Dielektrikum-Schicht (100) angeordnet ist, wobei die erste Isolierschicht (105) aus einem anderen Material als die erste Zwischenschicht-Dielektrikum-Schicht (60) und die zweite Zwischenschicht-Dielektrikum-Schicht (100) besteht und dünner als die erste Zwischenschicht-Dielektrikum-Schicht (60) und dünner als die zweite Zwischenschicht-Dielektrikum-Schicht (100) ist; und eine zweite Isolierschicht (125), die zwischen der zweiten Zwischenschicht-Dielektrikum-Schicht (100) und der dritten Zwischenschicht-Dielektrikum-Schicht (120) angeordnet ist, wobei die zweite Isolierschicht (125) aus einem anderen Material als die zweite Zwischenschicht-Dielektrikum-Schicht (100) und die dritte Zwischenschicht-Dielektrikum-Schicht (120) besteht und dünner als die zweite Zwischenschicht-Dielektrikum-Schicht (100) und dünner als die dritte Zwischenschicht-Dielektrikum-Schicht (120) ist.
  11. Halbleiter-Bauelement nach Anspruch 9 oder 10, wobei das leitende Material des Widerstandsdrahts (200) TiN und/oder TaN umfasst.
  12. Halbleiter-Bauelement nach einem der Ansprüche 9 bis 11, wobei die erste Dummy-Gate-Struktur (10) zwei oder mehr erste Dummy-Gate-Elektroden umfasst, die über der ersten Dummy-Finnenstruktur (5) angeordnet sind und in einer ersten Richtung verlaufen und in einer zweiten Richtung angeordnet sind, die senkrecht zu der ersten Richtung ist, und der Widerstandsdraht (200) in der ersten Richtung verläuft und die erste Dummy-Finnenstruktur (5) in der zweiten Richtung verläuft.
  13. Halbleiter-Bauelement nach Anspruch 12, wobei mindestens eine der ersten Dummy-Gate-Elektroden in der Draufsicht den Widerstandsdraht (200) überlappt.
  14. Halbleiter-Bauelement nach Anspruch 12, wobei mindestens eine der ersten Dummy-Gate-Elektroden in der Draufsicht den Widerstandsdraht (200) nicht überlappt.
  15. Halbleiter-Bauelement nach einem der Ansprüche 12 bis 14, das weiterhin Folgendes aufweist: eine zweite Dummy-Finnenstruktur (5), die über dem Substrat (1) angeordnet ist; und eine zweite Dummy-Gate-Struktur (10), die über einem Teil der zweiten Dummy-Finnenstruktur (5) angeordnet ist, wobei die erste Dummy-Finnenstruktur (5) und die zweite Dummy-Finnenstruktur (5) entlang der ersten Richtung ausgerichtet sind, und der Widerstandsdraht (200) in der Draufsicht die zweite Dummy-Finnenstruktur (5) teilweise oder vollständig überlappt.
  16. Halbleiter-Bauelement nach einem der Ansprüche 9 bis 15, wobei nur vier Kontakte (300), die in Kontakt mit dem Widerstandsdraht (200) sind, vorgesehen sind, und die erste Dummy-Gate-Struktur (10) in der Draufsicht zwischen zwei der vier Kontakte (300A, 300B) und den übrigen zwei der vier Kontakte (300C, 300D) angeordnet ist.
  17. Halbleiter-Bauelement nach Anspruch 16, wobei die vier Kontakte (300) nicht die erste Dummy-Gate-Struktur (10) überlappen.
  18. Verfahren zur Herstellung eines Halbleiter-Bauelements, mit den folgenden Schritten: Herstellen einer ersten Dummy-Finnenstruktur (5) über einem Substrat (1); Herstellen einer ersten Dummy-Gate-Struktur (10) über einem Teil der ersten Dummy-Finnenstruktur (5); Herstellen einer ersten Zwischenschicht-Dielektrikum-Schicht (60) so, dass die erste Dummy-Gate-Struktur (10) in die erste Zwischenschicht-Dielektrikum-Schicht (60) eingebettet wird; Herstellen einer zweiten Zwischenschicht-Dielektrikum-Schicht (100) über der ersten Zwischenschicht-Dielektrikum-Schicht (60); und Herstellen einer dritten Zwischenschicht-Dielektrikum-Schicht (120) über der zweiten Zwischenschicht-Dielektrikum-Schicht (100), wobei ein Widerstandsdraht (200), der aus einem leitenden Material besteht, so hergestellt wird, dass er in die dritte Zwischenschicht-Dielektrikum-Schicht (120) eingebettet wird, wobei der Widerstandsdraht (200) von der Dummy-Gate-Struktur (10) durch die zweite Zwischenschicht-Dielektrikum-Schicht (100) und einen unteren Teil (120A) der dritten Zwischenschicht-Dielektrikum-Schicht (120) getrennt ist, und der Widerstandsdraht (200) in der Draufsicht zumindest teilweise die erste Dummy-Finnenstruktur (5) überlappt.
  19. Verfahren nach Anspruch 18, wobei der Widerstandsdraht (200) und die dritte Zwischenschicht-Dielektrikum-Schicht (120) mit den folgenden Schritten hergestellt werden: Herstellen des unteren Teils (120A) der dritten Zwischenschicht-Dielektrikum-Schicht (120) über der zweiten Zwischenschicht-Dielektrikum-Schicht (100); Herstellen des Widerstandsdrahts (200) auf oder in dem unteren Teil (120A) der dritten Zwischenschicht-Dielektrikum-Schicht (120); und Herstellen eines oberen Teils der dritten Zwischenschicht-Dielektrikum-Schicht (120) über dem unteren Teil (120A) der dritten Zwischenschicht-Dielektrikum-Schicht (120) und dem Widerstandsdraht (200).
  20. Verfahren nach Anspruch 18 oder 19, das weiterhin das Herstellen einer oder mehrerer weiterer Zwischenschicht-Dielektrikum-Schichten, die zwischen der zweiten Zwischenschicht-Dielektrikum-Schicht (100) und der dritten Zwischenschicht-Dielektrikum-Schicht (120) angeordnet werden, aufweist.
DE102016114923.3A 2016-01-28 2016-08-11 Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung Active DE102016114923B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/009,500 US9773731B2 (en) 2016-01-28 2016-01-28 Semiconductor device and a method for fabricating the same
US15/009,500 2016-01-28

Publications (2)

Publication Number Publication Date
DE102016114923A1 DE102016114923A1 (de) 2017-08-03
DE102016114923B4 true DE102016114923B4 (de) 2022-05-05

Family

ID=59328066

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016114923.3A Active DE102016114923B4 (de) 2016-01-28 2016-08-11 Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung

Country Status (5)

Country Link
US (4) US9773731B2 (de)
KR (1) KR101879050B1 (de)
CN (1) CN107017244B (de)
DE (1) DE102016114923B4 (de)
TW (1) TWI637513B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017110835B4 (de) 2016-12-29 2022-06-02 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement und ein verfahren zu dessen herstellung
US10411085B2 (en) 2016-12-29 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11404414B2 (en) * 2020-03-24 2022-08-02 Qualcomm Incorporated Integrated device comprising transistor coupled to a dummy gate contact
TWI809384B (zh) * 2020-04-28 2023-07-21 台灣積體電路製造股份有限公司 積體電路結構及其形成方法
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10341059A1 (de) 2003-09-05 2005-04-14 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Kondensator und Herstellungsverfahren
US20090057781A1 (en) 2007-08-29 2009-03-05 Brent Anderson Mugfet with optimized fill structures
DE102014106025A1 (de) 2013-05-03 2014-11-06 Infineon Technologies Ag Integration einer Strommessung in eine Verdrahtungsstruktur einer elektronischen Schaltung
US20140367780A1 (en) 2013-06-18 2014-12-18 United Microelectronics Corp. Semiconductor integrated device
US20160020148A1 (en) 2014-07-16 2016-01-21 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having a resistor structure

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6479385B1 (en) * 2000-05-31 2002-11-12 Taiwan Semiconductor Manufacturing Company Interlevel dielectric composite layer for insulation of polysilicon and metal structures
US6728130B1 (en) * 2002-10-22 2004-04-27 Broadcom Corporation Very dense SRAM circuits
KR100615099B1 (ko) * 2005-02-28 2006-08-22 삼성전자주식회사 저항 소자를 구비하는 반도체 소자 및 그 제조방법.
KR101374317B1 (ko) * 2007-08-23 2014-03-14 삼성전자주식회사 저항 소자를 갖는 반도체 장치 및 그 형성방법
TWI569446B (zh) * 2011-12-23 2017-02-01 半導體能源研究所股份有限公司 半導體元件、半導體元件的製造方法、及包含半導體元件的半導體裝置
TWI538143B (zh) * 2012-03-06 2016-06-11 聯華電子股份有限公司 薄膜電阻結構
US8860181B2 (en) * 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
CN103531474B (zh) * 2012-07-02 2016-04-20 中国科学院微电子研究所 半导体器件制造方法
US9000564B2 (en) * 2012-12-21 2015-04-07 Stmicroelectronics, Inc. Precision polysilicon resistors
US9627467B2 (en) * 2013-09-06 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Thin film resistor integrated between interconnect levels and contacting an underlying dielectric layer protrusion
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
JP6333672B2 (ja) * 2014-08-28 2018-05-30 ルネサスエレクトロニクス株式会社 半導体装置
CN105826242B (zh) * 2015-01-08 2019-01-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10341059A1 (de) 2003-09-05 2005-04-14 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Kondensator und Herstellungsverfahren
US20090057781A1 (en) 2007-08-29 2009-03-05 Brent Anderson Mugfet with optimized fill structures
DE102014106025A1 (de) 2013-05-03 2014-11-06 Infineon Technologies Ag Integration einer Strommessung in eine Verdrahtungsstruktur einer elektronischen Schaltung
US20140367780A1 (en) 2013-06-18 2014-12-18 United Microelectronics Corp. Semiconductor integrated device
US20160020148A1 (en) 2014-07-16 2016-01-21 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having a resistor structure

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
FULDE, Michael: Variation aware analog and mixed-signal circuit design in emerging multi-gate CMOS technologies. 1. Auflage. Dordrecht Heidelberg London New York: Springer, 2010 (Springer series in advances microelectrics 28). Titelseite + Impressum + Inhaltsverzeichnis + S. 57-60. - ISBN 978-90-481-3279-9

Also Published As

Publication number Publication date
KR101879050B1 (ko) 2018-07-16
TWI637513B (zh) 2018-10-01
US9773731B2 (en) 2017-09-26
DE102016114923A1 (de) 2017-08-03
US20170221821A1 (en) 2017-08-03
US20210066193A1 (en) 2021-03-04
CN107017244A (zh) 2017-08-04
TW201727903A (zh) 2017-08-01
US20190148293A1 (en) 2019-05-16
US10170414B2 (en) 2019-01-01
KR20170090348A (ko) 2017-08-07
US10840181B2 (en) 2020-11-17
US20170365552A1 (en) 2017-12-21
CN107017244B (zh) 2020-02-14

Similar Documents

Publication Publication Date Title
DE102016117054B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017123958B4 (de) Halbleitervorrichtung
DE102015114790B4 (de) Verfahren und Struktur für eine Halbleitervorrichtung mit einer Gatespacer-Schutzschicht
DE102016115991A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE112014007341B4 (de) GaN-TRANSISTOREN MIT POLYSILIZIUMSCHICHTEN ZUR BILDUNG VON ZUSÄTZLICHEN KOMPONENTEN UND VERFAHREN ZU DEREN HERSTELLUNG
DE102008025708B4 (de) Kontaktstrukturen für FinFET-Bauelement und Verfahren zur Herstellung
DE102016114923B4 (de) Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung
DE102017116343B4 (de) Verfahren zum Ausbilden eines Halbleiterbauelements, das eine nichtflüchtige Speicherzelle umfasst und Halbleiterbauelement, das eine nichtflüchtige Speicherzelle umfasst
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
DE102019127073A1 (de) Halbleitervorrichtung mit rückseitiger Stromversorgungsschaltung
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102017126511A1 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102016116001A1 (de) Verfahren zur herstellung einer lokalen verbindung in einem halbleiter-bauelement
DE102017127542A1 (de) Struktur und verfahren für einen gate-isolierstecker
DE102018125039A1 (de) Plattenbauweise zum verringern von rauschen in halbleiterbauelementen
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102017120141A1 (de) Halbleiter-Testvorrichtung und Herstellungsverfahren dafür
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102019119807B4 (de) Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102017126049B4 (de) Verfahren zur herstellung einer halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final