DE102016100282B4 - Verbindungsstruktur und Verfahren zu ihrer Herstellung - Google Patents

Verbindungsstruktur und Verfahren zu ihrer Herstellung Download PDF

Info

Publication number
DE102016100282B4
DE102016100282B4 DE102016100282.8A DE102016100282A DE102016100282B4 DE 102016100282 B4 DE102016100282 B4 DE 102016100282B4 DE 102016100282 A DE102016100282 A DE 102016100282A DE 102016100282 B4 DE102016100282 B4 DE 102016100282B4
Authority
DE
Germany
Prior art keywords
dielectric
opening
layer
protective layer
trench opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016100282.8A
Other languages
English (en)
Other versions
DE102016100282A1 (de
Inventor
Che-Cheng Chang
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/983,412 external-priority patent/US10541204B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016100282A1 publication Critical patent/DE102016100282A1/de
Application granted granted Critical
Publication of DE102016100282B4 publication Critical patent/DE102016100282B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verbindungsstruktur mit:einer Nichtisolatorstruktur (102);einer dielektrischen Struktur (106), die sich auf der Nichtisolatorstruktur (102) befindet, wobei die dielektrische Struktur (106) eine Grabenöffnung (O3) und eine Durchkontaktierungsöffnung (O1) hat, wobei die Grabenöffnung (O3) eine Bodenfläche (324) und mindestens eine Aussparung (322) in der Bodenfläche (324) hat und sich die Durchkontaktierungsöffnung (O1) zwischen der Grabenöffnung (O3) und der Nichtisolatorstruktur (102) befindet; undeiner leitenden Struktur (600), die sich in der Grabenöffnung (O3) und der Durchkontaktierungsöffnung (O1) befindet und mit der Nichtisolatorstruktur (102) elektrisch verbunden ist, wobei die leitende Struktur (600) zumindest teilweise von der Bodenfläche der Aussparung (322) getrennt ist; wobei zwischen einer Seitenwand (320) der Grabenöffnung (O3) und einer Seitenwand eines Teils der leitenden Struktur ein Spalt (G) vorhanden ist.

Description

  • Hintergrund der Erfindung
  • Ein Dual-Damascene-Prozess ist ein Verfahren zum Herstellen von Verbindungen in Halbleiter-Bauelementen. Wenn die Strukturgrößen geringer werden, ermöglicht der Dual-Damascene-Prozess eine genauere Einhaltung der Abmessungen bei kleinen Geometrien. Daher ist der Dual-Damascene-Prozess für die ULSI-Schaltkreistechnik (ULSI: ultra large scale integration) geeignet, bei der immer mehr Bauelemente in gleiche oder kleinere Flächen in einem Halbleitersubstrat integriert werden.
  • Die US 2009 / 0 197 404 A1 beschreibt einen Dual-Damascene-Prozess gemäß dem Stand der Technik. Die DE 10 2015 112 914 A1 (nicht vorveröffentlichter Stand der Technik) beschreibt einen weiteren Dual-Damascene-Prozess.
  • Die Erfindung sieht eine Verbindungstruktur gemäß Anspruch 1 und gemäß Anspruch 11 und ein Verfahren gemäß Anspruch 17 vor. Weitere Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Klarheit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 9 sind Schnittansichten bei einem Verfahren zum Herstellen einer Verbindungsstruktur auf verschiedenen Stufen gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung verschiedene Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Die 1 bis 9 sind Schnittansichten bei einem Verfahren zum Herstellen einer Verbindungsstruktur auf verschiedenen Stufen gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Kommen wir nun zu 1. Eine Auskleidungsschicht 104 wird auf einer Nichtisolatorstruktur 102 hergestellt. Die Nichtisolatorstruktur 102 bezieht sich auf eine Struktur, die aus einem oder mehreren Nichtisolatormaterialien, Polysilicium, Metall, leitenden Materialien, Halbleitermaterialien oder Kombinationen davon besteht. Die Nichtisolatorstruktur 102 kann als eine Gate-Elektrode oder ein Source/Drain-Bereich eines Halbleiter-Bauelements, wie etwa eines FinFET (fin field effect transistor; Finnen-Feldeffekttransistor), dienen.
  • Bei einigen Ausführungsformen, bei denen die Nichtisolatorstruktur 102 als die Gate-Elektrode des FinFET dient, kann die Nichtisolatorstruktur 102 mit einem Gate-zuletzt-Verfahren hergestellt werden. Ein beispielhaftes Gate-zuletzt-Verfahren kann die folgenden Schritte umfassen: Herstellen einer Blind-Gate-Struktur mit einem Material wie Polysilicium auf einer Halbleiterfinne; Herstellen von Abstandshaltern mit einem Material wie Siliciumoxid, Siliciumnitrid, Siliciumoxidnitrid oder Siliciumcarbid neben der Blind-Gate-Struktur; Entfernen der Blind-Gate-Struktur, um einen Graben zwischen den Abstandshaltern herzustellen; und Herstellen mindestens einer Metallschicht in dem Graben zwischen den Abstandshaltern, um die Nichtisolatorstruktur 102 herzustellen. Die Metallschicht kann ein metallisches Material umfassen, das zum Herstellen der Gate-Elektrode oder eines Teils davon geeignet ist, unter anderem Austrittsarbeitsschichten, Auskleidungsschichten, Grenzschichten, Seed-Schichten, Haftschichten, Sperrschichten usw. Bei einigen Ausführungsformen kann die Metallschicht ein geeignetes Metall, wie etwa TiN, WN, TaN oder Ru, umfassen, das in einem p-FinFET funktioniert. Bei einigen alternativen Ausführungsformen kann die Metallschicht ein geeignetes Metall, wie etwa Ti, Ag, Al, TiAl, TiAlN, TiAlC, TiAlCN, TaC, TaCN, TaSiN, Mn oder Zr, umfassen, das in einem n-FinFET funktioniert.
  • Bei einigen Ausführungsformen, bei denen die Nichtisolatorstruktur 102 als der Source/Drain-Bereich dient, kann ein beispielhaftes Herstellungsverfahren das Dotieren eines n-Dotanden, wie etwa Phosphor, oder eines p-Dotanden, wie etwa Bor, in mindestens einen Teil der Halbleiterfinne, der nicht von den Abstandshaltern und der Gate-Elektrode bedeckt ist, mittels Ionenimplantation umfassen. Ein weiteres beispielhaftes Verfahren zur Herstellung des Source/Drain-Bereichs kann die folgenden Schritte umfassen: Herstellen mindestens einer Source/Drain-Aussparung in der Finne neben dem Abstandshalter; Herstellen einer Seed-Schicht in der Source/Drain-Aussparung; Herstellen einer relaxierten Epitaxialschicht auf der Seed-Schicht in der Source/Drain-Aussparung und Herstellen einer Epitaxialschicht auf der relaxierten Epitaxialschicht in der Source/Drain-Aussparung, sodass die Seed-Schicht, die relaxierte Epitaxialschicht und die Epitaxialschicht einen Source/Drain-Stressor bilden, der als der Source/Drain-Bereich dient. Bei einigen Ausführungsformen umfasst der Source/Drain-Stressor zum Beispiel SiP oder SiCP, das eine Zugverformung des n-Kanals in der Halbleiterfinne induzieren kann. Bei einigen weiteren Ausführungsformen umfasst der Source/Drain-Stressor SiGe, das eine Druckverformung des p-Kanals in der Halbleiterfinne induzieren kann.
  • Die Auskleidungsschicht 104 kann als eine Ätzstoppschicht dienen, die die Nichtisolatorstruktur 102 während eines Ätzprozesses zum Herstellen einer Durchkontaktierungsöffnung und/oder einer Grabenöffnung schützt. Bei einigen Ausführungsformen kann die Auskleidungsschicht 104 ein dielektrisches Material sein, wie etwa Siliciumcarbid, Siliciumnitrid oder mit Kohlenstoff dotiertes Siliciumnitrid. Bei einigen Ausführungsformen kann die Auskleidungsschicht 104 ein leitendes Material sein, wie etwa Ti, TiN, TiC, TiCN, Ta, TaN, TaC, TaCN, W, WN, WC, WCN, TiAl, TiAlN, TiAlC oder TiAlCN. Bei einigen Ausführungsformen kann die Auskleidungsschicht 104 mittels chemischer Aufdampfung (CVD), chemischer Aufdampfung mit einem Plasma hoher Dichte (highdensity plasma CVD; HDPCVD), Unterduck-CVD (subatmospheric CVD; SACVD), Molekülschichtabscheidung (molecular layer deposition; MLD), Sputtern, physikalische Aufdampfung (physical vapour deposition; PVD), Plattierung oder anderer geeigneter Verfahren abgeschieden werden. Zum Beispiel wird bei einigen Ausführungsformen das MLD-Verfahren bei einem Druck von weniger als etwa 10 mTorr und in einem Temperaturbereich von etwa 350 °C bis etwa 500 °C durchgeführt. Bei einigen Ausführungsformen wird Siliciumnitrid auf der Oberseite der Nichtisolatorstruktur 102 dadurch abgeschieden, dass eine Siliciumquellenverbindung und eine Stickstoffquelle zur Reaktion gebracht werden. Die Siliciumquellenverbindung liefert Silicium für das abzuscheidende Siliciumnitrid und kann Silan (SiH4) oder Tetraethoxysilan (TEOS) umfassen. Die Stickstoffquelle liefert Stickstoff für das abzuscheidende Siliciumnitrid und kann Ammoniak (NH3) oder Stickstoffgas (N2) umfassen. Bei einigen weiteren Ausführungsformen wird das Kohlenstoff-dotierte Siliciumnitrid auf der Oberseite der Nichtisolatorstruktur 102 dadurch abgeschieden, dass eine Kohlenstoffquellenverbindung, eine Siliciumquellenverbindung und eine Stickstoffquelle zur Reaktion gebracht werden. Die Kohlenstoffquellenverbindung kann eine organische Verbindung sein, wie etwa eine Kohlenwasserstoff-Verbindung, z. B. Ethylen (C2H6).
  • Auf der Auskleidungsschicht 104 und der Nichtisolatorstruktur 102 wird eine dielektrische Struktur 106 hergestellt. Die dielektrische Struktur 106 kann eine ILD-Schicht (ILD: inter-layer dielectric; Zwischenschicht-Dielektrikum) sein, die ein dielektrisches Material umfasst. Das dielektrische Material kann Tetraethoxysilan (TEOS), ein dielektrisches Extrem-Low-k(ELK)-Material, ein stickstofffreier Antireflexbelag (nitrogen-free anti-reflective coating; NFARC), Siliciumoxid, Siliciumnitrid, Siliciumoxidnitrid, Phosphorsilicatglas (PSG), Borophosphorsilicatglas (BPSG), Aufschleuderglas (spin-on glass; SOG), Fluorsilicatglas (FSG), Kohlenstoff-dotiertes Siliciumoxid (z. B. SiCOH), Xerogel, Aerogel, amorpher Fluorkohlenstoff, Parylen, BCB (Bis-Benzocyclobutene), Polyimid und/oder Kombinationen davon umfassen. Das dielektrische ELK-Material hat eine Dielektrizitätskonstante, die zum Beispiel kleiner als etwa 2,5 ist. Es ist klar, dass die dielektrische Struktur 106 ein oder mehrere dielektrische Materialien und/oder eine oder mehrere dielektrische Schichten umfassen kann. Bei einigen Ausführungsformen kann die dielektrische Struktur 106 durch CVD, HDP-CVD, SACVD, Aufschleudern, Sputtern oder andere geeignete Verfahren auf der Auskleidungsschicht 104 abgeschieden werden.
  • Auf der dielektrischen Struktur 106 wird eine Antireflexschicht 108 hergestellt. Die Antireflexschicht 108 kann aus einem organischen Material, einem Oxidmaterial, einem Nitridmaterial oder dergleichen hergestellt werden. Die Antireflexschicht 108 kann mit geeigneten Verfahren, wie etwa CVD, hergestellt werden.
  • An der Antireflexschicht 108 und der dielektrischen Struktur 106 wird ein Ätzprozess durchgeführt, um darin eine Durchkontaktierungsöffnung O1 herzustellen. Zum Beispiel kann über der dielektrischen Struktur 106 eine Fotoresistschicht (nicht dargestellt) hergestellt werden, die anschließend strukturiert werden kann, um eine Fotoresistmaske mit dem Abbild der Durchkontaktierungsöffnung O1 herzustellen. Dann wird unter Verwendung der Fotoresistschicht als eine Maske die Struktur der Durchkontaktierungsöffnung O1 in die dielektrische Struktur 106 geätzt. Nach dem Ätzprozess wird die Fotoresistmaske zum Beispiel durch Einwirkung eines Sauerstoff-Plasmas entfernt. Der Ätzprozess zum Herstellen der Durchkontaktierungsöffnung O1 wird durch die Auskleidungsschicht 104 gestoppt, sodass sich in diesem Schritt die Durchkontaktierungsöffnung O1 auf der Auskleidungsschicht 104 befindet. Mit anderen Worten, die Auskleidungsschicht 104 wird von der Durchkontaktierungsöffnung O1 freigelegt. Bei einigen Ausführungsformen kann der Ätzprozess ein Trockenätz-, Nassätz- und/oder Plasmaätzprozess sein. Für den Ätzprozess zum Herstellen der Durchkontaktierungsöffnung O1 kann ein Gemisch aus Tetrafluormethan (CF4), Trifluormethan (CHF3) und Sauerstoff als das Ätzgas verwendet werden.
  • Auf der Antireflexschicht 108 wird eine Maskenschicht 110 hergestellt. Die Maskenschicht 110 hat eine Öffnung O2, die einen Teil der Antireflexschicht 108 freilegt, sodass ein Ätzprozess an dem freigelegten Teil der Antireflexschicht 108 und dem darunter befindlichen Teil der dielektrischen Struktur 106 durchgeführt werden kann, damit in einem späteren Schritt eine Grabenöffnung hergestellt werden kann. Bei einigen Ausführungsformen kann die Maskenschicht 110 eine Hartmaske sein, wie etwa Siliciumnitrid (Si3N4), die einen relativ hohen Ätzwiderstand im Vergleich zu der Antireflexschicht 108 und der dielektrischen Struktur 106 hat. Die Herstellung der Hartmaske umfasst das Herstellen einer Hartmaskenschicht auf der Antireflexschicht 108 und das anschließende Strukturieren, um die Hartmaske mit dem Abbild der Öffnung O2 herzustellen. Bei einigen weiteren Ausführungsformen kann die Maskenschicht 110 eine Fotoresistschicht sein. Die Herstellung der Maskenschicht 110 umfasst das Herstellen einer Fotoresistschicht auf der Antireflexschicht 108 und das anschließende Strukturieren, um eine Fotoresistmaske mit dem Abbild der Öffnung O2 herzustellen.
  • Kommen wir nun zu 2. Eine Schutzschicht 202 wird zumindest auf der dielektrischen Struktur 106 hergestellt. Insbesondere wird die Schutzschicht 202 konform auf einer Oberseite der Maskenschicht 110, einer Oberseite der Antireflexschicht 108, einer Oberseite der Auskleidungsschicht 104, einer Innenumfangsfläche der Öffnung O2 und einer Innenumfangsfläche der Durchkontaktierungsöffnung O1 hergestellt. Bei einigen Ausführungsformen befindet sich vor der Herstellung der Grabenöffnung die Schutzschicht 202 zumindest teilweise auf einem Teil einer Oberseite der dielektrischen Struktur 106, in dem die Grabenöffnung hergestellt werden soll. Die Schutzschicht 202 und die dielektrische Struktur 106 haben unterschiedliche Ätzeigenschaften. Insbesondere kann die Schutzschicht 202 ein Material umfassen, das eine Ätzselektivität hat, die von der Ätzselektivität der dielektrischen Struktur 106 vor dem Ätzen verschieden ist. Zum Beispiel kann die Schutzschicht 202 ein dielektrisches Material, wie etwa Siliciumnitrid (SiN) oder Siliciumoxidnitrid (SiON), umfassen, und die dielektrische Struktur 106 kann Siliciumoxid umfassen. „Ätzselektivität“ ist in diesem Zusammenhang das Verhältnis der Menge eines Ätz-Targetmaterials, das in einem einzigen Ätzprozess abgeätzt wird, zu der Menge des Fotoresists, das in einem einzigen Ätzprozess abgeätzt wird. Bei einem nachfolgenden Ätzprozess zum Herstellen der Grabenöffnung ist die Ätzselektivität der Schutzschicht 202 niedriger als die Ätzselektivität der dielektrischen Struktur 106, sodass die Schutzschicht 202 nicht leichter als die dielektrische Struktur 106 zu ätzen ist, und somit kann die Schutzschicht 202 verhindern, dass die darunter befindliche Struktur 106 überätzt wird. Die Herstellung der Schutzschicht 202 kann durch Atomlagenabscheidung (atomic layer depositon; ALD) oder CVD erfolgen. Zum Beispiel kann die Schutzschicht 202 eine Siliciumnitridschicht sein, die durch ALD hergestellt wird. Die ALD zum Herstellen der Siliciumnitridschicht umfasst mehrere Siliciumnitrid-Abscheidungszyklen. Jeder Siliciumnitrid-Abscheidungszyklus kann das In-Kontakt-Bringen der Oberflächen der Maskenschicht 110, der Antireflexschicht 108 und der Durchkontaktierungsöffnung O1 mit einem Silicium-Vorläufer, sodass der Silicium-Vorläufer auf diesen Oberflächen adsorbiert, und das In-Kontakt-Bringen dieser Oberflächen mit einem Stickstoff-Vorläufer umfassen. Der Siliciumnitrid-Abscheidungszyklus kann so oft wiederholt werden, bis eine Siliciumnitridschicht mit einer gewünschten Dicke und Zusammensetzung entsteht. Diese resultierende Siliciumnitridschicht dient als die Schutzschicht 202.
  • Kommen wir nun zu 3. Teile der Schutzschicht 202, der Antireflexschicht 108 und der dielektrischen Struktur 106, die nicht von der Maskenschicht 110 bedeckt sind, werden entfernt, um eine Grabenöffnung O3 in der dielektrischen Struktur 106 herzustellen. Mit anderen Worten, die dielektrische Struktur 106 wird ausgespart, um die Grabenöffnung O3 herzustellen. Der Entfernungsprozess kann ein Ätzprozess sein, wie etwa ein anisotroper Ätzprozess. Der anisotrope Ätzprozess kann zum Beispiel ein Trockenätzprozess auf der Basis von CF4 oder C4F8 sein. In diesem Entfernungsprozess wird ein Durchkontaktierungs-Definitionsteil 310 unter der Grabenöffnung O3 hergestellt. Insbesondere dient zumindest ein Teil der verbleibenden dielektrischen Struktur 106, der sich unter der Grabenöffnung O3 befindet, als der Durchkontaktierungs-Definitionsteil 310, der die Durchkontaktierungsöffnung O1 zwischen der Grabenöffnung O3 und der Nichtisolatorstruktur 102 definiert. Die Grabenöffnung O3 hat eine Aussparung 322 und eine Bodenfläche 324. Die Aussparung 322 wird in der Bodenfläche 324 durch den Ätzprozess zur Herstellung der Grabenöffnung O3 ausgespart. Die Tiefe der Aussparung 322 kann um den geopferten Teil der Schutzschicht 202, der den entfernten Teil der dielektrischen Struktur 106 bedeckt, auf Grund der Ätzselektivität zwischen ihnen reduziert werden, sodass die Nichtisolatorstruktur 102 durch den Entfernungsprozess zur Herstellung der Grabenöffnung O3 nicht geätzt oder beschädigt wird. Bei einigen Ausführungsformen wird die Aussparung 322 in dem Durchkontaktierungs-Definitionsteil 310 hergestellt. Mit anderen Worten, ein Teil der Bodenfläche 324 befindet sich auf einem niedrigeren Niveau als die oberste Stelle der Durchkontaktierungsöffnung O1. Anders ausgedrückt, der Mindestabstand von der untersten Stelle der Bodenfläche 324 bis zu der Nichtisolatorstruktur 102 ist kleiner als der Mindestabstand von der obersten Stelle der Durchkontaktierungsöffnung O1 bis zu der Nichtisolatorstruktur 102. Bei einigen Ausführungsformen ist die Bodenfläche 324 uneben, und daher ist der Durchkontaktierungs-Definitionsteil 310 ein Teil mit sich ändernder Dicke, der durch den Entfernungsprozess zur Herstellung der Grabenöffnung O3 eine räumlich unterschiedliche Dicke hat. Bei einigen Ausführungsformen hat die Grabenöffnung O3 eine Mittelachse A, und die Dicke des Durchkontaktierungs-Definitionsteils 310 nimmt entlang einer Richtung D von der Mittelachse A der Grabenöffnung O3 weg ab. Diese Verringerung der Dicke wird von dem Entfernungsprozess zur Herstellung der Grabenöffnung O3 verursacht, und dadurch entsteht auch die unebene Bodenfläche 324.
  • Wie in 3 gezeigt ist, hat der Durchkontaktierungs-Definitionsteil 310 eine Seitenwand 312, die die Durchkontaktierungsöffnung O1 definiert. Mit anderen Worten, die Seitenwand 312 ist eine Umfangswand, die die Durchkontaktierungsöffnung O1 umschließt. Bei einigen Ausführungsformen verbleibt nach der Herstellung der Grabenöffnung O3 ein Teil der Schutzschicht 202 in der Durchkontaktierungsöffnung O1 und auf der Seitenwand 312. Insbesondere ist der verbleibende Teil der Schutzschicht 202 in Kontakt mit der Seitenwand 312.
  • Kommen wir nun zu 4. Eine weitere Schutzschicht 410 wird zumindest auf der Bodenfläche 324 hergestellt und kann die Aussparung 322 füllen. Mit anderen Worten, die Schutzschicht 410 wird zumindest in der Aussparung 322 hergestellt. An sich ist ein leitendes Material, das in die Grabenöffnung O3 gefüllt wird und in einem nachfolgenden Schritt, der später näher beschrieben wird, hergestellt wird, von einem Teil der Bodenfläche 324 (oder der Bodenfläche der Aussparung 322) getrennt oder beabstandet. Diese Trennung kann verhindern, dass das leitende Material, das in die Grabenöffnung O3 gefüllt wird, mit einem Teil der Bodenfläche 324 (oder der Aussparung 322) in Kontakt kommt, und somit wird verhindert, dass das leitende Material, das in die Grabenöffnung O3 gefüllt wird, in Kontakt mit der darunter befindlichen Nichtisolatorstruktur 102 kommt, wenn der Grabenherstellungsprozess zu einer Überätzung in die Nichtisolatorstruktur 102 führt. Mit anderen Worten, auch wenn in einigen Fällen die Aussparung 322 bis in die Nichtisolatorstruktur 102 hinein reicht, kann das leitende Material in der Grabenöffnung O3 von der Nichtisolatorstruktur 102 getrennt werden. Bei einigen Ausführungsformen ist die Schutzschicht 410 nicht leitend, sodass die Schutzschicht 410 keine unerwünschte elektrische Verbindung zwischen der Nichtisolatorstruktur 102 und dem nachfolgend ausgebildeten leitenden Material herstellen kann, auch wenn in einigen Fällen die Aussparung 322 bis in die Nichtisolatorstruktur 102 hinein reicht.
  • Bei einigen Ausführungsformen hat die Grabenöffnung O3 eine Seitenwand 320. Die Schutzschicht 410 wird konform auf der Bodenfläche 324 und der Seitenwand 320 der Grabenöffnung O3, auf Innenumfangsflächen der Maskenschicht 110, der Antireflexschicht 108 und der dielektrischen Struktur 106, einer Oberseite der Maskenschicht 110 und einer Innenumfangsfläche der Schutzschicht 202 in der Durchkontaktierungsöffnung O1 hergestellt. Insbesondere hat die Schutzschicht 410 eine Innenfläche 412 und eine Außenfläche 414. Die Innenfläche 412 und die Außenfläche 414 liegen einander gegenüber. Die Innenfläche 412 passt sich zumindest an die Bodenfläche 324 an und ist mit dieser in Kontakt, und daher ist auch die Innenfläche 412 zumindest teilweise uneben und ihre Form entspricht der Form der Bodenfläche 324. Die Außenfläche 414 ist nicht parallel zu der Innenfläche 412, was dazu beitragen kann, dass das leitende Material, das darauf in einem nachfolgenden Schritt ausgebildet wird, eine Fläche über der Bodenfläche 324 hat, die nicht parallel zu der Bodenfläche 324 ist. Bei einigen Ausführungsformen ist der Abstand von der Innenfläche 412 bis zu der Außenfläche 414 räumlich unterschiedlich, was die Herstellung der nicht parallelen Innen- und Außenflächen 412 und 414 unterstützt. Bei einigen Ausführungsformen nimmt der Abstand von der Innenfläche 412 bis zu der Außenfläche 414 entlang der Richtung D von der Mittelachse A der Grabenöffnung O3 weg zu, was dazu beiträgt, dass sich die Innenfläche 412 an die Bodenfläche 324 anpasst und die Außenfläche 414 nicht parallel zu der Innenfläche 412 ist. Bei einigen Ausführungsformen hat ein Teil der Schutzschicht 410 über dem Durchkontaktierungs-Definitionsteil 310 eine räumlich variable Dicke, was die Herstellung der nicht parallelen Innen- und Außenflächen 412 und 414 unterstützt. Bei einigen Ausführungsformen hat der Teil der Schutzschicht 410, der sich über der Bodenfläche 324 befindet, eine Dicke, die entlang der Richtung D von der Mittelachse A der Grabenöffnung O3 weg zunimmt, was dazu beiträgt, dass sich die Innenfläche 412 an die Bodenfläche 324 anpasst und die Außenfläche 414 nicht parallel zu der Innenfläche 412 ist.
  • Die Schutzschicht 410 und die dielektrische Struktur 106 haben unterschiedliche Ätzeigenschaften. Insbesondere kann die Schutzschicht 410 ein Material umfassen, das eine Ätzselektivität hat, die von der Ätzselektivität der dielektrischen Struktur 106 verschieden ist. Zum Beispiel kann die Schutzschicht 410 ein dielektrisches Material, wie etwa Siliciumnitrid (SiN) oder Siliciumoxidnitrid (SiON), umfassen, und die dielektrische Struktur 106 kann Siliciumoxid umfassen. Bei einem nachfolgenden Ätzprozess zum Entfernen eines Teils der Auskleidungsschicht 104, der sich unter der Durchkontaktierungsöffnung O1 befindet, ist die Ätzselektivität der Schutzschicht 410 niedriger als die Ätzselektivität der dielektrischen Struktur 106, sodass die Schutzschicht 410 nicht leichter als die dielektrische Struktur 106 zu ätzen ist, und somit kann die Schutzschicht 410 verhindern, dass die darunter befindliche Struktur 106 überätzt wird. Die Herstellung der Schutzschicht 410 kann durch Atomlagenabscheidung (atomic layer depositon; ALD) oder CVD erfolgen. Zum Beispiel kann die Schutzschicht 410 eine Siliciumnitridschicht sein, die durch ALD hergestellt wird. Die Siliciumnitridschicht wird mit einem ALD-Prozess hergestellt, der mehrere Siliciumnitrid-Abscheidungszyklen umfasst, wobei jeder Siliciumnitrid-Abscheidungszyklus das In-Kontakt-Bringen der Bodenfläche 324 und der Seitenwand 312 der Grabenöffnung O3, der Oberseite der Maskenschicht 110, der Innenumfangsfläche der Schutzschicht 202 in der Durchkontaktierungsöffnung O1 und der Innenumfangsflächen der Maskenschicht 110, der Antireflexschicht 108 und der dielektrischen Struktur 106 mit einem Silicium-Vorläufer, sodass der Silicium-Vorläufer auf diesen Oberflächen adsorbiert, und das In-Kontakt-Bringen dieser Oberflächen mit einem Stickstoff-Vorläufer umfassen kann. Der Siliciumnitrid-Abscheidungszyklus kann so oft wiederholt werden, bis eine Siliciumnitridschicht mit einer gewünschten Dicke und Zusammensetzung entsteht. Diese resultierende Siliciumnitridschicht dient als die Schutzschicht 410.
  • Kommen wir nun zu 5. Ein Teil der Auskleidungsschicht 104, der sich unter der Durchkontaktierungsöffnung O1 befindet, wird entfernt, sodass die Nichtisolatorstruktur 102 von der Durchkontaktierungsöffnung O1 freigelegt werden kann. Der Teil der Auskleidungsschicht 104, der sich unter der Durchkontaktierungsöffnung O1 befindet, kann zum Beispiel mit einem Trockenätzprozess entfernt werden. Der Trockenätzprozess kann eine hohe Ätzselektivität haben, sodass er an der Nichtisolatorstruktur 102 enden kann. Der Trockenätzprozess kann zum Beispiel mit einer Energie von etwa 150 bis 220 W und einem Druck von etwa 10 bis 45 mTorr unter Verwendung von CH2F2 und Ar als Ätzgase durchgeführt werden. Unerwünschtes Ätzen der Nichtisolatorstruktur 102 während der Ätzprozesse zur Herstellung der Durchkontaktierungsöffnung O1 und der Grabenöffnung O3 kann durch das Einfügen der Auskleidungsschicht 104 auf der Nichtisolatorstruktur 102 reduziert werden. Insbesondere kann die Auskleidungsschicht 104 die Herstellung der Nichtisolatorstruktur 102 ohne eine Aussparung, die durch die Ätzprozesse zur Herstellung der Durchkontaktierungsöffnung O1 und Grabenöffnung O3 entsteht, unterstützen, wodurch die Bauelementleistung verbessert wird.
  • Da der Ätzprozess für die Auskleidungsschicht nach der Herstellung der Schutzschicht 410 durchgeführt wird und die Schutzschicht 410 und die dielektrische Struktur 106 unterschiedliche Ätzeigenschaften haben, kann die Schutzschicht 410 den darunter befindlich Teil der dielektrischen Struktur 106 (nämlich den Durchkontaktierungs-Definitionsteil 310) vor einer Überätzung während des Auskleidungsschicht-Ätzprozesses schützen, und es kann vermieden werden, dass die Aussparung 322 während des Auskleidungsschicht-Ätzprozesses weiter in die Nichtisolatorstruktur 102 hinein reicht. Bei einigen Ausführungsformen kann während des Auskleidungsschicht-Ätzprozesses ein Teil der Schutzschicht 410, der sich über dem Durchkontaktierungs-Definitionsteil 310 befindet, zu einer gerundeten Außenfläche 414 geglättet werden, und die Form dieser gerundeten Außenfläche 414 ist von denen der Innenfläche 412 und der Bodenfläche 324 verschieden. Insbesondere kann bei einigen Ausführungsformen die gerundete Außenfläche 414 zu der Mittelachse A der Grabenöffnung O3 hin konvex sein, während die Innenfläche 412 und die Bodenfläche 324 in einer Richtung von der Grabenöffnung O3 weg konvex sein können. Bei einer solchen Konfiguration nimmt der Abstand von der Außenfläche 414 bis zu der Innenfläche 412 und/oder der Bodenfläche 324 entlang der Richtung D von der Mittelachse A der Grabenöffnung O3 weg zu. Bei einigen Ausführungsformen verbleibt nach dem Auskleidungsschicht-Entfernungsprozess ein Teil der Schutzschicht 410 auf den Innenumfangsflächen der Maskenschicht 110, der Antireflexschicht 108 und der dielektrischen Struktur 106, und ein Teil der Schutzschicht 410 verbleibt auf der Schutzschicht 202 in der Durchkontaktierungsöffnung O1. Mit anderen Worten, ein Teil der Schutzschicht 410, der in der Durchkontaktierungsöffnung O1 verbleibt, wird von der Schutzschicht 202 in der Durchkontaktierungsöffnung O1 umschlossen. Bei einer solchen resultierenden Struktur befindet sich die Schutzschicht 202 zwischen der Schutzschicht 410 und der Seitenwand 312 der Durchkontaktierungsöffnung O1.
  • Kommen wir nun zu 6. Eine leitende Struktur 600 wird in der Durchkontaktierungsöffnung O1 und der Grabenöffnung O3 und auf der Schutzschicht 410 hergestellt. Die leitende Struktur 600 ist von der Bodenfläche 324 und der Seitenwand 320 der Grabenöffnung O3 getrennt oder beabstandet. Mit anderen Worten, die leitende Struktur 600 befindet sich außerhalb der Aussparung 322 und ist von der Bodenfläche der Aussparung 322 getrennt. Insbesondere befindet sich ein Teil der Schutzschicht 410 zwischen der Bodenfläche 324 und der leitenden Struktur 600, um die leitende Struktur 600 von der Bodenfläche 324 zu beabstanden. Bei einigen Ausführungsformen umfasst die leitende Struktur 600 TiN, TaN, Ta, Ti, Hf, Zr, Ni, W, Co, Cu oder Al. Bei einigen Ausführungsformen kann die leitende Struktur 600 durch CVD, PVD, Plattierung, ALD oder andere geeignete Verfahren hergestellt werden. Bei einigen Ausführungsformen kann die leitende Struktur 600 ein Schichtstoff sein. Der Schichtstoff kann weiterhin eine Metall-Sperrschicht, eine Metall-Auskleidungsschicht oder eine Metall-Benetzungsschicht haben. Darüber hinaus hängt die Dicke der leitenden Struktur 600 von der Tiefe der Durchkontaktierungsöffnung O1 und der Grabenöffnung O3 ab. Die leitende Struktur 600 wird so lange abgeschieden, bis die Durchkontaktierungsöffnung O1 und die Grabenöffnung O3 im Wesentlichen gefüllt sind oder überfüllt sind.
  • Bei einigen Ausführungsformen kann für den Fall, dass die leitende Struktur 600 die Grabenöffnung O3 überfüllt, die leitende Struktur 600 einen unteren leitenden Teil 610, einen mittleren leitenden Teil 620 und eine oberen leitenden Teil 630 haben. Der mittlere leitende Teil 620 verbindet den unteren leitenden Teil 610 mit dem oberen leitenden Teil 630. Der untere leitende Teil 610 füllt die Durchkontaktierungsöffnung O1. Insbesondere befindet sich der untere leitende Teil 610 in der Durchkontaktierungsöffnung O1 und ist von den Schutzschichten 410 und 202 umschlossen. Mit anderen Worten, bei einigen Ausführungsformen können der untere leitende Teil 610 und die Schutzschichten 410 und 202 in der Durchkontaktierungsöffnung O1 konzentrisch angeordnet werden. Anders ausgedrückt, die Schutzschichten 410 und 202 befinden sich zwischen dem unteren leitenden Teil 610 und der Seitenwand 312 der Durchkontaktierungsöffnung O1. Der Überfüllungsteil der leitenden Struktur 600 bildet den oberen leitenden Teil 630, der sich über der Maskenschicht 110 befindet. Der mittlere leitende Teil 620 füllt die Grabenöffnung O3. Der mittlere leitende Teil 620 hat eine untere Fläche 622 zu der Bodenfläche 324 hin. Die untere Fläche 622 und die Bodenfläche 324 sind durch die Schutzschicht 410 getrennt. Bei einer solchen Konfiguration wird vermieden, dass die untere Fläche 622 des mittleren leitenden Teils 620 mit der darunter befindlichen Nichtisolatorstruktur 102 in Kontakt kommt, auch wenn in einigen Fällen die Aussparung 322 durch Überätzung in die Nichtisolatorstruktur 102 hinein reicht. Mit anderen Worten, auch wenn in einigen Fällen die Aussparung 322 in die Nichtisolatorstruktur 102 hinein reicht, kann sich der mittlere leitende Teil 620 in der Grabenöffnung O3 außerhalb der Aussparung 322 befinden und somit durch die Schutzschicht 410 von der Nichtisolatorstruktur 102 getrennt sein.
  • Bei einigen Ausführungsformen wird der mittlere leitende Teil 620 der leitenden Struktur 600 konform auf der Schutzschicht 410 hergestellt. Insbesondere passt sich die untere Fläche 622 des mittleren leitenden Teils 620 an einen Teil der Außenfläche 414 an, der sich über dem Durchkontaktierungs-Definitionsteil 310 befindet. Mit anderen Worten, die untere Fläche 622 und die Außenfläche 414 haben die gleiche Form. Daher ist ähnlich wie bei der Außenfläche 414 bei einigen Ausführungsformen der Abstand von der unteren Fläche 622 des mittleren leitenden Teils 620 bis zu der Bodenfläche 324 räumlich unterschiedlich. Mit anderen Worten, die untere Fläche 622 des mittleren leitenden Teils 620 ist nicht parallel zu der Bodenfläche 324. Bei einigen Ausführungsformen nimmt der Abstand von der unteren Fläche 622 des mittleren leitenden Teils 620 bis zu der Bodenfläche 324 entlang der Richtung D von der Mittelachse A der Grabenöffnung O3 weg zu.
  • Bei einigen Ausführungsformen hat der mittlere leitende Teil 620 eine Seitenwand 624 gegenüber der Seitenwand 320 der Grabenöffnung O3. Die Seitenwand 320 der Grabenöffnung O3 und die Seitenwand 624 des mittleren leitenden Teils 620 der leitenden Struktur 600 definieren einen Spalt G zwischen beiden. Der Spalt G wird mit der Schutzschicht 410 gefüllt. Bei einigen Ausführungsformen befindet sich die Aussparung 322 zwischen dem Spalt G und der Nichtisolatorstruktur 102. Bei einigen Ausführungsformen ist der Spalt G mit der Aussparung 322 verbunden und beide sind mit der Schutzschicht 410 gefüllt.
  • Kommen wir nun zu 7. Nach dem Füllen der Durchkontaktierungsöffnung O1 und der Grabenöffnung O3 wird ein CMP-Prozess (CMP: chemisch-mechanische Polierung) durchgeführt, um die leitende Struktur 600 zu planarisieren. Der CMP-Prozess entfernt den oberen leitenden Teil 630 der leitenden Struktur 600 außerhalb der Durchkontaktierungsöffnung O1 und der Grabenöffnung O3 und kann beendet werden, wenn die dielektrische Struktur 106 erreicht ist, sodass eine im Wesentlichen planare Oberfläche entsteht. Der CMP-Prozess entfernt auch die Antireflexschicht 108 und die Maskenschicht 110.
  • Kommen wir nun zu 8. Ein Teil der Schutzschicht 410 in der Grabenöffnung O3 wird entfernt, um einen Zwischenraum herzustellen, der Gas enthält. Mit anderen Worten, nach dem Entfernungsprozess bilden die leitende Struktur 600 sowie die Seitenwand 320 und die Bodenfläche 324 der Grabenöffnung O3 einen Zwischenraum, der Gas oder Luft enthält. Insbesondere werden zumindest Teile der Schutzschicht 410 in dem Spalt G und in der Aussparung 322 entfernt, um einen Zwischenraum herzustellen, der Gas enthält. Mit anderen Worten, der Spalt G und die Aussparung 322 sind miteinander verbunden, und sie enthalten Gas oder Luft. Insbesondere trennt nach dem Entfernungsprozess das Gas zumindest die leitende Struktur 600 von der Bodenfläche der Aussparung 322, und das Gas trennt auch zumindest die leitende Struktur 600 von der Seitenwand 320 der Grabenöffnung O3. Der Entfernungsprozess umfasst einen selektiven Ätzprozess, der die Schutzschicht 410 selektiv entfernt. Die Schutzschicht 410 kann zum Beispiel aus Siliciumnitrid bestehen, und die leitende Struktur 600 und die dielektrische Struktur 106 können aus einem anderen Material als Siliciumnitrid bestehen. Der Entfernungsprozess umfasst das selektive Ätzen der Siliciumnitridschicht zwischen der leitenden Struktur 600 und der dielektrischen Struktur 106 und kann zum Beispiel dadurch durchgeführt werden, dass das Bauelement eine Zeit lang in ein heißes Phosphorsäurebad getaucht wird.
  • Da bei einigen Ausführungsformen die Gas enthaltende Aussparung 322 durch Entfernen eines Teils der Schutzschicht 410 in der Aussparung 322 hergestellt wird, hat dieser Teil der Gas enthaltenden Aussparung 322 eine Form, die der des Teils der Schutzschicht 410 in der Aussparung 322 in dem vorhergehenden Schritt ähnlich ist. Insbesondere kann die Gas enthaltende Aussparung 322 eine räumlich unterschiedliche Dicke haben. Bei einigen Ausführungsformen nimmt die Dicke der Gas enthaltenden Aussparung 322 entlang der Richtung D von der Mittelachse A der Grabenöffnung O3 weg zu. Es ist klar, dass die Herstellung der Gas enthaltenden Aussparung 322 und des Gas enthaltenden Spalts G optional ist. Bei einigen weiteren Ausführungsformen kann eine resultierende Verbindungsstruktur immer noch die Schutzschicht 410 in dem Spalt G und der Aussparung 322 haben, um die leitende Struktur 600 von der dielektrischen Struktur 106 zu beabstanden.
  • Kommen wir nun zu 9. Bei einigen Ausführungsformen wird eine weitere Stoppschicht 910 auf der leitenden Struktur 600 und der dielektrischen Struktur 106 hergestellt. Bei einigen Ausführungsformen kann die Stoppschicht 910 ein dielektrisches Material umfassen, wie etwa Siliciumcarbid, Siliciumnitrid oder Kohlenstoff-dotiertes Siliciumnitrid. Bei einigen Ausführungsformen kann die Stoppschicht 910 zum Beispiel mittels CVD auf der leitenden Struktur 600 und der dielektrischen Struktur 106 abgeschieden werden. Bei einigen Ausführungsformen wird das Siliciumnitrid dadurch abgeschieden, dass eine Siliciumquellenverbindung und eine Stickstoffquelle zur Reaktion gebracht werden. Die Siliciumquellenverbindung liefert Silicium für das abzuscheidende Siliciumnitrid und kann Silan (SiH4) oder Tetraethoxysilan (TEOS) umfassen. Die Stickstoffquelle liefert Stickstoff für das abzuscheidende Siliciumnitrid und kann Ammoniak (NH3) oder Stickstoffgas (N2) umfassen.
  • Da bei einigen Ausführungsformen die Schutzschicht zumindest in der Aussparung der Grabenöffnung hergestellt wird, kann die leitende Struktur, die in der Grabenöffnung hergestellt wird, zumindest von der Bodenfläche der Aussparung getrennt werden. An sich wird auch dann, wenn in einigen Fällen die Aussparung durch Überätzung in die Nichtisolatorstruktur hinein reicht, vermieden, dass die leitende Struktur in die Nichtisolatorstruktur hinein reicht und eine unerwünschte elektrische Verbindung herstellt.

Claims (20)

  1. Verbindungsstruktur mit: einer Nichtisolatorstruktur (102); einer dielektrischen Struktur (106), die sich auf der Nichtisolatorstruktur (102) befindet, wobei die dielektrische Struktur (106) eine Grabenöffnung (O3) und eine Durchkontaktierungsöffnung (O1) hat, wobei die Grabenöffnung (O3) eine Bodenfläche (324) und mindestens eine Aussparung (322) in der Bodenfläche (324) hat und sich die Durchkontaktierungsöffnung (O1) zwischen der Grabenöffnung (O3) und der Nichtisolatorstruktur (102) befindet; und einer leitenden Struktur (600), die sich in der Grabenöffnung (O3) und der Durchkontaktierungsöffnung (O1) befindet und mit der Nichtisolatorstruktur (102) elektrisch verbunden ist, wobei die leitende Struktur (600) zumindest teilweise von der Bodenfläche der Aussparung (322) getrennt ist; wobei zwischen einer Seitenwand (320) der Grabenöffnung (O3) und einer Seitenwand eines Teils der leitenden Struktur ein Spalt (G) vorhanden ist.
  2. Verbindungsstruktur nach Anspruch 1, wobei die Aussparung (322) ein Gas enthält und das Gas die leitende Struktur (600) zumindest von der Bodenfläche der Aussparung (322) trennt.
  3. Verbindungsstruktur nach einem der vorangehenden Ansprüche, wobei sich die Aussparung (322) zwischen dem Spalt (G) und der Nichtisolatorstruktur (102) befindet.
  4. Verbindungsstruktur nach einem der vorangehenden Ansprüche, wobei der Spalt (G) mit der Aussparung (322) in Verbindung steht.
  5. Verbindungsstruktur nach einem der vorangehenden Ansprüche, wobei derSpalt (G) ein Gas enthält und das Gas die leitende Struktur (600) zumindest von der Seitenwand der Grabenöffnung (O3) trennt.
  6. Verbindungsstruktur nach einem der vorhergehenden Ansprüche, die weiterhin mindestens eine erste Schutzschicht aufweist, die sich zwischen der leitenden Struktur (600) und mindestens einer Seitenwand der Durchkontaktierungsöffnung (O1) befindet.
  7. Verbindungsstruktur nach Anspruch 6, wobei die erste Schutzschicht und die dielektrische Struktur unterschiedliche Ätzeigenschaften haben.
  8. Verbindungsstruktur nach Anspruch 6 oder 7, die weiterhin mindestens eine zweite Schutzschicht aufweist, die sich zwischen der ersten Schutzschicht und der Seitenwand der Durchkontaktierungsöffnung (O1) befindet.
  9. Verbindungsstruktur nach einem der vorhergehenden Ansprüche, die weiterhin mindestens eine Schutzschicht in der Aussparung (322) aufweist.
  10. Verbindungsstruktur nach Anspruch 9, wobei die Schutzschicht nicht leitend ist.
  11. Verbindungsstruktur mit: einer Nichtisolatorstruktur (102); einer dielektrischen Struktur (106), die sich auf der Nichtisolatorstruktur (102) befindet, wobei die dielektrische Struktur (106) eine Grabenöffnung (O3) und eine Durchkontaktierungsöffnung (O1) hat und sich die Durchkontaktierungsöffnung (O1) zwischen der Grabenöffnung (O3) und der Nichtisolatorstruktur (102) befindet, wobei die Grabenöffnung (O3) eine Bodenfläche (324) und mindestens eine Aussparung (322) in der Bodenfläche (324) hat; einer leitenden Struktur (600), die sich in der Grabenöffnung (O3) und der Durchkontaktierungsöffnung (O1) befindet und mit der Nichtisolatorstruktur (102) elektrisch verbunden ist; und mindestens einer ersten dielektrischen Schutzschicht (202), die sich zwischen der leitenden Struktur (600) und mindestens einer Seitenwand (320) der Durchkontaktierungsöffnung (O1) befindet; wobei zwischen einer Seitenwand (320) der Grabenöffnung (O3) und einer Seitenwand eines Teils der leitenden Struktur ein Spalt (G) vorhanden ist.
  12. Verbindungsstruktur nach Anspruch 11, wobei der Spalt (G) mit Gas gefüllt ist.
  13. Verbindungsstruktur nach Anspruch 11 oder 12, wobei die erste dielektrische Schutzschicht (202) und die dielektrische Struktur (106) unterschiedliche Ätzeigenschaften haben, wobei die erste dielektrische Schutzschicht (202) eine geringere Ätzselektivität aufweist als die dielektrische Struktur (106).
  14. Verbindungsstruktur nach einem der Ansprüche 11 bis 13, die weiterhin mindestens eine zweite dielektrische Schutzschicht (410) aufweist, die sich zwischen der leitenden Struktur und der ersten dielektrischen Schutzschicht (202) befindet.
  15. Verbindungsstruktur nach Anspruch 14, wobei die zweite dielektrische Schutzschicht (410) und die dielektrische Struktur (106) unterschiedliche Ätzeigenschaften haben.
  16. Verbindungsstruktur nach einem der Ansprüche 11 bis 15, wobei die leitende Struktur und die Bodenfläche (324) der Grabenöffnung (O3) zumindest teilweise getrennt sind.
  17. Verfahren zum Herstellen einer Verbindungsstruktur mit den folgenden Schritten: Herstellen einer Auskleidungsschicht (104) auf einer Nichtisolatorstruktur (102); Herstellen einer dielektrischen Struktur (106) auf der Auskleidungsschicht (104); Herstellen mindestens einer Durchkontaktierungsöffnung (O1) in der dielektrischen Struktur (106), wobei ein Teil der Auskleidungsschicht (104) auf der Nichtisolatorstruktur (102) von der Durchkontaktierungsöffnung (O1) freigelegt wird; Herstellen mindestens einer Grabenöffnung (O3) in der dielektrischen Struktur (106), wobei die Grabenöffnung (O3) mit der Durchkontaktierungsöffnung (O1) verbunden wird und wobei mindestens eine Aussparung (322) in der Bodenfläche (324) in der Grabenöffnung (O3) gebildet wird; Herstellen mindestens einer ersten dielektrischen Schutzschicht (202) zumindest teilweise auf der Bodenfläche (324) der Grabenöffnung (O3); Entfernen des Teils der Auskleidungsschicht (104), der von der Durchkontaktierungsöffnung (O1) freigelegt wird, um die Nichtisolatorstruktur (102) nach dem Herstellen der ersten dielektrischen Schutzschicht (202) freizulegen; und Herstellen einer leitenden Struktur (600) in der Grabenöffnung (O3) und der Durchkontaktierungsöffnung (O1), wobei die leitende Struktur (600) mit der freigelegten Nichtisolatorstruktur (102) elektrisch verbunden wird und wobei zwischen der leitenden Struktur (600) und einer Seitenwand der Grabenöffnung (O3) ein Spalt gebildet wird.
  18. Verfahren nach Anspruch 17, das weiterhin den folgenden Schritt aufweist: Herstellen mindestens einer zweiten dielektrischen Schutzschicht (410) zumindest teilweise auf einem Teil einer Oberseite der dielektrischen Struktur (106), in der die Grabenöffnung (O3) hergestellt werden soll, vor der Herstellung der Grabenöffnung (O3).
  19. Verfahren nach Anspruch 17 oder 18, das weiterhin den folgenden Schritt aufweist: Entfernen der ersten dielektrischen Schutzschicht (202), die nach der Herstellung der leitenden Struktur (600) in der Grabenöffnung (O3) verbleibt.
  20. Verfahren nach Anspruch 17, 18 oder 19, wobei die erste dielektrische Schutzschicht (202) und die dielektrische Struktur (106) unterschiedliche Ätzeigenschaften haben.
DE102016100282.8A 2015-10-20 2016-01-10 Verbindungsstruktur und Verfahren zu ihrer Herstellung Active DE102016100282B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562244022P 2015-10-20 2015-10-20
US62/244,022 2015-10-20
US14/983,412 US10541204B2 (en) 2015-10-20 2015-12-29 Interconnection structure and method of forming the same
US14/983,412 2015-12-29

Publications (2)

Publication Number Publication Date
DE102016100282A1 DE102016100282A1 (de) 2017-04-20
DE102016100282B4 true DE102016100282B4 (de) 2020-09-10

Family

ID=58456659

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016100282.8A Active DE102016100282B4 (de) 2015-10-20 2016-01-10 Verbindungsstruktur und Verfahren zu ihrer Herstellung

Country Status (1)

Country Link
DE (1) DE102016100282B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090134517A1 (en) * 2007-11-28 2009-05-28 Takamasa Usui Semiconductor device and method of manufacturing the same
US20090197404A1 (en) * 2007-12-18 2009-08-06 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
DE102008054068A1 (de) * 2008-10-31 2010-06-24 Advanced Micro Devices, Inc., Sunnyvale Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102015112914A1 (de) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Struktur eines Fin-Feldeffekttransistorbauelements (FinFET- Bauelement) mit Zwischenverbindungsstruktur

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090134517A1 (en) * 2007-11-28 2009-05-28 Takamasa Usui Semiconductor device and method of manufacturing the same
US20090197404A1 (en) * 2007-12-18 2009-08-06 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
DE102008054068A1 (de) * 2008-10-31 2010-06-24 Advanced Micro Devices, Inc., Sunnyvale Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102015112914A1 (de) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Struktur eines Fin-Feldeffekttransistorbauelements (FinFET- Bauelement) mit Zwischenverbindungsstruktur

Also Published As

Publication number Publication date
DE102016100282A1 (de) 2017-04-20

Similar Documents

Publication Publication Date Title
DE102019106763B4 (de) Verfahren zum ausbilden einer integrierten schaltungsstruktur und integrierte schaltungsstruktur
DE102017117796B4 (de) Verfahren zur bildung von kontaktsteckern mit verringerter korrosion
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102020121641B3 (de) Rückseitendurchkontaktierungen in halbleitervorrichtungen
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102015103264B4 (de) Verfahren zum Ausbilden einer Halbleiterbauelementstrukur mit einem Gate
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020109494B3 (de) Geschnittene metallgate-befüllung mit lücke
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102013104368B4 (de) Vorrichtung, Bauteil und Verfahren für die Ausbildung einer Verbindungsstruktur
DE102020130171B4 (de) Abstandselemente für Halbleitervorrichtungen, welche rückseitige Stromschienen aufweisen
DE102020122828B4 (de) Halbleitervorrichtungen, aufweisend rückseitige durchkontaktierungen und verfahren zu deren bildung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102020120002A1 (de) Wärmeableitung in halbleitervorrichtungen
DE102016100282B4 (de) Verbindungsstruktur und Verfahren zu ihrer Herstellung
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final