DE102017117796B4 - Verfahren zur bildung von kontaktsteckern mit verringerter korrosion - Google Patents

Verfahren zur bildung von kontaktsteckern mit verringerter korrosion Download PDF

Info

Publication number
DE102017117796B4
DE102017117796B4 DE102017117796.5A DE102017117796A DE102017117796B4 DE 102017117796 B4 DE102017117796 B4 DE 102017117796B4 DE 102017117796 A DE102017117796 A DE 102017117796A DE 102017117796 B4 DE102017117796 B4 DE 102017117796B4
Authority
DE
Germany
Prior art keywords
metal
contact opening
ild
contact
containing material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017117796.5A
Other languages
English (en)
Other versions
DE102017117796A1 (de
Inventor
Yu-Sheng Wang
Chi-Cheng Hung
Chen-Yuan Kao
Yi-Wei Chiu
Liang-Yueh Ou Yang
Yueh-Ching Pai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017117796A1 publication Critical patent/DE102017117796A1/de
Application granted granted Critical
Publication of DE102017117796B4 publication Critical patent/DE102017117796B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren (200), umfassend:Bilden eines Zwischenschichtdielektrikums (ILD) (36), das einen Gate-Stapel (26) eines Transistors bedeckt, wobei das ILD (36) und der Gate-Stapel (26) Teile eines Wafers (10) sind;Ätzen (202, 204) des ILD zum Bilden einer ersten Kontaktöffnung (40, 41), wobei ein Source/Drain-Gebiet (22) des Transistors oder eine Gate-Elektrode (28) in dem Gate-Stapel (26) durch die erste Kontaktöffnung (40, 41) freigelegt wird;Bilden (208) einer leitfähigen Kappenschicht (48), wobei sich die leitfähige Kappenschicht (48) in die erste Kontaktöffnung (40, 41) erstreckt;Plattieren (212) eines metallhaltigen Materials (54) auf der leitfähigen Kappenschicht (48), wobei das Plattieren mittels elektrochemischen Plattierens in einer Plattierungslösung (55) durchgeführt wird, wobei das metallhaltige Material (54) einen Abschnitt umfasst, der die erste Kontaktöffnung (40, 41) füllt, und die Plattierungslösung (55) einen Schwefelgehalt geringer als etwa 100 Teile je Million (Parts per Million, ppm) hat; undDurchführen (214) einer Planarisierung auf dem Wafer (10), um überschüssige Abschnitte des metallhaltigen Materials (54) zu entfernen, wobei ein verbleibender Abschnitt des metallhaltigen Materials (54) und ein verbleibender Abschnitt der leitfähigen Kappenschicht (48) in Kombination einen ersten Kontaktstecker (56A, 56B) bilden, wobei die Planarisierung zu einer Ausnehmung (60) im ersten Kontaktstecker (56A, 56B) führt und das Verfahren ferner ein selektives Abscheiden einer Metallkappe (62) in die Ausnehmung (60) umfasst.

Description

  • HINTERGRUND
  • In der Herstellung integrierter Schaltungen werden Kontaktstecker für einen Anschluss an die Source- und Drain-Gebiete und die Gates von Transistoren verwendet. Die Source/Drain-Kontaktstecker wurden typischerweise an Source/Drain-Silicidbereiche angeschlossen, deren Bildung das Bilden von Kontaktöffnungen, um Source/Drain-Gebiete freizulegen, das Abscheiden einer Metallschicht, das Durchführen eines Temperns, um die Metallschicht mit den Source/Drain-Gebieten zur Reaktion zu bringen, das Einfüllen von Wolfram in die verbleibende Kontaktöffnung und das Durchführen eines chemisch-mechanischen Polierens (CMP) zur Entfernung von überschüssigem Wolfram beinhaltet. Dann wird eine Reinigung durchgeführt. Beim CMP und den anschließenden Reinigungsprozessen kann die Deckfläche des Kontaktsteckers unter einem Dishing-Effekt und Korrosion leiden.
  • Die US 2014 / 0 199 837 A1 offenbart ein Verfahren zum Herstellen einer Halbleiterstruktur mit einem Kontaktstecker. Weiterer Stand der Technik ist bekannt aus der US 2013 / 0 168 255 A1 , der US 2010 / 0 155 846 A1 und der US 2015 / 0 279 734 A1 .
  • Die Erfindung ist in den Ansprüchen definiert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verständlich, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird festgehalten, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht im Maßstab gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale der deutlichen Besprechung wegen willkürlich vergrößert oder verkleinert sein.
    • 1 bis 12 sind Querschnittsansichten von Zwischenstufen bei der Bildung eines Transistors und von Kontaktsteckern gemäß einigen Ausführungsformen.
    • 13 zeigt eine Querschnittsansicht eines Transistors und von Kontaktsteckern gemäß einigen Ausführungsformen.
    • 14 zeigt einen Prozessablauf zum Bilden eines Transistors gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Ein Transistor mit Kontaktsteckern, die elektrisch an ein Source/Drain-Gebiet und eine Gate-Elektrode angeschlossen sind, und das Verfahren zu deren Bildung sind gemäß verschiedenen beispielhaften Ausführungsformen bereitgestellt. Die Zwischenstufen zur Bildung des Transistors sind dargestellt. Die Variationen einiger Ausführungsformen werden besprochen. In allen verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugszeichen zur Bezeichnung gleicher Elemente verwendet.
  • 1 bis 12 sind Querschnittsansichten von Zwischenstufen in der Bildung eines Transistors und der entsprechenden Kontaktstecker gemäß einigen beispielhaften Ausführungsformen. Die in 1 bis 12 dargestellten Schritte sind auch schematisch in dem Prozessablauf 200 in 14 gezeigt. Unter Bezugnahme auf 1 werden die anfänglichen Strukturen auf Wafer 10 gebildet. Wafer 10 enthält ein Substrat 20, das aus einem Halbleitermaterial wie Silizium, Siliziumgermanium, Siliziumkohlenstoff, einem III-V Verbindungshalbleitermaterial oder dergleichen gebildet sein kann. Das Substrat 20 kann ein Massesubstrat oder ein Halbleiter-auf-Isolator (SOI) Substrat sein.
  • Gate-Stapel 26A und 26B, die gemeinsam als Gate-Stapel 26 bezeichnet werden, sind über dem Substrat 20 gebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden Gate-Stapel 26A und 26B als Gate-Stapelstreifen (in einer Draufsicht des Wafers 10) mit zueinander parallel verlaufenden Längsrichtungen gebildet. Jeder der Gate-Stapel 26A und 26B kann ein Gate-Dielektrikum 24, eine Gate-Elektrode 28 über dem Gate-Dielektrikum 24 und eine harte Maske 38 über der Gate-Elektrode 28 beinhalten. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Gate-Stapel 26 Ersatz-Gate-Stapel, die durch Bilden von Dummy-Gate-Stapeln (nicht dargestellt), Entfernen der Dummy-Gate-Stapel zur Bildung von Ausnehmungen und Bilden der Ersatz-Gates in den Ausnehmungen gebildet werden. Infolgedessen beinhaltet jedes der Gate-Dielektrika 24 einen Bodenabschnitt, der unter der entsprechenden Gate-Elektrode 28 liegt, und Seitenwandabschnitte an den Seitenwänden der entsprechenden Gate-Elektrode 28. Die Seitenwandabschnitte bilden Ringe, die die entsprechenden Gate-Elektroden 28 umgeben.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden Source- und Drain-Gebiete 22 (in der Folge als Source/Drain-Gebiete 22 bezeichnet) gebildet, die sich in das Substrat 20 erstrecken, und werden vor der Bildung einer Kontaktätzstoppschicht (Contact Etch Stop Layer, CESL) 34, eines Zwischenschichtdielektrikums (Inter-Layer Dielectric, ILD) 36 und der Kontaktöffnung darin gebildet. Gemäß anderen Ausführungsformen werden Source/Drain-Gebiete 22 nach der Bildung der Kontaktöffnung gebildet, wie in 2 dargestellt. Ein oder mehrere der Source/Drain-Gebiete 22 können ein gemeinsames Source-Gebiet oder ein gemeinsames Drain-Gebiet haben, das von benachbarten Gate-Stapeln, die 26A und 26B beinhalten, gemeinsam benutzt wird. Daher kann der Gate-Stapel 26A einen ersten Transistor in Kombination mit den Source/Drain-Gebieten 22 an den gegenüberliegenden Seiten des Gate-Stapels 26A bilden und Gate-Stapel 26B kann einen zweiten Transistor in Kombination mit den Source/Drain-Gebieten 22 an gegenüberliegenden Seiten des Gate-Stapels 26B bilden. Der erste Transistor und der zweite Transistor können elektrisch parallel angeschlossen sein, um als einzelner Transistor zu dienen.
  • Das Gate-Dielektrikum 24 kann eine einzelne Schicht oder eine Verbundschicht sein, die mehrere Schichten beinhaltet. Zum Beispiel kann das Gate-Dielektrikum 24 eine Grenzflächenoxidschicht und eine Schicht hoher Dielektrizitätskonstante über der Oxidschicht beinhalten. Die Oxidschicht kann eine Siliziumoxidschicht sein, die durch Wärmeoxidation oder chemische Oxidation gebildet wird. Die Schicht hoher Dielektrizitätskonstante kann einen k-Wert größer 7 oder sogar größer 20 haben. Beispielhafte Materialien hoher Dielektrizitätskonstante beinhalten Hafniumoxid, Zirkoniumoxid, Lanthanoxid und dergleichen.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung hat jede Gate-Elektrode 28 eine einschichtige Struktur, die aus einem homogenen leitfähigen Material gebildet ist. Gemäß anderen Ausführungsformen hat jede Gate-Elektrode 28 eine Verbundstruktur, die mehrere Schichten beinhaltet, die aus TiN, TaSiN, WN, TiAl, Ti-AlN, TaC, TaN, Aluminium oder Legierungen davon gebildet sind. Die Bildung von Gate-Elektroden 28 kann eine physikalische Dampfabscheidung (Physical Vapor Deposition, PVD), metallorganische chemische Dampfabscheidung (Metal-Organic Chemical Vapor Deposition, MOCVD) und/oder andere anwendbare Verfahren beinhalten. Harte Masken 38 können zum Beispiel aus Siliziumnitrid oder Siliziumoxynitrid gebildet sein.
  • Gemäß anderen Ausführungsformen der vorliegenden Offenbarung, werden die Gate-Stapel 26A und 26B, anstatt Ersatz-Gate-Stapel zu sein, durch Abscheiden einer Gate-Dielektrikum-Deckschicht und einer Gate-Elektroden-Deckschicht (wie einer Polysiliziumschicht) und dann Strukturieren der Gate-Dielektrikum-Deckschicht und der Gate-Elektroden-Deckschicht gebildet.
  • Unter erneuter Bezugnahme auf 1 wird die Kontaktätzstoppschicht (CESL) 34 so gebildet, dass sie das Substrat 20 bedeckt, und kann sich an den Seitenwänden von Gate-Abstandshaltern 30 erstrecken. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird CESL 34 aus Siliziumnitrid, Siliziumcarbid oder anderen dielektrischen Materialien gebildet. Ein Zwischenschichtdielektrikum (ILD) 36 (auch als ILD0 36 bezeichnet) wird über der CESL und Gate-Stapeln 26A und 26B gebildet. Das ILD 36 kann aus einem Oxid wie Phospho-Silicatglas (PSG), Bor-Silicatglas (BSG), Bor-dotiertem Phospho-Silicatglas (BPSG), Tetraethylorthosilicat- (TEOS) Oxid oder dergleichen gebildet sein. Die Bildung kann zum Beispiel chemische Dampfabscheidung (CVD), fließfähige CVD (FCVD), Rotationsbeschichten oder dergleichen beinhalten. Das ILD 36 kann eine erste Schicht mit einer oberen Oberfläche auf gleicher Höhe wie die oberen Oberflächen der Gate-Stapel 26A und 26B beinhalten und die Gate-Stapel 26A und 26B sind Ersatz-Gates, die in der ersten Schicht gebildet sind. Das ILD 36 kann ferner eine zweite Schicht beinhalten, die über der ersten Schicht gebildet ist und die zweite Schicht wird nach der Bildung der Gate-Stapel 26A und 26B gebildet. Die erste und die zweite Schicht können aus demselben Material oder aus unterschiedlichen Materialien gebildet sein und können eine unterscheidbare Grenzfläche dazwischen aufweisen oder nicht.
  • Unter Bezugnahme auf 2 werden das ILD 36 und die CESL 34 geätzt, um die Source/Drain-Kontaktöffnung 40 zu bilden. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 202 dargestellt. Das Source/Drain-Gebiet 22 (falls bereits gebildet) wird zur Kontaktöffnung 40 freigelegt. Das Ätzen ist anisotrop, sodass die Seitenwände der Öffnung 40 im Wesentlichen vertikal sind.
  • Gemäß einigen Ausführungsformen, in welchen zu diesem Zeitpunkt noch keine Source/Drain-Gebiete 22 gebildet sind, können eine Prä-Amorphisationsimplantation (PAI) und eine Source/Drain-Implantation durchgeführt werden, um Source/Drain-Gebiete 22 zu bilden, und die Spezies der PAI und die implantierte Unreinheit zur Bildung der Source/Drain-Gebiete 22 werden durch die Öffnung 40 in das Substrat 20 implantiert. Die PAI kann unter Verwendung von Germanium, Silizium oder dergleichen durchgeführt werden, wodurch die Gitterstruktur der implantierten Gebiete zerstört wird, um die Tiefe der folgenden Source/Drain-Implantation zu steuern. Die Source/Drain-Implantation kann unter Verwendung von Bor oder Indium durchgeführt werden, falls der entsprechende Transistor ein Transistor vom p-Typ ist, oder unter Verwendung von Phosphor, Arsen oder Antimon, falls der entsprechende Transistor ein Transistor vom n-Typ ist.
  • Gemäß einigen Ausführungsformen wird das ILD 36 aus einem homogenen dielektrischen Material gebildet. Gemäß anderen Ausführungsformen, wie in 2 dargestellt, ist eine gestrichelte Linie 35 gezogen um zu zeigen, dass das ILD 36 Schicht 36A und Schicht 36B über Schicht 36A beinhalten kann. Schichten 36A und 36B sind beide dielektrischen Schichten. Schicht 36B kann aus einem dielektrischen Material gebildet sein, das sich von dem Material von Schicht 36A unterscheidet. Zum Beispiel können sowohl Schicht 36A als auch Schicht 36B aus dielektrischen Materialien gebildet sein, die aus derselben Gruppe von dielektrischen Kandidatmaterialien gebildet sind, um das ILD zu bilden, wie PSG, BSG, BPSG, und TEOS, während verschiedene Materialien gewählt werden. Bei der anschließenden Bildung kann die Schicht 36B entfernt werden und wird somit als Opferschicht verwendet.
  • 3 zeigt die Bildung von dielektrischen Kontakt- (Stecker-) Abstandshaltern 44 gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Gemäß anderen Ausführungsformen werden keine Kontaktabstandshalter 44 gebildet. Die Bildung von Kontaktabstandshaltern 44 kann ein Abscheiden einer gleichförmigen dielektrischen Schicht oder mehrerer gleichförmiger dielektrischer Schichten beinhalten. Die dielektrische Schicht erstreckt sich in die Kontaktöffnung 40 und beinhaltet vertikale Abschnitte an den Seitenwänden des ILD 36 und horizontale Abschnitte am Boden einer Öffnung 40 als auch über dem ILD 36. Der Abscheidungsprozess wird unter Verwendung eines gleichförmigen Abscheidungsprozesses ausgeführt, wie Atomlagenabscheidung (Atomic Layer Deposition (ALD), CVD oder dergleichen, sodass die horizontalen Abschnitte und vertikalen Abschnitte der abgeschiedenen Schicht ähnliche Dicken haben. Dann wird ein anisotropes Ätzen zur Entfernung der horizontalen Abschnitte der dielektrischen Schicht durchgeführt, wobei die vertikalen Abschnitte als Kontaktabstandshalter 44 zurückbleiben. Das anisotrope Ätzen kann unter Verwendung von Ammoniak (NH3) und NF3 als Ätzgase durchgeführt werden. Es wird festgehalten, dass Kontaktabstandshalter 44 in derselben Öffnung 40, bei Betrachtung des Wafers 10 in einer Draufsicht, Abschnitte eines integrierten Abstandhalterrings sind.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind Abstandhalter 44 aus einem dielektrischen Material gebildet, das eine hohe Ätzselektivität relativ zu Oxid hat, sodass in den folgenden Reinigungsprozessen (in welchen Oxide entfernt werden) Abstandhalter 44 nicht beschädigt werden. Zum Beispiel können Kontaktabstandshalter 44 aus Siliziumnitrid, Siliziumoxycarbid, Siliziumoxynitrid oder dergleichen gebildet werden.
  • Unter Bezugnahme auf 4 wird eine Lithographiemaske wie Fotolack 43 über dem ILD 36 gebildet. Der Fotolack 43 füllt die Source/Drain-Kontaktöffnung 40 (3). Der Fotolack 43 wird dann strukturiert. Ein oder mehrere Ätzprozesse werden mit Fotolack 43 als Ätzmaske zum Ätzen des ILD 36 durchgeführt, sodass Gate-Kontaktöffnungen 41 gebildet werden. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 204 dargestellt. Harte Masken 38 werden dann geätzt und Öffnungen 41 erstrecken sich in den Raum zwischen gegenüberliegenden Gate-Abstandshaltern 30. Gate-Elektroden 28 (und möglicherweise Gate-Dielektrika 24) liegen somit an den Gate-Kontaktöffnungen 40 frei. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung beinhaltet die Bildung von Öffnungen 41 ein anisotropes Ätzen, um das ILD 36 durchzuätzen und ein isotropes Ätzen (Trockenätzen) oder ein anisotropes Ätzen (Trockenätzen oder Nassätzen), um harte Masken 38 zu ätzen. Die Seitenwände von Gate-Abstandshaltern 30 können bei den Öffnungen 42 nach dem Ätzen freiliegen oder nicht.
  • Gemäß einigen Ausführungsformen werden, wie in 5 dargestellt, Kontaktabstandshalter 45 in Öffnungen 41 gebildet. Gemäß anderen Ausführungsformen werden Kontaktabstandshalter 45 unterlassen. Kontaktabstandshalter 45 können aus einem Material gebildet werden, das aus derselben Gruppe von Kandidatmaterialien zum Bilden der Kontaktabstandshalter 44 ausgewählt ist. Kontaktabstandshalter 44 und 45 können aus demselben Material oder aus unterschiedlichen Materialien gebildet sein. Gemäß einigen Ausführungsformen werden Kontaktabstandshalter 44 und 45 in verschiedenen Prozessen gebildet, jeweils nach der Bildung der entsprechende Kontaktöffnungen 40 und 41. Gemäß anderen Ausführungsformen werden Kontaktabstandshalter 44 und 45 nach Bildung beider Kontaktöffnungen 40 und 41 gebildet und werden in einem gemeinsamen Bildungsprozess gebildet, der ein Abscheiden einer dielektrischen Deckschicht und dann ein Durchführen einer anisotropen Ätzung an der dielektrischen Deckschicht beinhaltet. Die Bildung von entweder einem oder beiden Kontaktabstandshaltern 44 und 45 kann auch unterlassen werden und der (die) anschließend gebildete(n) Kontaktstecker sind mit dem ILD 36 in Kontakt.
  • Anschließend wird unter Bezugnahme auf 6 eine Metallschicht 46 abgeschieden. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 206 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Metallschicht 46 eine Titan- (Ti) Schicht, die mittels physikalischer Dampfabscheidung (PVD) gebildet werden kann. Die Metallschicht 46 beinhaltet einen Bodenabschnitt am Boden der Öffnung 40 und Seitenwandabschnitte an den Seitenwandflächen des ILD 36. Die Metallschicht 46 hat zwei Funktionen. Die erste Funktion ist, dass der Bodenabschnitt der Metallschicht 46 mit dem darunterliegenden Source/Drain-Gebiet 22 zur Bildung eines Source/Drain-Silicidgebiets reagiert. Die zweite Funktion ist, dass die Metallschicht 46 als Adhäsionsschicht für die anschließend gebildete Kappenschicht dient.
  • Gemäß anderen Ausführungsformen werden die Öffnungen 40 und 41 in verschiedenen Prozessen gebildet und die Metallschicht 46 wird in die Öffnung 40 und nicht in die Öffnungen 41 gefüllt. Die leitfähige Kappenschicht 48 und metallisches Material 54 werden jedoch weiterhin sowohl in Öffnungen 40 als auch 41 gemäß diesen Ausführungsformen gefüllt.
  • Unter Bezugnahme auf 7 wird die leitfähige Kappenschicht 48 abgeschieden. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 208 dargestellt. Die Kappenschicht 48 dient auch als Diffusionsbarrierenschicht. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Kappenschicht 48 aus einem Metallnitrid wie Titannitrid gebildet. Die Kappenschicht 48 kann unter Verwendung von PVD, CVD oder dergleichen gebildet werden.
  • 8 zeigt einen Silicidationsprozess zur Bildung des Source/Drain-Silicidgebiets 50. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Silicidationsprozess durch Tempern durchgeführt, wie durch Pfeile 52 dargestellt. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 210 dargestellt. Das Tempern kann durch Rapid Thermal Anneal (RTA), Wärmebehandlungsöfen oder dergleichen durchgeführt werden. Daher reagiert der Bodenabschnitt der Metallschicht 46 mit dem Source/Drain-Gebiet 22 zur Bildung des Silicidgebiets 50. Die Seitenwandabschnitte der Metallschicht 46 verbleiben nach dem Silicidationsprozess. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Bodenabschnitt der Metallschicht 46 vollständig zur Reaktion gebracht und die obere Oberfläche des Silicidgebiets 50 steht mit der Bodenfläche der Kappenschicht 48 in Kontakt.
  • Anschließend wird metallisches Material 54 in die verbleibenden Kontaktöffnungen 40 und 41 gefüllt und der resultierende Wafer 10 ist in 9 dargestellt. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 212 dargestellt. Metallisches Material 54 kann aus einem kobalthaltigen Material oder einem wolframhaltigen Material gebildet werden, das aus reinem oder im Wesentlichen reinem Wolfram oder Kobalt gebildet sein kann (zum Beispiel mit Atomgewichtsanteilen von mehr als etwa 95 Prozent).
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Bildung von metallischem Material 54 durch elektrochemisches Plattieren (ECP) durchgeführt. Während eines ECP ist eine Plattierungslösung (schematisch als 55 dargestellt) mit dem Wafer 10 in Kontakt und durch die Plattierungslösung 55 wird Strom geleitet. Zum Beispiel kann das Plattieren durch Eintauchen des Wafers 10 in die Plattierungslösung 55 durchgeführt werden. Gemäß einigen Ausführungsformen beinhaltet die Plattierungslösung 55 eine metallhaltige Chemikalie wie Borsäure, CoSO4 in H2SO4 und (eine) zusätzliche Chemikalie(n) wie organische Verbindungen mit C-H- und/oder N-H-Bindungen.
  • Die Plattierungslösung 55 kann in ihrem Elektrolyten Schwefel (S) enthalten. Infolgedessen beinhaltet das plattierte metallische Material 54 auch Schwefel. Der Schwefel im metallischen Material 54 verursacht die Korrosion von metallischem Material 54 in folgenden Schritten, wie in den folgenden Absätzen besprochen ist. Daher wird der Schwefelgehalt im Elektrolyten vor dem Plattieren verringert oder eliminiert. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Plattierungslösung 55 frei von jeglicher schwefelhaltigen Chemikalie, sodass kein Schwefel in das metallische Material 54 abgeschieden wird. Gemäß anderen Ausführungsformen wird die Plattierungslösung 55 so eingestellt, dass, obwohl eine schwefelhaltige Chemikalie (wie organische Verbindungen, die Schwefel beinhalten und C-H- und/oder N-H-Bindungen haben) vorhanden ist, die Menge an Schwefel in Plattierungslösung 55 kleiner als 100 Teile je Million (Parts per Million, ppm) ist. Die Plattierungslösung 55 kann im Wesentlichen auch frei von Schwefel sein, wobei zum Beispiel die Menge an Schwefel in der Plattierungslösung 55 geringer als etwa 20 ppm oder geringer als etwa 10 ppm ist, sodass die Korrosion von metallischem Material 54, falls vorhanden, die Qualität des resultierenden Kontaktsteckers nicht beeinträchtigt. Falls die Plattierungslösung 55 bereits gekauft (bereitgestellt) wurde und einen Schwefelgehalt höher als etwa 100 ppm hat, wird die Plattierungslösung 55 bearbeitet, um Schwefel zu entfernen, um den Schwefelgehalt auf niedriger als 100 ppm und auf einen gewünschten Wert, wie geringer als etwa 20 ppm oder 10 ppm, vor Verwendung in der Plattierung zu verringern und die Plattierungslösung 55, die zum Plattieren verwendet wird, kann frei oder im Wesentlichen frei von Schwefel sein. Ebenso kann die Plattierungslösung 55 eine geringe Menge an Schwefelgehalt haben, die zum Beispiel mehr als etwa 1 ppm sein kann, und somit kann der Schwefelgehalt im Bereich von etwa 1 ppm bis etwa 100 ppm, im Bereich von etwa 1 ppm bis etwa 20 ppm oder im Bereich von etwa 1 ppm bis etwa 10 ppm liegen. Das resultierende metallische Material 54 kann eine Spurenmenge an Schwefel beinhalten, wobei die Menge aufgrund der Verringerung oder der Eliminierung von Schwefel in der Plattierungslösung 55 signifikant verringert oder vollständig eliminiert ist.
  • Das ECP von metallischem Material 54 kann von unten nach oben erfolgen, was bedeutet, dass an den Böden von Kontaktöffnungen 40 und 41 (8) die Abscheidungsrate viel höher als in oberen Bereichen ist, wie an den Abschnitten der Kappenschicht 48 über dem ILD 36. Daher füllt das metallische Material 54 die Öffnungen 40 und 41 und wächst stetig an.
  • Sobald das metallische Material 54 abgeschieden ist, wird gemäß einigen Ausführungsformen der vorliegenden Offenbarung ein Tempern durchgeführt, das Tempern wird mittels Rapid Thermal Anneal (RTA) durchgeführt und die Dauer des Temperns kann im Bereich von etwa 2 Minuten bis etwa 10 Minuten sein. Die Temperatur des Temperns kann im Bereich von etwa 300°C bis etwa 500°C sein. Falls Schwefel im metallischem Material 54 vorhanden ist, bewirkt das Tempern die Diffusion von Schwefel und der Schwefelgehalt an der Grenzfläche zwischen metallischem Material 54 und Kappenschicht 48 steigt infolge der Diffusion.
  • Anschließend wird ein Planarisierungsprozess wie ein chemisch-mechanisches Polieren (CMP) durchgeführt, um die überschüssigen Abschnitte von metallischem Material 54, Kappenschicht 48 und Metallschicht 46 über dem ILD 36 zu entfernen. Somit werden der Source/Drain-Kontaktstecker 56A und die Gate-Kontaktstecker 56B gebildet, wie in 10A dargestellt. Der entsprechende Schritt ist in dem in 14 dargestellten Prozessablauf als Schritt 214 dargestellt. 10A zeigt schematisch eine Polierscheibe 57. Im eigentlichen CMP-Prozess kann die Polierscheibe 57 eine Grö-ße/einen Durchmesser größer als die Größe von Wafer 10 haben. Während des CMP-Prozesses kann die Polierscheibe 57 nach oben weisen, während der Wafer 10 nach unten weisen kann und gegen die Polierscheibe 57 gepresst wird. Der Wafer 10 wird während des CMP gedreht. Eine Aufschlämmung (nicht dargestellt) wird während des CMP auf die Polierscheibe 57 abgegeben.
  • Gemäß einigen Ausführungsformen, in welchen das ILD 36 Schichten 36A und 36B beinhaltet (9), kann der Planarisierungsprozess durchgeführt werden, bis Schicht 36B vollständig entfernt ist. Daher dient die Schicht 36B als Opferschicht, die das darunterliegende ILD 36A schützt.
  • Es zeigt sich, dass, falls Schwefel in metallischem Material 54 während des CMP vorhanden ist, die Kontaktstecker 56A und 56B korrodieren können, um Ausnehmungen 60 zu bilden, wie in 10B dargestellt. Im Gegensatz dazu tritt keine Korrosion auf, wenn in dem metallischen Material 54 während des CMP kein Schwefel vorhanden ist, und die oberen Oberflächen der Kontaktstecker 56A und 56B können eben sein, ohne eine Ausnehmung zu bilden. Die Korrosion kann durch die Reaktion von Schwefel mit der Aufschlämmung verursacht werden, um Schwefelsäure zu bilden, die die Kontaktstecker 56A und 56B korrodiert. Das Tempern bewirkt ferner die Konzentration von Schwefel in den Bereichen nahe der Grenzfläche zwischen metallischem Material 54 und Kappenschicht 48. Daher bewirkt der konzentrierte Schwefel an der Grenzfläche, dass die Ausnehmungen 60 neben der Grenzfläche tiefer als in anderen Abschnitten der Kontaktstecker 56A und 56B sind und das Ausnehmungsprofil, wie in 10B dargestellt, gebildet wird. Die Randabschnitte der Ausnehmungen 60 haben eine Tiefe D1 und der zentrale Abschnitt der Ausnehmung 60 hat eine Tiefe D2 kleiner D1. Gemäß einigen Ausführungsformen ist das Verhältnis D1/D2 größer als 2.0. Es ist klar, dass die Tiefen D1 und D2 und das Verhältnis D1/D2 mit verschiedenen Faktoren zusammenhängen, wie dem Schwefelgehalt in metallischem Material 54, der Temperbedingung und der Aufschlämmung. Schwefel kann auch in einen flachen Abschnitt der Kappenschicht 48 diffundieren. Daher können sich die Ausnehmungen 60 auch in die Metallkappenschicht 48 ausdehnen.
  • Wenn keine Korrosion auftritt oder die Korrosion sehr gering ist, können dielektrische Schichten und leitfähige Merkmale direkt auf dem Wafer 10 gebildet werden, wie in 10A dargestellt. Falls die Korrosion noch immer auftritt und nicht vernachlässigbar ist, können Metallkappen 62 gebildet werden, um die Ausnehmungen 60 zu füllen, wie in 10B dargestellt, und der resultierende Wafer 10 ist in 11A dargestellt. Die Metallkappen 62 erstrecken sich somit in die Ausnehmungen 60. Gemäß einigen beispielhaften Ausführungsformen sind die oberen Oberflächen der Metallkappen 62 im Wesentlichen mit den oberen Oberflächen des ILD 36 komplanar. Die Metallkappen 62 können mittels CVD abgeschieden werden und ein Vorläufer wird gewählt, sodass die Bildung selektiv ist und die Metallkappen 62 auf den Kontaktsteckern 56A und 56B und nicht auf dem ILD 36 gebildet werden. Die Metallkappen 62 können aus Kobalt, Wolfram, Nickel oder Legierungen davon gebildet werden. Ferner können Metallkappen 62 und metallisches Material 54 aus demselben Material oder aus unterschiedlichen Materialien gebildet sein. In 11A und den folgenden Figuren sind die Metallkappen 62 in gestrichelten Linien dargestellt um anzuzeigen, dass sie als Reaktion auf die in 10A dargestellte Struktur nicht gebildet werden können oder als Reaktion auf die in 10B dargestellte Struktur gebildet werden können.
  • 11B zeigt den Wafer 10 gemäß einigen Ausführungsformen und das CMP wird durchgeführt, bis das gesamte ILD 36 über den Gate-Abstandshaltern 30 entfernt ist und die Gate-Abstandshalter 30 freiliegen. Infolgedessen liegt jeder der Gate-Kontaktstecker 56B vollständig im Raum zwischen gegenüberliegenden Gate-Abstandshaltern 30. Gemäß diesen Ausführungsformen kann die Korrosion der Kontaktstecker 56A und 56 eintreten oder nicht und infolgedessen können die Metallkappen 62 gebildet werden oder nicht, wie durch die gestrichelten Linien gezeigt, die die Metallkappen 62 darstellen.
  • In den Schritten, die in 1 bis 11A/11B dargestellt sind, wird ein Transistor 300 gebildet. Unter Bezugnahme auf 12 wird eine Ätzstoppschicht 68 gebildet, gefolgt von der Bildung der dielektrischen Schicht 70. Gemäß einigen Ausführungsformen ist die dielektrische Schicht 70 ein Zwischenschichtdielektrikum und wird somit auch als ILD1 70 bezeichnet. Die Ätzstoppschicht 68 kann gemäß einigen Ausführungsformen auch unterlassen werden. Daher ist die Ätzstoppschicht 68 in gestrichelten Linien angegeben um anzuzeigen, dass sie gebildet werden kann oder nicht. Die Ätzstoppschicht 68 kann aus Siliziumcarbid, Siliziumoxynitrid, Siliziumcarbonitrid, Kombinationen davon oder Verbundschichten davon gebildet sein. Die Ätzstoppschicht 68 kann unter Verwendung eines Abscheidungsverfahrens wie CVD, plasmaverstärkte chemische Dampfabscheidung (Plasma Enhanced Chemical Vapor Deposition, PECVD), ALD oder dergleichen gebildet werden. Das ILD1 70 kann ein Material beinhalten, das ausgewählt ist aus PSG, BSG, BPSG, fluordotiertem Siliziumglas (FSG) oder TEOS-Oxid. Das ILD1 70 kann auch aus einem nicht porösen Material niedriger Dielektrizitätskonstante gebildet werden, das ein kohlenstoffhaltiges dielektrisches Material sein kann. Das ILD1 70 kann mittels Rotationsbeschichtung, FCVD oder dergleichen gebildet werden oder kann mittels eines Abscheidungsverfahrens wie CVD, PECVD, chemische Niederdruckdampfabscheidung (Low Pressure Chemical Vapor Deposition LPCVD) oder dergleichen gebildet werden.
  • 12 zeigt ferner die Bildung leitfähiger Merkmale 72, die Metallleitungen, Metalldurchkontaktierungen, Metallzungen usw. sein können. Die Bildung von Schichten 68 und 70 und leitfähigen Merkmalen 72 ist in dem in 14 dargestellten Prozessablauf als Schritt 216 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das leitfähige Merkmal 72 ein Kontaktstecker und die Ätzstoppschicht 68 wird, wie in 10 dargestellt, nicht gebildet. Gemäß anderen Ausführungsformen ist das leitfähige Merkmal 72 eine Kupferdurchkontaktierung oder Kupferleitung und gemäß diesen Ausführungsformen wird die Ätzstoppschicht 68 gebildet.
  • Die Bildung des leitfähigen Merkmals 72 kann ein Bilden einer Öffnung in dielektrischen Schichten 68 und 70, um den Kontaktstecker 56 freizulegen, ein Einfüllen eines oder mehrerer leitfähiger Materialien in die Öffnung und ein Durchführen einer Planarisierung beinhalten. Die leitfähigen Merkmale 72 können leitfähige Adhäsions-/Barrierenschichten 74 und metallisches Material 76 über den Adhäsions-/Barrierenschichten 74 beinhalten. Die Adhäsions-/Barrierenschicht 74 kann aus einem Material gebildet sein, das ausgewählt ist aus Titan, Titannitrid, Tantal, Tantalnitrid, Kombinationen davon oder Mehrfachschichten davon. Das metallische Material 76 kann aus Wolfram, Kupfer, Aluminium oder Legierungen davon gebildet sein und kann mittels PVD, metallorganischer chemischer Dampfabscheidung (MOCVD) oder Plattierens gebildet werden. Gemäß einigen Ausführungsformen wird das metallische Material 76 mittels ECP gebildet und die entsprechende Plattierungslösung kann einen Schwefelgehalt ähnlich der Plattierungslösung 55 (9) haben, die einen Schwefelgehalt geringer als etwa 100 ppm hat, oder kann frei von Schwefel sein, um die Korrosion zu verringern.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Merkmale. Durch Verringern oder Entfernen von Schwefel in der Plattierungslösung zur Bildung der Kontaktstecker wird die Korrosion der Kontaktstecker während des CMP verringert oder eliminiert. Zusätzlich können Metallkappen selektiv gebildet werden, um die Ausnehmungen, falls vorhanden, zu füllen, die sich aufgrund der Korrosion gebildet haben. Die Gate-Kontaktstecker können auch vollständig zwischen Gate-Abstandshaltern gebildet werden, um den elektrischen Kurzschluss oder elektrische Leckströme zu reduzieren, die durch die Fehlausrichtung der Metalldurchkontaktierungen/-stecker über den Gate-Kontaktsteckern verursacht werden.

Claims (18)

  1. Verfahren (200), umfassend: Bilden eines Zwischenschichtdielektrikums (ILD) (36), das einen Gate-Stapel (26) eines Transistors bedeckt, wobei das ILD (36) und der Gate-Stapel (26) Teile eines Wafers (10) sind; Ätzen (202, 204) des ILD zum Bilden einer ersten Kontaktöffnung (40, 41), wobei ein Source/Drain-Gebiet (22) des Transistors oder eine Gate-Elektrode (28) in dem Gate-Stapel (26) durch die erste Kontaktöffnung (40, 41) freigelegt wird; Bilden (208) einer leitfähigen Kappenschicht (48), wobei sich die leitfähige Kappenschicht (48) in die erste Kontaktöffnung (40, 41) erstreckt; Plattieren (212) eines metallhaltigen Materials (54) auf der leitfähigen Kappenschicht (48), wobei das Plattieren mittels elektrochemischen Plattierens in einer Plattierungslösung (55) durchgeführt wird, wobei das metallhaltige Material (54) einen Abschnitt umfasst, der die erste Kontaktöffnung (40, 41) füllt, und die Plattierungslösung (55) einen Schwefelgehalt geringer als etwa 100 Teile je Million (Parts per Million, ppm) hat; und Durchführen (214) einer Planarisierung auf dem Wafer (10), um überschüssige Abschnitte des metallhaltigen Materials (54) zu entfernen, wobei ein verbleibender Abschnitt des metallhaltigen Materials (54) und ein verbleibender Abschnitt der leitfähigen Kappenschicht (48) in Kombination einen ersten Kontaktstecker (56A, 56B) bilden, wobei die Planarisierung zu einer Ausnehmung (60) im ersten Kontaktstecker (56A, 56B) führt und das Verfahren ferner ein selektives Abscheiden einer Metallkappe (62) in die Ausnehmung (60) umfasst.
  2. Verfahren (200) nach Anspruch 1, ferner umfassend: Bereitstellen der Plattierungslösung (55) mit einem Schwefelgehalt; und vor dem Plattieren, Bearbeiten der Plattierungslösung (55) zur Verringerung des Schwefelgehalts in der Plattierungslösung.
  3. Verfahren (200) nach Anspruch 1, wobei die Plattierungslösung (55) frei von Schwefel ist.
  4. Verfahren (200) nach einem der vorangehenden Ansprüche, ferner umfassend, bevor die leitfähige Kappenschicht (48) gebildet wird, Bilden eines dielektrischen Kontaktabstandhalters (44, 45) in der ersten Kontaktöffnung.
  5. Verfahren (200) nach einem der vorangehenden Ansprüche, ferner umfassend: Bilden einer zusätzlichen dielektrischen Schicht über dem ILD, wobei die zusätzliche dielektrische Schicht und das ILD (36) aus verschiedenen Materialien gebildet sind, wobei die erste Kontaktöffnung (40, 41) sowohl durch die zusätzliche dielektrische Schicht als auch das ILD (36) hindurchgeht und die leitfähige Kappenschicht (48) einen Abschnitt umfasst, der die zusätzliche dielektrische Schicht überlappt.
  6. Verfahren (200) nach einem der vorangehenden Ansprüche, ferner umfassend: Ätzen (204) des ILD zum Bilden einer zweiten Kontaktöffnung (41), wobei die Gate-Elektrode (28) durch die zweite Kontaktöffnung freigelegt wird und die leitfähige Kappenschicht (48) und das metallhaltige Material (54) gleichzeitig sowohl in der ersten Kontaktöffnung (40) als auch in der zweiten Kontaktöffnung (41) gebildet werden.
  7. Verfahren (200) nach einem der vorangehenden Ansprüche, ferner umfassend: Durchführen (210) eines Temperns an dem metallhaltigen Material (54) vor der Planarisierung.
  8. Verfahren (200), umfassend: Bilden eines Zwischenschichtdielektrikums (ILD); Ätzen (202, 204) des ILD zum Bilden einer ersten Kontaktöffnung (40) und einer zweiten Kontaktöffnung (41), wobei ein Source/Drain-Gebiet (22) und eine Gate-Elektrode (28) eines Transistors durch die erste Kontaktöffnung (40) und die zweite Kontaktöffnung (41) freigelegt werden; Abscheiden (206) einer Metallschicht (46), die sich sowohl in die erste Kontaktöffnung (40) als auch die zweite Kontaktöffnung (41) erstreckt; Abscheiden (208) einer leitfähigen Kappenschicht (48), wobei sich die leitfähige Kappenschicht (48) sowohl in die erste Kontaktöffnung (40) als auch die zweite Kontaktöffnung (41) erstreckt; Plattieren (212) eines metallhaltigen Materials (54) auf die leitfähige Kappenschicht (48) in einer Plattierungslösung (55) mittels elektrochemischen Plattierens, wobei die Plattierungslösung (55) im Wesentlichen frei von Schwefel ist; und Durchführen (214) einer Planarisierung, um überschüssige Abschnitte des metallhaltigen Materials (54) zu entfernen, wobei verbleibende Abschnitte des metallhaltigen Materials (54) und verbleibende Abschnitte der leitfähigen Kappenschicht (48) einen Source/Drain-Kontaktstecker (56A) und einen Gate-Kontaktstecker (56B) bilden, wobei die Planarisierung zu einer ersten Ausnehmung (60) im Source/Drain-Kontaktstecker (56A) und zu einer zweiten Ausnehmung (60) im Gate-Kontaktstecker (56B) führt und wobei das Verfahren ferner ein selektives Abscheiden von Metallkappen (62) in die ersten und die zweiten Ausnehmung (60) umfasst.
  9. Verfahren (200) nach Anspruch 8, wobei die erste Kontaktöffnung (40) und die zweite Kontaktöffnung (41) in verschiedenen Ätzprozessen gebildet werden.
  10. Verfahren (200) nach Anspruch 8 oder 9, ferner umfassend: Abscheiden eines ersten dielektrischen Kontaktabstandshalters (44) und eines zweiten dielektrischen Kontaktabstandshalters (45) jeweils in die erste Kontaktöffnung (40) und in die zweite Kontaktöffnung (41).
  11. Verfahren (200) nach einem der Ansprüche 8 bis 10, ferner umfassend: Bereitstellen der Plattierungslösung (55), die Schwefel umfasst; und Bearbeiten der Plattierungslösung (55) um den Schwefelgehalt in der Plattierungslösung (55) zu verringern.
  12. Verfahren (200) nach einem der Ansprüche 8 bis 10, wobei die Plattierungslösung (55) frei von Schwefel ist.
  13. Verfahren (200) nach einem der Ansprüche 8 bis 12, ferner umfassend: Bilden einer zusätzlichen dielektrischen Schicht über dem ILD (36), wobei die zusätzliche dielektrische Schicht und das ILD (36) aus verschiedenen Materialien gebildet sind, wobei die erste Kontaktöffnung (40) sowohl durch das ILD (36) als auch die zusätzliche dielektrische Schicht hindurchgeht, und die leitfähige Kappenschicht (48) einen Abschnitt umfasst, der die zusätzliche dielektrische Schicht überlappt.
  14. Verfahren (200) nach einem der Ansprüche 8 bis 13, ferner umfassend: Durchführen eines Temperns (210) an dem metallhaltigen Material (54) vor der Planarisierung.
  15. Verfahren (200), umfassend: Bilden eines Zwischenschichtdielektrikums (ILD); Ätzen (202, 204) des ILD zum Bilden einer Kontaktöffnung (40, 41), wobei ein Source/Drain-Gebiet (22) oder eine Gate-Elektrode (28) eines Transistors durch die Kontaktöffnung (40, 41) freigelegt wird; Abscheiden (206) einer Metallschicht, die sich in die Kontaktöffnung (40, 41) erstreckt; Abscheiden (208) einer leitfähigen Kappenschicht mit einem ersten Abschnitt, der sich in die Kontaktöffnung (40, 41) erstreckt, und einem zweiten Abschnitt, der über dem ILD (36) liegt; Plattieren (212) eines metallhaltigen Materials (54) auf die leitfähige Kappenschicht (48) in einer Plattierungslösung (55) mittels elektrochemischen Plattierens, wobei die Plattierungslösung (55) im Wesentlichen frei von Schwefel ist; Durchführen (214) einer Planarisierung, um überschüssige Abschnitte des metallhaltigen Materials (54) zu entfernen, wobei verbleibende Abschnitte des metallhaltigen Materials (54) und ein verbleibender Abschnitt der leitfähigen Kappenschicht (48) in Kombination einen Kontaktstecker (56A, 56B) bilden und eine obere Oberfläche des Kontaktsteckers (56A, 56B) von einer benachbarten oberen Oberfläche des ILD (36) vertieft ist, um eine Ausnehmung (60) zu bilden; und selektives Bilden einer Metallkappe (62) in der Ausnehmung (60).
  16. Verfahren (200) nach Anspruch 15, wobei die Ausnehmung in Randabschnitten tiefer und in zentralen Abschnitten flacher ist.
  17. Verfahren (200) nach Anspruch 15 oder 16, ferner umfassend: Durchführen eines Temperns (210) an einem entsprechenden Wafer (10), der das metallhaltige Material (54) umfasst, vor der Planarisierung.
  18. Verfahren (200) nach einem der Ansprüche 15 bis 16, wobei die Metallkappe (62) aus demselben Material gebildet ist wie das metallhaltige Material (54).
DE102017117796.5A 2017-04-20 2017-08-05 Verfahren zur bildung von kontaktsteckern mit verringerter korrosion Active DE102017117796B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/492,113 2017-04-20
US15/492,113 US10186456B2 (en) 2017-04-20 2017-04-20 Methods for forming contact plugs with reduced corrosion

Publications (2)

Publication Number Publication Date
DE102017117796A1 DE102017117796A1 (de) 2018-10-25
DE102017117796B4 true DE102017117796B4 (de) 2024-03-28

Family

ID=63714256

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017117796.5A Active DE102017117796B4 (de) 2017-04-20 2017-08-05 Verfahren zur bildung von kontaktsteckern mit verringerter korrosion

Country Status (5)

Country Link
US (4) US10186456B2 (de)
KR (1) KR102030242B1 (de)
CN (1) CN108735660B (de)
DE (1) DE102017117796B4 (de)
TW (1) TWI669784B (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10157790B1 (en) * 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
US11094795B2 (en) * 2018-11-20 2021-08-17 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
US10923388B2 (en) * 2019-01-18 2021-02-16 Globalfoundries U.S. Inc. Gap fill void and connection structures
US10770562B1 (en) * 2019-03-01 2020-09-08 International Business Machines Corporation Interlayer dielectric replacement techniques with protection for source/drain contacts
CN112201614A (zh) * 2019-07-08 2021-01-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR20210036113A (ko) 2019-09-25 2021-04-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
EP3817038A1 (de) * 2019-10-29 2021-05-05 Imec VZW Verfahren zur herstellung eines selbstausgerichteten gate- und source/drain-kontaktes zum kontaktieren eines fet-transistors
US11227794B2 (en) * 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11430866B2 (en) * 2020-03-26 2022-08-30 Intel Corporation Device contact sizing in integrated circuit structures
US11682707B2 (en) * 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11742404B2 (en) * 2020-04-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US20220093757A1 (en) 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
US11798943B2 (en) * 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11929314B2 (en) * 2021-03-12 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures including a fin structure and a metal cap
US20220310814A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Capping For Work Function Layer and Method Forming Same
US20220336367A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming Liners to Facilitate The Formation of Copper-Containing Vias in Advanced Technology Nodes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100155846A1 (en) 2008-12-19 2010-06-24 Niloy Mukherjee Metal-insulator-semiconductor tunneling contacts
US20130168255A1 (en) 2010-06-11 2013-07-04 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US20140199837A1 (en) 2013-01-14 2014-07-17 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US20150279734A1 (en) 2014-03-31 2015-10-01 International Business Machines Corporation Method of forming transistor contacts

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5387550A (en) * 1992-02-07 1995-02-07 Micron Technology, Inc. Method for making a fillet for integrated circuit metal plug
EP1098366A1 (de) * 1994-12-29 2001-05-09 STMicroelectronics, Inc. Halbleiterverbindungsstruktur und Verfahren
US5527736A (en) * 1995-04-03 1996-06-18 Taiwan Semiconductor Manufacturing Co. Dimple-free tungsten etching back process
KR0172524B1 (ko) 1995-12-29 1999-03-30 김주용 반도체 소자의 게이트 전극 형성방법
US5998873A (en) 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
KR100727449B1 (ko) * 2000-09-25 2007-06-13 하이닉스 세미컨덕터 매뉴팩쳐링 아메리카 인코포레이티드 고도전성 게이트, 로컬 인터커넥트 또는 커패시터 노드를 갖는 집적 장치
JP4441726B2 (ja) * 2003-01-24 2010-03-31 石原薬品株式会社 スズ又はスズ合金の脂肪族スルホン酸メッキ浴の製造方法
JP2006066514A (ja) * 2004-08-25 2006-03-09 Seiko Epson Corp 強誘電体メモリ及びその製造方法
US7189650B2 (en) * 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
CN102024744B (zh) * 2009-09-16 2013-02-06 中国科学院微电子研究所 半导体器件及其制造方法
KR101570482B1 (ko) * 2009-10-15 2015-11-20 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8749067B2 (en) 2010-08-18 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
US20120061698A1 (en) * 2010-09-10 2012-03-15 Toscano Lenora M Method for Treating Metal Surfaces
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US20130020623A1 (en) * 2011-07-18 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for single gate non-volatile memory device
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US8741717B2 (en) 2012-07-02 2014-06-03 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved metal gate structures
US8492228B1 (en) * 2012-07-12 2013-07-23 International Business Machines Corporation Field effect transistor devices having thick gate dielectric layers and thin gate dielectric layers
US9136206B2 (en) 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US20140120711A1 (en) 2012-10-26 2014-05-01 United Microelectronics Corp. Method of forming metal gate
US20140117550A1 (en) * 2012-10-29 2014-05-01 International Business Machines Corporation Semiconductor device including an insulating layer, and method of forming the semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20140220777A1 (en) * 2013-02-05 2014-08-07 International Business Machines Corporation Processing system for combined metal deposition and reflow anneal for forming interconnect structures
US8836129B1 (en) * 2013-03-14 2014-09-16 United Microelectronics Corp. Plug structure
US9576892B2 (en) * 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US9209272B2 (en) 2013-09-11 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation and etching post metal gate CMP
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9147767B2 (en) 2014-02-07 2015-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10998228B2 (en) 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
KR102171023B1 (ko) * 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
CN105280486B (zh) * 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9685340B2 (en) * 2015-06-29 2017-06-20 International Business Machines Corporation Stable contact on one-sided gate tie-down structure
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US9780199B2 (en) * 2015-09-23 2017-10-03 United Microelectronics Corp. Method for forming semiconductor device
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9502265B1 (en) * 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9496362B1 (en) * 2016-01-04 2016-11-15 International Business Machines Corporation Contact first replacement metal gate
US9741812B1 (en) * 2016-02-24 2017-08-22 International Business Machines Corporation Dual metal interconnect structure
US10079290B2 (en) * 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100155846A1 (en) 2008-12-19 2010-06-24 Niloy Mukherjee Metal-insulator-semiconductor tunneling contacts
US20130168255A1 (en) 2010-06-11 2013-07-04 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US20140199837A1 (en) 2013-01-14 2014-07-17 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US20150279734A1 (en) 2014-03-31 2015-10-01 International Business Machines Corporation Method of forming transistor contacts

Also Published As

Publication number Publication date
US20210257254A1 (en) 2021-08-19
CN108735660A (zh) 2018-11-02
KR20180118031A (ko) 2018-10-30
US10483165B2 (en) 2019-11-19
TW201839911A (zh) 2018-11-01
US20180308751A1 (en) 2018-10-25
US10985061B2 (en) 2021-04-20
KR102030242B1 (ko) 2019-10-10
DE102017117796A1 (de) 2018-10-25
CN108735660B (zh) 2020-12-01
US10186456B2 (en) 2019-01-22
US20200075407A1 (en) 2020-03-05
TWI669784B (zh) 2019-08-21
US20190115256A1 (en) 2019-04-18

Similar Documents

Publication Publication Date Title
DE102017117796B4 (de) Verfahren zur bildung von kontaktsteckern mit verringerter korrosion
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102017111545B4 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102014109562B4 (de) Verfahren zum Ausbilden einer Halbleitervorrichtung und Verfahren zum Ausbilden einer Kontaktstruktur
DE102016106969B4 (de) Halbleitervorrichtung
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102018110326B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE112007001436B4 (de) CMOS-Schaltungen mit geringem Kontaktwiderstand
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102015113250B4 (de) Struktur und verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102014107437B4 (de) Metall-Halbleiter-Kontaktstruktur mit dotierter Zwischenschicht und Herstellungsverfahren dafür
DE102015113184A1 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102014119164B4 (de) Verfahren zum Reinigen einer Metallgateoberfläche
DE102017127285A1 (de) Verbesserung der Abscheidungsselektivität und Herstellungsverfahren dafür
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102017118311A1 (de) Verfahren zum reinigen eines wafers nach einem cmp-schritt
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102021100965A1 (de) Epitaxiale strukturen für halbleitervorrichtungen
DE102009020348A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102018126993B4 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102019121152A1 (de) Sperrfreier ansatz zur bildung von kontaktstiften
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division