DE102017118311A1 - Verfahren zum reinigen eines wafers nach einem cmp-schritt - Google Patents

Verfahren zum reinigen eines wafers nach einem cmp-schritt Download PDF

Info

Publication number
DE102017118311A1
DE102017118311A1 DE102017118311.6A DE102017118311A DE102017118311A1 DE 102017118311 A1 DE102017118311 A1 DE 102017118311A1 DE 102017118311 A DE102017118311 A DE 102017118311A DE 102017118311 A1 DE102017118311 A1 DE 102017118311A1
Authority
DE
Germany
Prior art keywords
wafer
tungsten
dielectric layer
cmp
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017118311.6A
Other languages
English (en)
Inventor
Chien-Hao Chung
Chang-Sheng Lin
Kuo-Feng Huang
Li-Chieh Wu
Chun-Chieh Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017118311A1 publication Critical patent/DE102017118311A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Verfahren beinhaltet das Ausbilden einer ersten dielektrischen Schicht über einem Wafer, das Ätzen der ersten dielektrischen Schicht, um eine Öffnung auszubilden, das Einfüllen eines Wolfram enthaltenden Materials in die Öffnung und das Durchführen eines chemisch-mechanischen Polierens (CMP) auf dem Wafer. Nach dem CMP wird eine Reinigung unter Verwendung einer schwach basischen Lösung auf dem Wafer durchgeführt.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht den Vorteil der folgenden vorläufig eingereichten US-Patentanmeldung: Anmeldung Seriennr. 62/427,336, eingereicht am 29. November 2016, mit dem Titel „Method of Cleaning Wafer after CMP“, wobei diese Anmeldung hiermit durch Verweis hierin aufgenommen ist.
  • HINTERGRUND
  • Bei der Herstellung integrierter Schaltungen werden Kontaktstifte zum Verbinden mit den Source- und Drain-Bereichen und den Gates von Transistoren verwendet. Die Source/Drain-Kontaktstifte wurden typischerweise mit Source/Drain-Silicidbereichen verbunden, welche gebildet werden durch Ausbilden von Kontaktöffnungen, um Source/Drain-Bereiche freizulegen, Abscheiden einer Metallschicht, Durchführen einer Temperung, um die Metallschicht mit den Source/Drain-Bereichen umzusetzen, Einfüllen von Wolfram in die verbleibende Kontaktöffnung und Durchführen eines chemisch-mechanischen Polierens (CMP), um überschüssiges Wolfram zu entfernen. Danach wird eine Reinigung durchgeführt.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung in Verbindung mit den beigefügten Figuren am besten verständlich. Es ist anzumerken, dass entsprechend der üblichen Praxis in der Industrie verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Elemente im Interesse der Klarheit der Erläuterung willkürlich vergrößert oder verkleinert sein.
    • Die 1 bis 12 sind Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und von Kontaktstiften gemäß einigen Ausführungsformen.
    • 13 zeigt eine Schnittansicht eines Kontaktstiftes mit einer auf der Oberseite eines Kontaktstiftes verbliebenen kohlenstoffreichen Schicht.
    • 14 zeigt die Reinigung, die auf einem zusätzlichen metallischen Element unter Verwendung einer Säurelösung oder einer neutralen Flüssigkeit durchgeführt wird.
    • 15 zeigt einen Prozessablauf zum Ausbilden eines Transistors gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Implementierung verschiedener Elemente der Erfindung bereit. Spezielle Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele, und sie sind nicht als einschränkend anzusehen. Zum Beispiel kann die Ausbildung eines ersten Elements über oder auf einem zweiten Element in der nachfolgenden Beschreibung Ausführungsformen beinhalten, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen beinhalten, bei denen weitere Elemente zwischen dem ersten und dem zweiten Element ausgebildet sein können, so dass sich das erste und das zweite Element möglicherweise nicht in direktem Kontakt befinden. Weiterhin können sich in der vorliegenden Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und stellt an sich noch keinen Zusammenhang zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen her.
  • Ferner können Begriffe, die räumliche Beziehungen bezeichnen, wie „unterhalb“, „unter“, „untere(r)“, „oberhalb“, „obere(r)“ und dergleichen, hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Elements zu (einem) anderen Element(en) oder Element(en) zu beschreiben, wie in den Figuren dargestellt. Die räumliche Beziehungen bezeichnenden Begriffe sollen andere Ausrichtungen der in Verwendung oder in Betrieb befindlichen Vorrichtung, zusätzlich zu der in den Figuren abgebildeten Ausrichtung, mit einschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in eine andere Ausrichtung bewegt) werden, und die hier verwendeten Begriffe zur Beschreibung räumlicher Beziehungen können ebenfalls entsprechend interpretiert werden.
  • Es werden ein Transistor mit Kontaktstiften, die mit Silicidbereichen verbunden sind, und das Verfahren zum Ausbilden desselben gemäß verschiedenen Ausführungsformen bereitgestellt. Es werden die Zwischenstufen des Ausbildens des Transistors dargestellt. Die Varianten einiger Ausführungsformen werden erläutert. In den verschiedenen Ansichten und der Veranschaulichung dienenden Ausführungsformen werden zur Bezeichnung gleicher Elemente durchgehend gleiche Bezugszeichen verwendet. Die in den 1 bis 12 dargestellten Schritte sind außerdem schematisch in dem Prozessablauf 200 veranschaulicht, wie in 15 dargestellt.
  • Die 1 bis 12 sind Schnittansichten von Zwischenstufen bei der Ausbildung eines Transistors und der jeweiligen Kontaktstifte gemäß einigen beispielhaften Ausführungsformen. Es wird auf 1 Bezug genommen; es wird ein Wafer 10 bereitgestellt. Der Wafer 10 beinhaltet ein Substrat 20, welches aus einem Halbleitermaterial ausgebildet sein kann, wie etwa Silicium, Siliciumgermanium, Siliciumcarbid, einem III-V-Verbindungs-Halbleitermaterial oder dergleichen. Das Substrat 20 kann ein massives Substrat oder ein Halbleiter-auf-Isolator-(Semiconductor-On-Insulator, SOI) Substrat sein.
  • Über dem Substrat 20 sind Gatestapel 26A und 26B ausgebildet, welche zusammen als Gatestapel 26 bezeichnet werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Gatestapel 26A und 26B als Gatestapelstreifen (in einer Draufsicht des Wafers 10) mit zueinander parallelen Längsrichtungen ausgebildet, wobei der Abstand zwischen den Gatestapeln 26A und 26B minimiert ist. Jeder der Gatestapel 26A und 26B kann ein Gate-Dielektrikum 24, eine Gate-Elektrode 28 über dem Gate-Dielektrikum 24 und eine Hartmaske 38 über der Gate-Elektrode 28 aufweisen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Gatestapel 26 Ersatzgatestapel, welche ausgebildet werden, indem Dummy-Gatestapel (nicht dargestellt) ausgebildet werden, die Dummy-Gatestapel entfernt werden, um Vertiefungen auszubilden, und die Ersatzgates in den Vertiefungen ausgebildet werden. Infolgedessen weist jedes der Gate-Dielektrika 24 einen Bodenabschnitt, der unter der jeweiligen Gate-Elektrode 28 liegt, und Seitenwandabschnitte an den Seitenwänden der jeweiligen Gate-Elektrode 28 auf. Die Seitenwandabschnitte bilden Ringe, welche die jeweiligen Gate-Elektroden 28 umgeben.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden Source- und Drain-Bereiche 22 (im Weiteren als Source/Drain-Bereiche 22 bezeichnet) so ausgebildet, dass sie sich in das Substrat 20 hinein erstrecken. Gemäß alternativen Ausführungsformen werden Source/Drain-Bereiche 22 nach der Ausbildung der Kontaktöffnung ausgebildet, wie in 2 dargestellt. Einer oder mehrere der Source/Drain-Bereiche 22 kann (können) ein gemeinsamer Source-Bereich oder ein gemeinsamer Drain-Bereich sein, in den sich die Gatestapel 26A und 26B teilen. Dementsprechend kann der Gatestapel 26A einen ersten Transistor in Kombination mit den Source/Drain-Bereichen 22 auf den gegenüberliegenden Seiten des Gatestapels 26A bilden, und der Gatestapel 26B kann einen zweiten Transistor in Kombination mit den Source/Drain-Bereichen 22 auf den gegenüberliegenden Seiten des Gatestapels 26B bilden. Der erste Transistor und der zweite Transistor können elektrisch parallelgeschaltet sein, so dass sie wie ein einziger Transistor wirken.
  • Das Gate-Dielektrikum 24 kann eine einzige Schicht sein, oder eine Verbundschicht, welche mehrere Schichten umfasst. Zum Beispiel kann das Gate-Dielektrikum 24 eine Grenzflächen-Oxidschicht und eine dielektrische Schicht mit hohem k-Wert über der Oxidschicht aufweisen. Die Oxidschicht kann eine Siliciumoxidschicht sein, die durch thermische Oxidation oder chemische Oxidation gebildet wird. Die dielektrische Schicht mit hohem k-Wert kann einen k-Wert aufweisen, der größer als 7 oder sogar größer als 20 ist. Beispiele für dielektrische Materialien mit hohem k-Wert sind Hafniumoxid, Zirconiumoxid, Lanthanoxid und dergleichen.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist jede Gate-Elektrode 28 eine einschichtige Struktur auf, die von einem homogenen leitenden Material gebildet wird. Gemäß alternativen Ausführungsformen weist jede Gate-Elektrode 28 eine Verbundstruktur auf, die mehrere Schichten beinhaltet, welche von TiN, TaSiN, WN, TiAl, TiAlN, TaC, TaN, Aluminium oder Legierungen davon gebildet werden. Die Herstellung der Gate-Elektroden 28 kann physikalische Gasphasenabscheidung (Physical Vapor Deposition, PVD), metallorganische chemische Gasphasenabscheidung (Metal-Organic Chemical Vapor Deposition, MOCVD), und/oder andere anwendbare Verfahren beinhalten. Die Hartmaske 38 kann zum Beispiel aus Siliciumnitrid ausgebildet werden.
  • Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung werden die Gatestapel 26A und 26B, anstatt Ersatzgatestapel darzustellen, durch Abscheiden einer Gate-Dielektrikum-Deckschicht und einer Gate-Elektroden-Deckschicht (wie etwa einer Polysiliciumschicht) und anschließendes Strukturieren der Gate-Dielektrikum-Deckschicht und der Gate-Elektroden-Deckschicht.
  • Es wird erneut auf 1 Bezug genommen; es wird eine Kontakt-Ätzstoppschicht (Contact Etch Stop Layer, CESL) 34 ausgebildet, die das Substrat 20 bedeckt und sich auf die Seitenwände von Gate-Abstandshaltern 30 erstrecken kann. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die CESL 34 aus Siliciumnitrid, Siliciumcarbid oder anderen dielektrischen Materialien ausgebildet. Eine dielektrische Zwischenschicht (Inter-Layer Dielectric, ILD) 36 wird über der CESL und den Gatestapeln 26A und 26B ausgebildet. Die ILD 36 kann aus einem Oxid ausgebildet werden, wie etwa aus Phosphorsilikatglas (Phospho-Silicate Glass, PSG), Borosilikatglas (Boro-Silicate Glass, BSG), mit Bor dotiertem Phosphorsilikatglas (Boron-Doped Phospho-Silicate Glass, BPSG), Tetraethylorthosilicat- (Tetra Ethyl Ortho Silicate, TEOS-) Oxid oder dergleichen. Die Herstellung kann zum Beispiel chemische Gasphasenabscheidung (Chemical Vapor Deposition, CVD), fließfähige CVD (Flowable CVD, FCVD), Rotationsbeschichtung oder dergleichen beinhalten.
  • Es wird auf 2 Bezug genommen; die ILD 36 und die CESL 34 werden geätzt, um eine Kontaktöffnung 40 auszubilden. Der betreffende Schritt ist als Schritt 202 in dem in 15 abgebildeten Prozessablauf dargestellt. Die Öffnung 40 ist gemäß einigen Ausführungsformen eine Source/Drain-Kontaktöffnung. Der Source/Drain-Bereich 22 (falls bereits ausgebildet) wird durch die Kontaktöffnung 40 freigelegt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist die Öffnung 40 eine Breite W1 auf, die kleiner als etwa 40 nm ist. Die Tiefe D1 kann größer als etwa 45 nm sein.
  • Gemäß einigen Ausführungsformen, bei denen zu diesem Zeitpunkt noch keine Source/Drain-Bereiche 22 ausgebildet worden sind, können eine Voramorphisierungs-Implantation (Pre-Amorphization Implantation, PAI) und eine Source-Drain-Implantation durchgeführt werden, um Source/Drain-Bereiche 22 auszubilden, wobei die Spezies der PAI und die implantierte Verunreinigung zum Ausbilden der Source/Drain-Bereiche 22 durch die Öffnung 40 in das Substrat 20 implantiert werden. Die PAI kann unter Verwendung von Germanium, Silicium oder dergleichen durchgeführt werden, welches die Gitterstruktur der implantierten Bereiche zerstört, um die Tiefe der anschließenden Source/Drain-Implantation zu steuern. Die Source/Drain-Implantation kann unter Verwendung von Bor oder Indium durchgeführt werden, falls der betreffende Transistor ein p-leitender Transistor ist, oder unter Verwendung von Phosphor, Arsen oder Antimon, falls der betreffende Transistor ein n-leitender Transistor ist.
  • 3 veranschaulicht die Ausbildung von Kontakt- (Stift-) Abstandshaltern 44 gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die Ausbildung von Kontakt-Abstandshaltern 44 kann das Abscheiden einer oder mehrerer konformer dielektrischer Schicht(en) beinhalten. Die dielektrische Schicht erstreckt sich in die Kontaktöffnung 40 hinein und weist vertikale Abschnitte an den Seitenwänden der ILD 36 und horizontale Abschnitte am Boden der Öffnung 40 sowie über der ILD 36 auf. Der Abscheidungsprozess wird unter Anwendung eines Prozesses der konformen Abscheidung durchgeführt, wie etwa als atomare Schichtabscheidung (Atomic Layer Deposition, ALD), CVD oder dergleichen, so dass die horizontalen Abschnitte und vertikalen Abschnitte der abgeschiedenen Schicht ähnliche Dicken aufweisen. Anschließend wird eine anisotrope Ätzung durchgeführt, um die horizontalen Abschnitte zu entfernen, wobei die vertikalen Abschnitte als Kontakt-Abstandshalter 44 zurückbleiben. Die anisotrope Ätzung kann unter Verwendung von Ammoniak (NH3) und NF3 als Ätzgase durchgeführt werden. Es ist anzumerken, dass die Kontakt-Abstandshalter 44 in derselben Öffnung 40, in einer Draufsicht des Wafers 10 betrachtet, Abschnitte eines integrierten Abstandshalterrings sind.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Abstandshalter 44 aus einem dielektrischen Material ausgebildet, welches eine hohe Ätzselektivität in Bezug auf Oxid aufweist, so dass bei nachfolgenden Reinigungsprozessen (in denen Oxide entfernt werden) die Abstandshalter nicht beschädigt werden. Die Kontakt-Abstandshalter 44 können zum Beispiel aus Siliciumnitrid, Siliciumoxycarbid, Siliciumoxynitrid oder dergleichen ausgebildet werden.
  • Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung werden keine Abstandshalter 44 ausgebildet. Daher sind die Abstandshalter 44 mit gestrichelten Linien dargestellt, um darauf hinzuweisen, dass dieser Schritt ausgeführt oder weggelassen werden kann. Gemäß diesen Ausführungsformen kann die anschließend ausgebildete Metallschicht 46 (4) Seitenwandabschnitte aufweisen, die sich mit den Seitenwänden der ILD 36 in Kontakt befinden.
  • Es wird auf 4 Bezug genommen; als Nächstes wird eine Metallschicht 46 abgeschieden. Der betreffende Schritt ist als Schritt 204 in dem in 15 abgebildeten Prozessablauf dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Metallschicht 46 eine Titan- (Ti-) Schicht, welche unter Anwendung von physikalischer Gasphasenabscheidung (Physical Vapor Deposition, PVD) ausgebildet werden kann. Die Metallschicht 46 weist einen Bodenabschnitt 46A am Boden der Öffnung 40 und Seitenwandabschnitte 46B an den Seitenwänden der ILD 36 auf. Die Metallschicht 46 hat zwei Funktionen. Die erste Funktion ist, dass der Bodenabschnitt der Metallschicht 46 mit dem darunterliegenden Source/Drain-Bereich 22 reagiert, um einen Source/Drain-Silicidbereich zu bilden. Die zweite Funktion ist, dass die Metallschicht 46 als eine Haftschicht für die anschließend ausgebildete Deck-/Haftschicht wirkt.
  • Es wird auf 5 Bezug genommen; es wird eine Deckschicht 48 abgeschieden. Der betreffende Schritt ist als Schritt 206 in dem in 15 abgebildeten Prozessablauf dargestellt. Die Deckschicht 48 wirkt außerdem als Diffusionssperrschicht. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Deckschicht 48 aus einem Metallnitrid ausgebildet, wie etwa Titannitrid. Die Deckschicht 48 kann unter Anwendung von PVD, CVD oder dergleichen ausgebildet werden.
  • 6 veranschaulicht einen Silicidierungsprozess zum Ausbilden eines Silicidbereichs 50. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Silicidierungsprozess durch eine Temperung durchgeführt, welche durch Pfeile 52 dargestellt ist. Der betreffende Schritt ist als Schritt 208 in dem in 15 abgebildeten Prozessablauf dargestellt. Die Temperung kann durch schnelle thermische Temperung (Rapid Thermal Anneal, RTA), Ofentemperung oder dergleichen durchgeführt werden. Dementsprechend reagiert der Bodenabschnitt 46 mit dem Source/Drain-Bereich 22, um den Silicidbereich 50 zu bilden. Die Seitenwandabschnitte der Metallschicht 46 bleiben nach dem Silicidierungsprozess erhalten, wie in 6 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Bodenabschnitt der Metallschicht 46 vollständig umgesetzt, und die Oberseite des Silicidbereichs 50 befindet sich in Kontakt mit der Unterseite der Deckschicht 48.
  • Als Nächstes wird metallisches Material 54 in die verbleibende Kontaktöffnung 40 eingefüllt, und der resultierende Wafer 10 ist in 7 dargestellt. Der betreffende Schritt ist als Schritt 210 in dem in 15 abgebildeten Prozessablauf dargestellt. Das metallische Material 54 kann aus einem Wolfram enthaltenden Material ausgebildet sein, welches aus reinem oder im Wesentlichen reinem Wolfram ausgebildet sein kann (zum Beispiel mit einem Atomprozentgehalt, der größer als etwa 95 Prozent ist). Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung umfasst das metallische Material 54 Titan. Das metallische Material 54 ist frei von Kupfer, Kobalt oder dergleichen, da diese Materialien aufgrund eines von Wolfram verschiedenen Verhaltens in den nachfolgenden Prozessschritten nicht von den Ausführungsformen der vorliegenden Offenbarung profitieren würden.
  • Als Nächstes wird, wie ebenfalls in 7 dargestellt, ein Planarisierungsprozess wie etwa ein chemisch-mechanisches Polieren (Chemical Mechanical Polish, CMP) durchgeführt, um die überschüssigen Teile des metallischen Materials 54, der Deckschicht 48 und der Metallschicht 46 über der ILD 36 zu entfernen. Somit wird ein Source/Drain-Kontaktstift 56 ausgebildet, wie in 8 dargestellt. Der betreffende Schritt ist als Schritt 212 in dem in 15 abgebildeten Prozessablauf dargestellt. 7 zeigt schematisch ein Polierpad 57. In einem tatsächlichen CMP-Prozess kann das Polierpad 57 eine Größe/einen Durchmesser aufweisen, die/der größer als die Größe des Wafers 10 ist. Während des CMP-Prozesses kann das Polierpad nach oben gewandt sein, während der Wafer 10 nach unten gewandt sein kann und gegen das Polierpad 57 gepresst wird. Der Wafer 10 wird während des CMP gedreht. Während des CMP wird eine Slurry (nicht dargestellt) auf das Polierpad 57 abgegeben.
  • Nachdem das CMP beendet ist, wird der Wafer 10 in einem Reinigungsschritt gereinigt. Der betreffende Schritt ist als Schritt 214 in dem in 15 abgebildeten Prozessablauf dargestellt. 8 zeigt schematisch einen beispielhaften Reinigungsprozess. Eine Bürste 61 kann verwendet werden, um den Wafer 10 während der Reinigung zu bürsten, um verunreinigende Partikel („Fall-on“), Slurry und die während des CMP erzeugten Nebenprodukte wegzubürsten. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Bürste 61 eine Schwammrollenbürste, welche während der Reinigung wie dargestellt rotiert. Die Bürste 61 kann auch eine Pinselbürste sein. Bei einer beispielhaften Reinigung kann zuerst eine Schwammrollenbürste verwendet werden, um den Wafer 10 zu bürsten, gefolgt von einer Reinigung unter Verwendung einer Pinselbürste. Wenn die Bürste 61 verwendet wird, um den Wafer 10 zu bürsten, wird auch eine Reinigungslösung 58 auf dem Wafer 10 aufgebracht, zum Beispiel durch Sprühen, so dass die verunreinigenden Partikel („Fall-on“), die Slurry und die während des CMP erzeugten Nebenprodukte entfernt werden können. Die Bürste 61 befindet sich während der Reinigung in physischem Kontakt mit dem Wafer 10. Die Reinigung kann während eines Zeitraums von etwa 1,5 Minuten bis etwa 2,5 Minuten durchgeführt werden, wobei die Reinigungslösung 58 eine Temperatur zwischen etwa 18 °C und etwa 21 °C aufweist.
  • Die Reinigungsumgebung kann in einer galvanischen Elektrode resultieren, die den Kontaktstift 56 und die Teile des Wafers 10, die mit dem Kontaktstift 56 elektrisch verbunden sind, beinhaltet, insbesondere für NMOS-Transistoren und n-Wannen-Bereiche. Dementsprechend können Elektronen von dem Kontaktstift 56 weg in den Source/Drain-Bereich 22 geleitet werden. Das Metall wie etwa Wolfram wird daher infolge der Abgabe von Elektronen an das Substrat 20 in Metallionen mit positiven Ladungen umgewandelt. Die Metallionen können in der Reinigungslösung 58 bei der resultierenden Korrosion verloren gehen, was einen Metallverlust und die Einsenkung des Kontaktstiftes 56 zur Folge hat. Durch die Einsenkung ist dann die Oberseite des Kontaktstiftes 56 niedriger als die Oberseite der ILD 36. Bei den Ausführungsformen, bei welchen die Breite W2 des Kontaktstiftes 56 sehr klein ist, zum Beispiel kleiner als etwa 20 nm, ist die resultierende Einsenkung tief und schmal (mit einem hohen Aspektverhältnis), und es ist schwierig, die Einsenkung vollständig mit den anschließend ausgebildeten darüberliegenden leitenden Elementen, wie etwa Durchkontaktierung oder Kontaktstifte 72, auszufüllen, wie in 12 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird in der Reinigungslösung 58 ein Inhibitor verwendet, um das Einsenken des Kontaktstiftes 56 während des Reinigungsprozesses zu verringern und möglicherweise zu beseitigen.
  • Es versteht sich, dass der wünschenswerte PH-Wert der Reinigungslösung 58 mit dem Material des Kontaktstiftes 56, dessen Oberfläche gereinigt werden soll, in Zusammenhang steht. Verschiedene Materialien reagieren auf verschiedene Typen von Reinigungslösungen unterschiedlich. Dementsprechend wurden bei herkömmlichen Prozessen für die Reinigung von Kupfer nach dem entsprechenden CMP starke Basen verwendet, während für die Reinigung von Wolfram nach dem entsprechenden CMP Säure oder neutrale Flüssigkeiten verwendet wurden. Wolfram kann jedoch durch säurehaltige Lösungen korrodiert/geätzt werden. Außerdem tritt sogar dann, wenn eine Reinigungslösung neutral ist, was bedeutet, dass ihr PH-Wert 7,0 ist, die galvanische Reaktion ein, und Wolfram wird während der Reinigung ebenfalls korrodiert. Bei den herkömmlichen Reinigungsprozessen wurden Säure und/oder neutrale Reinigungslösungen aufgrund einiger ihrer Eigenschaften in Reaktion auf Wolfram verwendet, um Wolfram-Stecker zu reinigen. Säure und/oder neutrale Reinigungslösungen haben jedoch ebenfalls die Korrosion der Wolfram-Stecker zur Folge. Bei herkömmlichen Strukturen stellte der durch die Reinigung verursachte Verlust von Wolfram kein Problem dar. Der Grund dafür ist, dass die Wolfram-Stecker bei herkömmlichen Strukturen relativ breit waren und die Tiefen der resultierenden Einsenkung, die durch die Reinigung verursacht wurde, im Vergleich zu den Breiten der Wolfram-Stecker relativ gering waren. Dementsprechend können die anschließend ausgebildeten darüberliegenden leitenden Materialien die Einsenkung leicht füllen, ohne einen offenen Stromkreis zu verursachen. Bei der neuen Generation integrierter Schaltungen sind jedoch die Breiten von Wolfram-Steckern sehr gering, zum Beispiel können sie etwa 20 nm oder weniger erreichen. Die Korrosionstiefen, die bei der herkömmlichen Reinigung verursacht werden, werden daher vergleichbar mit den und manchmal größer als die Breiten der Kontaktstifte 56, was tiefe und schmale Einsenkungen zur Folge hat. Wenn darüberliegende leitende Elemente 72 (12), wie etwa Kontaktstifte, Durchkontaktierungen, Metallleitungen usw., ausgebildet werden, ist es daher schwierig, eine solche Einsenkung vollständig zu füllen, und ein offener Stromkreis kann die Folge sein.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Reinigungslösung 58 (welche Wasser enthält) ein Inhibitor zugegeben, um die Korrosion der Wolfram-Stecker zu verhindern. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Inhibitor von organischem Amin gebildet, welches zum Beispiel C5H15NO2 sein kann. C5H15NO2 hat ein Molekulargewicht, das gleich 121,18 ist. Der Inhibitor, wie etwa das organische Amin, bewirkt, dass der pH-Wert in der Reinigungslösung 58 basisch/alkalisch ist (mit einem pH-Wert, der höher als 7,0 ist). Dies stellt einen Unterschied gegenüber herkömmlichen Reinigungslösungen dar, die nach dem CMP von Wolfram verwendet werden. Der Inhibitor in der Reinigungslösung 58 wird an die freiliegende Fläche des Kontaktstiftes 56 angelagert, wobei die Schicht 62 in der Zeichnung den angelagerten Inhibitor darstellen soll. Der Inhibitor ist negativ geladen. Die Schicht 62 ist eine Schicht mit hoher Kohlenstoffkonzentration, und die Schicht 62 schützt den darunterliegenden Kontaktstift vor dem Einsenken der Reinigungslösung 58. Infolgedessen unterbricht der angelagerte Inhibitor 60 elektrisch den Weg zwischen der Reinigungslösung 58 und dem Kontaktstift 56, und es wird verhindert, dass Elektronen in den Source/Drain-Bereich 22 fließen, und es wird verhindert, dass positive Wolframionen in der Reinigungslösung 58 in Lösung gehen. Die Korrosion wird somit verringert.
  • Der Inhibitor in der Reinigungslösung 58 funktioniert gut, wenn die Reinigungslösung 58 eine schwach basische Lösung mit pH-Werten im Bereich zwischen 7,0 (ohne diesen Wert) und etwa 8,0 ist. Anders ausgedrückt, der pH-Wert ist größer als 7,0 und niedriger als etwa 8,0. In einer neutralen oder sauren Umgebung ist der Inhibitor nicht in der Lage, sich an die Oberfläche des Kontaktstiftes 56 anzulagern, und es tritt Korrosion auf. Andererseits, falls der PH-Wert der Reinigungslösung 58 auf etwa 8,0 oder mehr erhöht wird, beginnt der Inhibitor ebenfalls, seine hemmende Funktion zunehmend zu verlieren, und Wolfram wird durch die entsprechenden stark basischen Lösungen korrodiert/geätzt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Reinigungslösung auf einen Wert eingestellt, der größer als 7,0 und niedriger als etwa 8,0 ist, um ein Funktionieren des Inhibitors zu ermöglichen.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Einstellung des pH-Wertes der Reinigungslösung 58 durch Einstellen der Konzentration des organischen Amins erreicht. Zum Beispiel kann die Konzentration des organischen Amins in der Reinigungslösung 58 auf einen Wert zwischen etwa 0,5 Prozent und etwa 2 Prozent eingestellt werden. Der Reinigungslösung 58 kann ein Puffermittel zugegeben werden, wie etwa Tris(hydroxymethyl)aminomethan (TRIS) oder Kochsalz-Natriumcitrat (Saline Sodium Citrate, SSC), wodurch die Reinigungslösung 58 zu einer Pufferlösung wird, so dass ihr pH-Wert konstant innerhalb des wünschenswerten Bereichs (zwischen 7,0 und etwa 8,0) bleiben kann. Gemäß einigen Ausführungsformen können andere Chemikalien wie etwa HCl zugegeben werden, um den pH-Wert der Reinigungslösung 58 einzustellen.
  • Nach dem Reinigen des Wafers 10 unter Verwendung der Reinigungslösung 58 wird der Rückstand auf dem Wafer 10 abgeschleudert und abgebürstet. Auf den Wafer 10 kann saubere Luft 64 geblasen werden, um den Wafer 10 zu trocknen, wie in 9 dargestellt. Der betreffende Schritt ist als Schritt 216 in dem in 15 abgebildeten Prozessablauf dargestellt. Während des Zeitraums ab dem Zeitpunkt, zu dem das CMP beendet ist, bis zu dem Zeitpunkt, zu dem das Trocknen des Wafers 10 beginnt (oder dem Zeitpunkt, zu dem der Wafer 10 vollständig getrocknet ist), wird keine neutrale Reinigungslösung oder saure Lösung verwendet, um den Wafer 10 zu reinigen. Außerdem wird keine stark basische Lösung mit einem pH-Wert verwendet, der wesentlich höher als 8,0 (wie etwa 8,5) ist. Infolgedessen wird die Korrosion des Kontaktstiftes 56 auf ein Minimum begrenzt. 9 zeigt einen resultierenden Wafer 10, wobei die Einsenkung 66 (falls durch den Reinigungsschritt gebildet) schematisch dargestellt ist. Indem die Reinigung unter Anwendung der Verfahren gemäß den Ausführungsformen der vorliegenden Offenbarung durchgeführt wird, kann die Einsenkungstiefe D1 der Einsenkung 66 aufgrund der verminderten Korrosion kleiner als etwa 50 Å sein. Falls der Kontaktstift 56 einen Abschnitt aufweist, der vor dem Reinigen über die Oberseiten der ILD 36 hinausragt, kann die Oberseite des Kontaktstiftes 56 nach dem Reinigen auch koplanar mit der Oberseite der ILD 36 oder höher als die Oberseite der ILD 36 sein.
  • In den Schritten, die in den 1 bis 9 dargestellt sind, wird ein Transistor 300 ausgebildet. Es wird auf 10 Bezug genommen; gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird eine Ätzstoppschicht 68 ausgebildet, gefolgt von der Ausbildung einer dielektrischen Schicht 70. Gemäß einigen Ausführungsformen ist die dielektrische Schicht 70 ein Zwischenschichtdielektrikum, und daher wird sie alternativ auch als ILD1 70 bezeichnet. Die Ätzstoppschicht 68 kann gemäß einigen Ausführungsformen auch weggelassen werden. Dementsprechend ist die Ätzstoppschicht 68 mit gestrichelten Linien dargestellt, um darauf hinzuweisen, dass sie ausgebildet oder auch nicht ausgebildet werden kann. Die Ätzstoppschicht 68 kann aus Siliciumcarbid, Siliciumoxynitrid, Siliciumcarbonitrid, Kombinationen davon oder zusammengesetzten Schichten davon ausgebildet werden. Die Ätzstoppschicht 68 kann unter Anwendung eines Abscheidungsverfahrens ausgebildet werden, wie etwa CVD, plasmaunterstützte chemische Gasphasenabscheidung (Plasma Enhanced Chemical Vapor Deposition, PECVD), ALD oder dergleichen. Die ILD1 70 kann ein Material beinhalten, das aus PSG, BSG, BPSG, mit Fluor dotiertem Siliciumglas (Fluorine-doped Silicon Glass, FSG) oder TEOS ausgewählt ist. Die ILD1 70 kann auch aus einem nicht porösen dielektrischen Material mit niedrigem k-Wert ausgebildet werden, welches ein kohlenstoffhaltiges dielektrisches Material sein kann. Die ILD1 70 kann unter Anwendung von Rotationsbeschichtung, FCVD oder dergleichen ausgebildet werden, oder es kann unter Anwendung eines Abscheidungsverfahrens ausgebildet werden, wie etwa CVD, PECVD, chemische Niederdruck-Gasphasenabscheidung (Low Pressure Chemical Vapor Deposition, LPCVD) oder dergleichen.
  • 10 veranschaulicht ferner die Ausbildung eines leitenden Elements 72. Die Ausbildung der Schichten 68 und 70 und des leitenden Elements 72 ist in dem in 15 abgebildeten Prozessablauf als Schritt 218 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das leitende Element 72 ein Kontaktstift, und die Ätzstoppschicht 68, wie in 10 dargestellt, ist nicht ausgebildet. Gemäß alternativen Ausführungsformen ist das leitende Elements 72 eine Kupferdurchkontaktierung oder eine Kupferleitung, und die Ätzstoppschicht 68 ist gemäß diesen Ausführungsformen ausgebildet.
  • Die Ausbildung des leitenden Elements 72 kann das Ausbilden einer Öffnung in den dielektrischen Schichten 68 und 70, um den Kontaktstift 56 freizulegen, das Einfüllen eines leitenden Materials (leitender Materialien) in die Öffnung und das Durchführen einer Planarisierung beinhalten. Das leitende Element 72 kann leitende Haft-/Sperrschichten 74 und metallisches Material 76 über den Haft-/Sperrschichten 74 beinhalten. Die Haft-/Sperrschicht 74 kann aus einem Material ausgebildet werden, das aus Titan, Titannitrid, Tantal, Tantalnitrid, Kombinationen davon oder Mehrfachschichten davon ausgewählt ist. Das metallische Material 76 kann aus Wolfram, Kupfer, Aluminium oder Legierungen davon ausgebildet werden, und es kann unter Anwendung von PVD, metallorganischer chemischer Gasphasenabscheidung (Metal-Organic Chemical Vapor Deposition, MOCVD) oder Plattieren ausgebildet werden. Vorteilhafterweise kann, falls die Einsenkung 66 in 9 infolge des Reinigungsprozesses ausgebildet wird, da die Einsenkung 66 gemäß den Ausführungsformen der vorliegenden Offenbarung flach ist, das leitende Element 72 leicht in die Einsenkung 66 eingefüllt werden, ohne einen offenen Stromkreis zu erzeugen.
  • Die 11 und 12 veranschaulichen die Ausbildung von Gate-Kontaktstiften. Der betreffende Schritt ist als Schritt 220 in dem in 15 abgebildeten Prozessablauf dargestellt. Es wird (werden) ein Ätzprozess (Ätzprozesse) durchgeführt, um die dielektrische Schicht 70 zu ätzen, die Ätzstoppschicht 68, die ILD 36 und die Maskenschichten 38 zu ätzen (10), so dass Gate-Kontaktöffnungen 78 ausgebildet werden, wie in 11 dargestellt. Als Nächstes werden die Kontaktöffnungen 78 mit (einem) leitenden Material(ien) gefüllt, um Gate-Kontaktstifte 80 auszubilden, wie in 12 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung beinhalten die Gate-Kontaktstifte 80 leitende Haft-/Sperrschichten 82 und metallisches Material 84 über den Haft-/Sperrschichten 82. Die Haft-/Sperrschicht 82 kann aus einem Material ausgebildet werden, das aus Titan, Titannitrid, Tantal, Tantalnitrid, Kombinationen davon oder Mehrfachschichten davon ausgewählt ist. Das metallische Material 84 kann aus Wolfram, Kupfer, Aluminium oder Legierungen davon ausgebildet werden.
  • 13 zeigt eine kohlenstoffreiche Schicht 86, die auf einigen Abschnitten des Kontaktstiftes 88 verblieben ist, wobei die kohlenstoffreiche Schicht 86 den angelagerten Inhibitor 62 (8) beinhaltet, der mit den darüberliegenden und darunterliegenden Materialien vermischt ist. Gemäß einigen Ausführungsformen befindet sich ein Kontaktstift 56' auf demselben Die/Wafer wie der Kontaktstift 56 und wird gleichzeitig mit dem Kontaktstift 56 ausgebildet. Es ist anzumerken, dass, obwohl der Kontaktstift 56' als nahe dem Gatestapel 26 befindlich gezeichnet ist, der Kontaktstift 56' sich in Wirklichkeit in einem Abstand von den Gatestapeln befinden kann. Der Kontaktstift 56' weist keine darüberliegende Durchkontaktierung und keinen mit ihm eine Verbindung herstellenden Kontaktstift auf, und die kohlenstoffreiche Schicht 86 wird auf dem Kontaktstift 56' ausgebildet und in der endgültigen Struktur belassen. Die kohlenstoffreiche Schicht 86 kann eine Mischung des Restes von organischem Amin beinhalten, welches der angelagerte Inhibitor 62 in 8 ist. Die kohlenstoffreiche Schicht 86 kann auch das Material des Kontaktstiftes 56' und/oder das Material der Ätzstoppschicht 68 oder des Dielektrikums 70 (wenn die Schicht 68 nicht ausgebildet ist) beinhalten. Die kohlenstoffreiche Schicht 86 weist eine höhere Kohlenstoffkonzentration als der darunterliegende Kontaktstift 56' auf. Der prozentuale Kohlenstoffanteil in der kohlenstoffreichen Schicht 86 kann auch höher als derjenige in dem darüberliegenden Dielektrikum 68 (oder 70) sein. Gemäß einigen Ausführungsformen ist der Atomprozentsatz von Kohlenstoff in der kohlenstoffreichen Schicht 86 um 15 oder mehr Prozent höher als der Atomprozentsatz von Kohlenstoff in den Kontaktstiften 56' und der darüberliegenden Schicht 68 (oder Schicht 70, falls die Schicht 68 nicht ausgebildet ist). Obwohl die ILD 36 während der Reinigung auch der Reinigungslösung 58 ausgesetzt ist (8), ist es klar, da Wolfram dazu tendiert, positive Ladungen zu tragen, und der Inhibitor in der Reinigungslösung 58 und der ILD 36 dazu tendiert, negativ geladen zu sein, dass der Inhibitor dazu tendiert, sich auf der Oberseite der Kontaktstifte 56' und 56 anzusammeln, jedoch nicht auf der Oberseite der ILD 36. Dementsprechend ist in der Struktur, wie in 13 dargestellt, eine kohlenstoffreiche Schicht 86 auf der Oberseite des Kontaktstiftes 56' vorhanden, jedoch nicht auf der Oberseite der ILD 36.
  • Die kohlenstoffreiche Schicht 86 kann zu einem Zeitpunkt unmittelbar nach dem Reinigungsprozess auf allen Oberseiten der Kontaktstifte 56' und 56 vorhanden sein. Solange diese Flächen nach der Ausbildung der Schichten 68/70 nicht erneut freigelegt werden, bleibt die entsprechende kohlenstoffreiche Schicht erhalten. Falls zum Beispiel das leitende Element 72 schmaler als der darunterliegende Kontaktstift 56 ist, werden bei der Ausbildung der Öffnung zum Einfüllen des leitenden Elements 72 einige Oberseitenabschnitte des Kontaktstiftes 56 möglicherweise nicht freigelegt. Dementsprechend weisen diese Oberflächenabschnitte des Kontaktstiftes 56 dann ebenfalls kohlenstoffreiche Schichten auf, die sich über ihnen und in Kontakt mit ihnen befinden.
  • 14 veranschaulicht die Reinigung eines leitenden Elements 90, welches auf demselben Wafer wie der Kontaktstift 56 ausgebildet ist. Das leitende Element 90 kann aus einem ähnlichen Metall wie der Kontaktstift 56 ausgebildet sein und kann aus Wolfram ausgebildet sein. Gemäß einigen Ausführungsformen ist das leitende Element 90 eine Gate-Elektrode (wie etwa 28 in 1), ein Kontaktstift, eine Metallanschlussfläche oder eine Umverdrahtungsleitung. Das leitende Element 90 hat eine Breite W3, die größer als die Breite W2 (8) des Kontaktstiftes 56 ist. Die Ausbildung des leitenden Elements 90 beinhaltet das Ausbilden einer Öffnung (gefüllt mit dem leitenden Element 90) in der dielektrischen Schicht 95, das Einfüllen eines metallischen Materials 94, welches Wolfram enthalten kann, und das Durchführen eines CMP. Nach dem CMP wird eine Reinigung durchgeführt, wobei eine Bürste 61' und eine Reinigungslösung 58' verwendet werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst die Reinigungslösung 58' eine organische Säure, welche Citronensäure (C6H8O7) beinhalten kann. Die Reinigung kann auch unter Verwendung einer neutralen Flüssigkeit (ebenfalls durch 58' dargestellt), wie etwa von vollentsalztem Wasser, durchgeführt werden. Gemäß einigen Ausführungsformen hat die infolge der Korrosion ausgebildete Einsenkung 92 eine Tiefe D2, die größer als etwa 100 Å ist. Obwohl diese Tiefe einen größeren Wert als die Tiefe D1 (9) hat, treten bei der Spaltfüllung der Einsenkung 92 keine Probleme auf, und es wird kein offener Stromkreis erzeugt, da die Breite W3 des leitenden Elements 90 wesentlich größer als die Breite W2 ist (zum Beispiel kann das Verhältnis W3/W2 2,0 oder mehr betragen). Dementsprechend können gemäß der vorliegenden Offenbarung die Reinigungslösungen entsprechend den Breiten der jeweiligen gereinigten Elemente ausgewählt werden. Zum Beispiel wird, wenn der Wafer ausgebildet wird, im Voraus eine Schwellenwertbreite bestimmt, zum Beispiel experimentell auf der Basis dessen, ob die Einsenkungen 66 (9) ausgefüllt werden können, ohne einen Defekt zu erzeugen, oder nicht. Die Schwellenwertbreite kann zum Beispiel 50 nm betragen und wird durch mehrere Faktoren bestimmt. Bei der Reinigung aller Wolfram enthaltenden Elemente mit Breiten, die größer als die Schwellenwertbreite sind, werden saure Lösungen und/oder neutrale Flüssigkeiten/Lösungen verwendet, während bei der Reinigung aller Wolfram enthaltenden Elemente mit Breiten, die gleich der oder kleiner als die Schwellenwertbreite sind, schwache basische Lösungen, wie oben erläutert, verwendet werden.
  • Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch Verwenden eines Inhibitors in der Reinigungslösung zum Reinigen des Wafers wird der Kontaktstifte vor der durch die Reinigungslösung verursachten Korrosion geschützt, und der Kontaktverlust wird vermindert. Ferner kann durch Einstellen der pH-Werte des Inhibitors die jeweilige Chemikalie (wie etwa das organische Amin) während der nach dem CMP durchgeführten Reinigung als Inhibitor fungieren.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung beinhaltet ein Verfahren das Ausbilden einer ersten dielektrischen Schicht über einem Wafer, das Ätzen der ersten dielektrischen Schicht, um eine Öffnung auszubilden, das Einfüllen eines Wolfram enthaltenden Materials in die Öffnung und das Durchführen eines CMP auf dem Wafer. Nach dem CMP wird eine Reinigung unter Verwendung einer schwach basischen Lösung auf dem Wafer durchgeführt.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung beinhaltet ein Verfahren das Ausbilden einer ILD mit einem Abschnitt auf derselben Höhe wie ein Gatestapel eines Transistors. Die ILD und der Gatestapel sind Teile eines Wafers. Die ILD wird geätzt, um eine Source/Drain-Kontaktöffnung auszubilden. Ein Source/Drain-Bereich des Transistors wird durch die Source/Drain-Kontaktöffnung freigelegt. Ein Wolfram enthaltendes Material wird auf dem Wafer abgeschieden, wobei das Wolfram enthaltende Material einen Abschnitt aufweist, der die Source/Drain-Kontaktöffnung füllt. Ein CMP wird auf dem Wafer durchgeführt, um überschüssige Teile des Wolfram enthaltenden Materials zu entfernen. Der Wafer wird danach unter Verwendung einer Reinigungslösung gereinigt, die organisches Amin enthält, wobei die Reinigungslösung eine schwach basische Lösung ist. Der Wafer wird anschließend getrocknet.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur eine erste dielektrische Schicht und einen Metallstecker in der ersten dielektrischen Schicht auf. Eine Oberseite des Metallsteckers ist mit einer Oberseite der ersten dielektrischen Schicht im Wesentlichen koplanar. Eine kohlenstoffreiche Schicht überlagert den Metallstecker und befindet sich in Kontakt mit ihm. Die kohlenstoffreiche Schicht weist eine erste Kohlenstoffkonzentration auf, die höher als eine zweite Kohlenstoffkonzentration des Metallsteckers ist. Eine zweite dielektrische Schicht überlagert die kohlenstoffreiche Schicht und befindet sich in Kontakt mit ihr.
  • Im Obigen wurden Merkmale verschiedener Ausführungsformen dargelegt, um Fachleuten auf dem Gebiet ein besseres Verständnis der Aspekte der vorliegenden Offenbarung zu ermöglichen. Für Fachleute sollte klar sein, dass sie die vorliegende Offenbarung in einfacher Weise als Grundlage zum Entwickeln oder Modifizieren anderer Prozesse und Strukturen zum Bewirken der gleichen Zwecke und/oder Erzielen der gleichen Vorteile der hier vorgestellten Ausführungsformen verwenden können. Für Fachleute sollte außerdem klar sein, dass solche äquivalenten Konstruktionen nicht von der Grundidee und vom Schutzumfang der vorliegenden Offenbarung abweichen, und dass sie verschiedene Änderungen, Substitutionen und Modifikationen daran vornehmen können, ohne von der Grundidee und vom Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, welches umfasst: Ausbilden einer ersten dielektrischen Schicht über einem Wafer; Ätzen der ersten dielektrischen Schicht, um eine erste Öffnung auszubilden; Einfüllen eines Wolfram enthaltenden Materials in die erste Öffnung; Durchführen eines ersten chemisch-mechanischen Polierens (CMP) auf dem Wafer; und nach dem CMP, Durchführen einer ersten Reinigung unter Verwendung einer schwach basischen Lösung auf dem Wafer.
  2. Verfahren nach Anspruch 1, wobei die schwach basische Lösung ein organisches Amin umfasst.
  3. Verfahren nach Anspruch 2, wobei das organische Amin C5H15NO2 umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein pH-Wert der schwach basischen Lösung in einem Bereich zwischen 7,0 und etwa 8,0 liegt.
  5. Verfahren nach einem der vorhergehenden Ansprüche, welches ferner das Ausbilden eines Source/Drain-Bereichs umfasst, wobei ein Teil des Wolfram enthaltenden Materials, der nach dem CMP verbleibt, als ein Kontaktstift wirkt und der Kontaktstift mit dem Source/Drain-Bereich elektrisch gekoppelt ist.
  6. Verfahren nach einem der vorhergehenden Ansprüche, welches ferner das Ausbilden einer zweiten dielektrischen Schicht über und in Kontakt mit dem Wolfram enthaltenden Material umfasst, wobei eine kohlenstoffreiche Schicht zwischen dem Wolfram enthaltenden Material und der zweiten dielektrischen Schicht und in Kontakt mit diesen ausgebildet wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, welches ferner umfasst: Ausbilden einer zweiten dielektrischen Schicht über dem Wafer; Ätzen der zweiten dielektrischen Schicht, um eine zweite Öffnung auszubilden, wobei die zweite Öffnung weiter als die erste Öffnung ist; Einfüllen eines zweiten Wolfram enthaltenden Materials in die zweite Öffnung; Durchführen eines zweiten CMP auf dem Wafer; und nach dem zweiten CMP, Durchführen einer zweiten Reinigung unter Verwendung einer sauren Lösung oder einer neutralen Flüssigkeit auf dem Wafer.
  8. Verfahren, welches umfasst: Ausbilden einer dielektrischen Zwischenschicht (Inter-Layer Dielectric, ILD) mit einem Abschnitt auf derselben Höhe wie ein Gatestapel eines Transistors, wobei die ILD und der Gatestapel Teile eines Wafers sind; Ätzen der ILD, um eine Source/Drain-Kontaktöffnung auszubilden, wobei ein Source/Drain-Bereich des Transistors durch die Source/Drain-Kontaktöffnung freigelegt wird; Abscheiden eines ersten Wolfram enthaltenden Materials auf dem Wafer, wobei das erste Wolfram enthaltende Material einen Abschnitt umfasst, der die Source/Drain-Kontaktöffnung füllt; Durchführen eines chemisch-mechanischen Polierens (Chemical Mechanical Polish, CMP) auf dem Wafer, um überschüssige Teile des erstenWolfram enthaltenden Materials zu entfernen; Reinigen des Wafers unter Verwendung einer Reinigungslösung, die organisches Amin umfasst, wobei die Reinigungslösung eine schwach basische Lösung ist; und Trocknen des Wafers.
  9. Verfahren nach Anspruch 8, wobei von einem ersten Zeitpunkt, zu dem das CMP beendet ist, bis zu einem zweiten Zeitpunkt, zu dem der Wafer vollständig getrocknet ist, keine neutrale Flüssigkeit zum Reinigen des Wafers verwendet wird.
  10. Verfahren nach Anspruch 8 oder 9, wobei von einem ersten Zeitpunkt, zu dem das CMP beendet ist, bis zu einem zweiten Zeitpunkt, zu dem der Wafer vollständig getrocknet ist, keine saure Lösung zum Reinigen des Wafers verwendet wird.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei die Reinigungslösung einen pH-Wert in einem Bereich größer als 7,0 und kleiner als etwa 8,0 aufweist.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei das organische Amin C5H15NO2 umfasst.
  13. Verfahren nach einem der Ansprüche 8 bis 12, welches ferner umfasst: Einfüllen eines zweiten Wolfram enthaltenden Materials in eine zusätzliche Öffnung in dem Wafer, wobei die zusätzliche Öffnung weiter als die Source/Drain-Kontaktöffnung ist; Durchführen eines zusätzlichen CMP auf dem Wafer, um überschüssige Teile des zweiten Wolfram enthaltenden Materials außerhalb der zusätzlichen Öffnung zu entfernen; und nach dem zusätzlichen CMP, Reinigen des Wafers unter Verwendung einer sauren Lösung oder einer neutralen Flüssigkeit.
  14. Verfahren nach einem der Ansprüche 8 bis 13, welches ferner das Zugeben eines Puffermittels zu der Reinigungslösung umfasst, um einen pH-Wert in der Reinigungslösung zu stabilisieren.
  15. Struktur, welche umfasst: eine erste dielektrische Schicht; einen ersten Metallstecker in der ersten dielektrischen Schicht, wobei eine Oberseite des ersten Metallsteckers mit einer Oberseite der ersten dielektrischen Schicht im Wesentlichen koplanar ist; eine kohlenstoffreiche Schicht, die den ersten Metallstecker überlagert und sich in Kontakt mit ihm befindet, wobei die kohlenstoffreiche Schicht eine erste Kohlenstoffkonzentration aufweist, die höher als eine zweite Kohlenstoffkonzentration des ersten Metallsteckers ist; und eine zweite dielektrische Schicht, welche die kohlenstoffreiche Schicht überlagert und sich in Kontakt mit ihr befindet.
  16. Struktur nach Anspruch 15, wobei die zweite dielektrische Schicht Kohlenstoff umfasst und eine dritte Kohlenstoffkonzentration aufweist, und die erste Kohlenstoffkonzentration höher als die dritte Kohlenstoffkonzentration ist.
  17. Struktur nach Anspruch 16, wobei die erste Kohlenstoffkonzentration um mehr als etwa 15 Prozent höher sowohl als die zweite Kohlenstoffkonzentration als auch als die dritte Kohlenstoffkonzentration ist.
  18. Struktur nach einem der Ansprüche 15 bis 17, wobei Ränder der kohlenstoffreichen Schicht mit Rändern des ersten Metallsteckers gemeinsam enden.
  19. Struktur nach einem der Ansprüche 15 bis 18, welche ferner umfasst: einen zweiten Metallstecker in der ersten dielektrischen Schicht, wobei eine Oberseite des zweiten Metallsteckers mit der Oberseite der ersten dielektrischen Schicht im Wesentlichen koplanar ist; und einen dritten Metallstecker über und in Kontakt mit dem ersten Metallstecker, wobei keine kohlenstoffreiche Schicht zwischen dem zweiten Metallstecker und dem dritten Metallstecker angeordnet ist.
  20. Struktur nach einem der Ansprüche 15 bis 19, wobei die kohlenstoffreiche Schicht organisches Amin umfasst.
DE102017118311.6A 2016-11-29 2017-08-11 Verfahren zum reinigen eines wafers nach einem cmp-schritt Pending DE102017118311A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427336P 2016-11-29 2016-11-29
US62/427,336 2016-11-29
US15/395,057 2016-12-30
US15/395,057 US10109523B2 (en) 2016-11-29 2016-12-30 Method of cleaning wafer after CMP

Publications (1)

Publication Number Publication Date
DE102017118311A1 true DE102017118311A1 (de) 2018-05-30

Family

ID=62117567

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017118311.6A Pending DE102017118311A1 (de) 2016-11-29 2017-08-11 Verfahren zum reinigen eines wafers nach einem cmp-schritt

Country Status (5)

Country Link
US (3) US10109523B2 (de)
KR (1) KR102003602B1 (de)
CN (1) CN108122828B (de)
DE (1) DE102017118311A1 (de)
TW (1) TWI631624B (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US11004687B2 (en) * 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
JP7385540B2 (ja) * 2020-09-03 2023-11-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN115997275A (zh) * 2020-09-25 2023-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20230079429A (ko) 2020-10-05 2023-06-07 엔테그리스, 아이엔씨. Cmp 후 세정 조성물

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5935871A (en) * 1997-08-22 1999-08-10 Motorola, Inc. Process for forming a semiconductor device
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US6776696B2 (en) * 2002-10-28 2004-08-17 Planar Solutions Llc Continuous chemical mechanical polishing process for polishing multiple conductive and non-conductive layers on semiconductor wafers
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
KR100604943B1 (ko) * 2005-06-20 2006-07-31 삼성전자주식회사 반도체 소자 및 그 제조방법
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US8027823B2 (en) * 2006-06-23 2011-09-27 Agilent Technologies, Inc. Methods and system for viewing genomic data
CN100539005C (zh) 2006-09-30 2009-09-09 中芯国际集成电路制造(上海)有限公司 化学机械抛光后晶圆表面的清洗方法
JP2011009556A (ja) * 2009-06-26 2011-01-13 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US8406986B2 (en) * 2010-04-27 2013-03-26 International Business Machines Corporation Emergency routing within a controllable transit system
US8569129B2 (en) * 2011-05-31 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics
US9755039B2 (en) * 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
JP5767898B2 (ja) * 2011-08-12 2015-08-26 株式会社東芝 半導体装置の製造方法
US9478636B2 (en) 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
CN106206714B (zh) * 2015-04-30 2020-06-30 联华电子股份有限公司 半导体器件
KR20170074003A (ko) * 2015-12-21 2017-06-29 주식회사 케이씨텍 세정액 조성물

Also Published As

Publication number Publication date
TWI631624B (zh) 2018-08-01
TW201820472A (zh) 2018-06-01
US10109523B2 (en) 2018-10-23
US20180151427A1 (en) 2018-05-31
KR102003602B1 (ko) 2019-07-24
US20180350675A1 (en) 2018-12-06
US10916473B2 (en) 2021-02-09
US20200051855A1 (en) 2020-02-13
CN108122828A (zh) 2018-06-05
KR20180060950A (ko) 2018-06-07
US10510594B2 (en) 2019-12-17
CN108122828B (zh) 2021-04-20

Similar Documents

Publication Publication Date Title
DE102017118311A1 (de) Verfahren zum reinigen eines wafers nach einem cmp-schritt
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102017111545B4 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE112005001593B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einer High-K-Gate-Dielektrikumschicht und einer Metall-Gateelektrode
DE102019116921B4 (de) Bilden stickstoffhaltiger low-k-gate-abstandshalter und low-k-gate-abstandshalter
DE102017117796A1 (de) Verfahren zur bildung von kontaktsteckern mit verringerter korrosion
DE102016116001B4 (de) Lokale verbindung in einem halbleiter-bauelement und verfahren zur herstellung einer solchen
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102015113184A1 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102017112815A1 (de) Selbstausgerichtete Gate-Hartmaske und Ausbildungsverfahren dafür
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102004013928A1 (de) Grabenisolation mit dotierter Oxid-Grabenfüllung
DE102019109861A1 (de) Gatestapel-Behandlung
DE102011090163A1 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind
DE102017127285A1 (de) Verbesserung der Abscheidungsselektivität und Herstellungsverfahren dafür
DE102018108163B4 (de) Auf high-k-dielektrikumsschichten mit verschiedenen materialien ausgebildete selbstschützende schicht
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE102017120571A1 (de) Verfahren zum reduzieren der kontakttiefenschwankung bei der halbleiterherstellung
DE102019112264A1 (de) Metall-heteroübergangsstruktur mit metallkappenschicht
DE102019121152A1 (de) Sperrfreier ansatz zur bildung von kontaktstiften

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication