DE102014119164B4 - Verfahren zum Reinigen einer Metallgateoberfläche - Google Patents

Verfahren zum Reinigen einer Metallgateoberfläche Download PDF

Info

Publication number
DE102014119164B4
DE102014119164B4 DE102014119164.1A DE102014119164A DE102014119164B4 DE 102014119164 B4 DE102014119164 B4 DE 102014119164B4 DE 102014119164 A DE102014119164 A DE 102014119164A DE 102014119164 B4 DE102014119164 B4 DE 102014119164B4
Authority
DE
Germany
Prior art keywords
layer
metal
metal gate
trench
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014119164.1A
Other languages
English (en)
Other versions
DE102014119164A1 (de
Inventor
Shich-Chang Suen
Li-Chieh Wu
He Hui Peng
Liang-Guang Chen
Yung-Chung Chen
Chi-Jen Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014119164A1 publication Critical patent/DE102014119164A1/de
Application granted granted Critical
Publication of DE102014119164B4 publication Critical patent/DE102014119164B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zum Bilden einer integrierten Schaltungs-Struktur mit: Bereitstellen eines Metallgates (206), einer Ätzstoppschicht (214), die auf dem Metallgate gebildet ist, und einer dielektrischen Schicht (216), die auf der Ätzstoppschicht gebildet ist; Ätzen der Ätzstoppschicht und der dielektrischen Schicht, um einen Graben (218) zu bilden, wobei eine Oberfläche (219) des Metallgates in dem Graben freiliegt und oxidiert wird, um eine erste Oxidschicht (220) auf dem Metallgate zu bilden; Entfernen der ersten Oxidschicht unter Nutzung einer H3PO4-Lösung; Transferieren der integrierten Schaltungs-Struktur zu einer Abscheidungsvorrichtung, wobei die Oberfläche des Metallgates oxidiert wird, um eine zweite Oxidschicht (224) während des Transfers zu bilden; Wärmebehandeln der integrierten Schaltungs-Struktur in einem Vakuum bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C; und Reduzieren der zweiten Oxidschicht zu einem Metall, welches in dem Metallgate umfasst ist unter Nutzung eines Reduktionsmittels.

Description

  • HINTERGRUND
  • Die Industrie für integrierte Halbleiterschaltungen (IC) war einem rapiden Wachstum ausgesetzt. Technologische Fortschritte in IC-Materialien und Design haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltungen als die vorherige Generation hat. Jedoch haben diese Fortschritte die Komplexität der Prozessierung und Herstellung von ICs erhöht und, um diese Fortschritte umzusetzen, waren ähnliche Entwicklungen in der IC-Fertigung und Herstellung erforderlich.
  • In Zusammenhang mit der IC-Entwicklung hat sich die funktionale Dichte (d. h. die Anzahl von miteinander verbundenen Einheiten pro Chipgebiet) im Allgemeinen erhöht, während sich die Geometriegröße (d. h. die kleinste Komponente oder Linie, die erzeugt werden kann unter Nutzung eines Fabrikationsprozesses) verringert hat. Dieser Herunterskalierprozess bietet im Allgemeinen Vorteile durch eine Erhöhung der Produktionseffizienz und damit verbundenen verringerten Kosten. Solches Herunterskalieren erzeugt ebenfalls einen relativ hohen Wärmeabgabewert, der begegnet werden kann durch eine Verwendung von Einheiten mit geringer Wärmeabgabe, wie beispielsweise komplementäre Metall-Oxid-Halbleiter(CMOS)-Einheiten. CMOS-Einheiten werden typischerweise mit einem Gateoxid und einer Polysiliziumgateelektrode gebildet. Es gab einen Wunsch, das Gateoxid und die Polysiliziumgateelektrode durch ein Hoch-k-Gatedielektrikum und eine Metallelektrode zu ersetzen, um die Performance bei fortgesetztem Verringern der typischen Einheitengrößen zu erhöhen.
  • Aus der US 2013/0200461 A1 ist eine Halbleitervorrichtung bekannt, für deren Herstellung ein Metallgate mit einem Gatekontakt kontaktiert wird. Der Gatekontakt wird in einem Graben ausgebildet, der über dem Metallgate in einer dielektrischen Schicht mittels eines Ätzprozesses ausgebildet wurde.
  • Aus der US 2013/0187203 A1 ist eine Halbleitervorrichtung mit einem Metallgate bekannt, bei der über dem Metallgate eine dielektrische Kappe ausgebildet ist.
  • Aus der US 2013/00435 16 A1 ist ein Herstellungsverfahren für eine Halbleitervorrichtung bekannt, bei dem ein Metalloxid auf einem Metallgate mit Hilfe eines Sputterprozesses entfernt wird.
  • Aus der US 6015724 A ist ein Herstellungsverfahren für eine Halbleitervorrichtung mit einem Metallgate bekannt, auf dem sich nach einer Freilegung ein Chrom- und Passivierungsfilm ausbildet, der mit Hilfe von Fluorwasserstoff entfernt wird, um eine Oberfläche eines Aluminiumfilms mit einem Fluoratom abzusättigen.
  • In dem Artikel „Kinetics and Modeling of Wet Etching of Aluminum Oxide by Warm Phosphoric Acid”, B. Zhou et al., Journal of Electrochemical Society, Vol. 143, No. 2, 1996, wird das Ätzen von Aluminiumoxid mit Hilfe von Phosphorsäure untersucht, wobei Aluminiumoxid als Alternative für dielektrische Filme aus Siliziumdioxid genannt wird.
  • Dementsprechend ist ein verbessertes Verfahren zur Herstellung einer Halbleitervorrichtung mit einem Metallgate wünschenswert.
  • KURZBESCHREIBUNG DER FIGUREN
  • Aspekte der vorliegenden Offenbarung werden am Besten verstanden von der folgenden detaillierten Beschreibung, wenn sie mit den beiliegenden Figuren gelesen wird. Es soll betont werden, dass gemäß der Standardpraxis in der Industrie unterschiedliche Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können für die Klarheit der Diskussion die Dimensionen von verschiedenen Merkmalen beliebig erhöht werden oder verringert werden.
  • 1 ist ein Flussdiagramm, das ein Verfahren zur Herstellung einer integrierten Schaltungs-(IC)Struktur gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung zeigt.
  • 2 bis 11 sind Querschnittsansichten einer IC-Struktur zu unterschiedlichen Stufen der Herstellung unter Nutzung des Verfahrens der 1 gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele unterschiedliche Ausführungsbeispiele oder Beispiele für die Implementierung von unterschiedlichen Merkmalen der Erfindung bereitstellt. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele und sie sind nicht dafür bestimmt, eine Einschränkung zu liefern. Außerdem kann das Bilden eines ersten Merkmales über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsbeispiele umfassen, in welchen das erste und zweite Merkmal in einem direkten Kontakt gebildet werden, und kann ebenfalls Ausführungsbeispiele umfassen, in welchen zusätzliche Merkmale zwischen den ersten und zweiten Merkmalen gebildet werden können, so dass das erste Merkmal und das zweite Merkmal nicht in einem direkten Kontakt sind. Verschiedene Merkmale können zur Vereinfachung und Klarheit beliebig in unterschiedlichen Maßstäben gezeichnet werden. Außerdem kann ein Fachmann erkennen, dass die Anwendbarkeit der vorliegenden Erfindung auf andere Prozesse der Herstellung der Gatestruktur und/oder andere Materialien in der Gatestruktur möglich sind, obwohl die vorliegende Offenbarung Beispiele bereitstellt, die in einem Metallgateprozess, wo das Gate zuletzt gefertigt wird, genutzt werden können.
  • Die 1 ist ein Flussdiagramm, das ein Verfahren 100 zur Herstellung einer integrierten Schaltungs(IC)-Struktur gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung darstellt. Es versteht sich, dass weitere Schritte vor, nach oder während des Verfahrens 100 der 1 vorhanden sein können, und dass einige andere Schritte kurz hier beschrieben werden. Die 2 bis 12 sind Querschnittsansichten der IC-Struktur 200 zu unterschiedlichen Stadien der Herstellung unter Verwendung des Verfahrens 100 nach der 1 gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung. Es sei angemerkt, dass die IC-Struktur 200 als Teil einer Halbleitervorrichtung und durch einen CMOS-Verfahrensfluss hergestellt werden kann.
  • Bezug nehmend auf 1 und 2 beginnt das Verfahren 100 mit dem Schritt 102 durch ein Bereitstellen der IC-Struktur 200. Wie es in der 2 gezeigt ist, umfasst die IC-Struktur 200 ein Substrat 202, eine erste dielektrische Schicht 204, die auf dem Substrat 202 angeordnet ist, eine Ätzstoppschicht (ESL) 214, die auf der ersten dielektrischen Schicht 204 gebildet ist, und eine zweite dielektrische Schicht 216, die auf der ESL 214 gebildet ist. Wie in der 2 gezeigt ist, umfasst ein Metallgate (MG) 206 einen Seitenwandabstandshalter 208 und kann gebildet werden in der ersten dielektrischen Schicht 204. Die erste dielektrische Schicht 204 kann ebenfalls eine leitfähige Struktur 210 und eine Barriereschicht 212, die derart gebildet ist, dass sie sich um die leitfähige Struktur 210 herumwickelt, umfassen.
  • In einigen Ausführungsbeispielen kann das Substrat 202 ein Siliziumwafer sein. Das Substrat 202 kann ebenfalls einen anderen elementaren Halbeiter umfassen wie beispielsweise Germanium; eine Verbindung von Halbleitern mit Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimon oder eine Legierung von Halbleiter mit SiGe, GeAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP. In einigen alternativen Ausführungsbeispielen umfasst das Substrat 202 einen Halbleiter-auf-Isolator (SoI). In einigen Ausführungsbeispielen kann die dielektrische Schicht über dem Substrat 202 gebildet werden. In einigen Ausführungsbeispielen kann die dielektrische Schicht Siliziumoxid umfassen. In einigen Ausführungsbeispielen kann die dielektrische Schicht außerdem oder alternativ Siliziumnitrid, Siliziumoxinitrid oder andere geeignete dielektrische Materialien umfassen.
  • Das Substrat 202 kann unterschiedliche P-Typ-dotierte Regionen und/oder N-Typ-dotierte Regionen umfassen, die durch einen Prozess wie beispielsweise eine Ionenimplantation und/oder -diffusion implementiert werden. Solche dotierte Regionen umfassen N-Wannen, P-Wannen, leichtdotierte Regionen (LDD), stark dotierte Quellen und Senken (S/D), oder verschiedene kanaldotierte Profile, die ausgebildet sind zum Bilden unterschiedlicher integrierter Schaltungs(IC)-Einheiten, wie beispielsweise einen komplementären Metall-Oxid-Halbleiterfeldeffekttransistor (CMOSFET), Bildsensoren und/oder Licht-emittierende Dioden (LED). Das Substrat 202 kann weiterhin andere funktionale Merkmale wie beispielsweise einen Widerstand oder einen Kondensator umfassen, die darin oder auf dem Substrat gebildet sind. In einigen Ausführungsbeispielen kann das Substrat weiter eine laterale Isolationsstruktur umfassen, die bereitgestellt ist zum Trennen unterschiedlicher Einheiten, die in dem Substrat 202 gebildet sind. Die Isolationsstruktur kann Flachgrabenisolations(STI)-Strukturen umfassen, um funktionale Strukturen zu definieren und elektrisch zu isolieren. In einigen Ausführungsbeispielen können die Isolationsregionen Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, einen Luftspalt oder andere geeignete Materialien oder Kombinationen daraus umfassen. Die Isolationsregionen können gebildet werden durch jeden beliebigen Prozess. Die unterschiedlichen IC-Strukturen 200 können weiter andere Strukturen umfassen, wie beispielsweise Silizid, die auf S/D und gestapelte Gates, die über Kanälen liegen, angeordnet sind.
  • Bezug nehmend auf die 2, kann die erste dielektrische Schicht 204 eine Zwischen-Dielektrikum(ILD)-Schicht sein. In einigen Ausführungsbeispielen kann die erste dielektrische Schicht 204 Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Fluoriddotiertes Silikatglas (FSG), ein niedrig-k-dielektrisches Material und/oder andere geeignete Isolationsmaterialien umfassen. In einigen Ausführungsbeispielen kann die erste dielektrische Schicht 204 eine einzige Schicht oder mehrere Schichten umfassen. In einigen Ausführungsbeispielen kann die dielektrische Schicht 204 gebildet werden unter Nutzung von geeigneten Technologien wie beispielsweise eine chemische Dampfabscheidung (CVD), atomare Schichtabscheidung (ALD) und Spin-on-Technologien.
  • Weiter Bezug nehmend auf 4, kann das MG 206 Aluminium (Al), Wolfram (W), Kupfer (Cu) oder andere geeignete Metall-Materialien umfassen. In einigen Beispielen kann das MG 206 gebildet werden unter Nutzung eines Gate-zuletzt-Prozesses (worauf ebenfalls Bezug genommen wird als eine Ersetzung von Mehrfachgateprozessen (RPG)). In dem Gate-zuletzt-Prozess kann eine hilfsdielektrische und Hilfspolygate-Struktur zunächst gebildet werden und daran anschließend kann ein normaler CMOS-Prozessfluss folgen bis zur Abscheidung des ILD 204. Die hilfsdielektrische und Hilfspolygate-Struktur kann dann entfernt werden unter Nutzung eines geeigneten Ätzprozesses und ersetzt werden durch eine Hoch-k-Gatedielektrikum/Metallgate-Struktur. In einigen Ausführungsbeispielen kann die Hoch-k-Gatedielektrikum/Metallgate-Struktur eine Schnittstellenschicht, eine Gatedielektrische Schicht, eine Arbeitsfunktionsschicht und das MG 206 umfassen. Das MG 206 kann abgeschieden werden durch ein CVD, eine physikalische Dampfabscheidung (PVD), ein elektrochemisches Plattieren (ECP) oder andere geeignete Prozesse. Überschussmetall kann dann entfernt werden durch einen chemisch-mechanisches Polier(CMP)-Prozess, um eine planare Oberfläche der dielektrischen Schicht 204, des MG 206 und/oder der leitfähigen Struktur 210 zu erzeugen. In einigen alternativen Beispielen kann die Metallgate(MG)-Schicht 206 gebildet werden unter Nutzung jedes beliebigen Prozesses.
  • Die Seitenwand-Abstandshalter 208 können gebildet werden auf den Seitenwänden des MG 206. Die Abstandshalter 208 können gebildet werden aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumcarbid, fluoridgedoptes Silikatglas (FSG), ein niedrig-k-dielektrisches Material, Kombinationen daraus und/oder anderen geeigneten Materialien. Die Abstandshalter 208 können mehrere Schichtstrukturen haben wie beispielsweise eine oder mehrere Liner-Schichten. Die Liner-Schicht kann ein dielektrisches Material wie beispielsweise Siliziumoxid, Siliziumnitrid, und/oder andere geeignete Materialien umfassen. Die Abstandshalter 208 können gebildet werden durch Verfahren einschließlich einer Abscheidung von geeigneten dielektrischen Materialien und eines anisotropen Ätzens des Materials, um das Abstandshalterprofil 208 zu erzeugen.
  • Weiter Bezug nehmend auf 2, kann die leitfähige Struktur 210 einen Metallkontakt, eine Metalldurchkontaktierung oder eine Metalllinie umfassen. In einigen Ausführungsbeispielen, wie sie in der 2 gezeigt sind, kann die leitfähige Struktur 210 weiter umgeben sein durch eine Barriereschicht 212, um einer Diffusion vorzubeugen und/oder Materialverklebungen zu erreichen. In einigen Beispielen kann die leitfähige Struktur 210 Aluminium (Al), Kupfer (Cu) oder Wolfram (W) umfassen. Die Barriereschicht 206 kann Titannitrid (TiN), Tantalnitrid (TaN), Wolframnitrid (WN), Titansiliziumnitrid (TiSiN) oder Tantalsiliziumnitrid (TaSiN) umfassen. Die Barriereschicht 212 kann Metall umfassen, welches elektrisch leitfähig ist, aber keine Inter-Diffusion und Reaktionen zwischen der zweiten dielektrischen Schicht 204 und der leitfähigen Struktur 210 erlaubt. Die Barriereschicht 212 kann ein refraktuiertes Material und dessen Nitride umfassen. In unterschiedlichen Beispielen umfasst die Barriereschicht 212 TiN, TaN, Co, WN, TiSiN, TaSiN oder Kombinationen daraus. Die leitfähige Struktur 210 und die Barriereschicht 212 können gebildet werden durch ein Verfahren einschließlich einer Lithographie, Ätzung und einer Abscheidung. Zum Beispiel kann die leitfähige Struktur 210 und die Barriereschicht 212 abgeschieden werden durch PVD, CVD, Metall-organische chemische Dampfabscheidung (MOCVD) und ALD oder anderen geeigneten Technologien. In einigen alternativen Ausführungsbeispielen umfasst die leitfähige Struktur 210 eine Elektrode eines Kondensators, eines Widerstandes oder eines Abschnittes eines Widerstandes. In einigen Beispielen umfasst die leitfähige Struktur 210 eine Silizidstruktur, die auf entsprechende Quellen-, Senken- oder Gate-Elektroden ausgebildet ist. Die Silizidstruktur kann gebildet werden durch eine selbstjustierende Silizid(Salizid)-Technologie. Ein CMP-Prozess kann genutzt werden zum Bilden einer coplanaren Oberfläche der dielektrischen Schicht 204 und der leitfähigen Struktur 210. In einigen Ausführungsbeispielen kann eine Abdeckschicht gebildet werden auf der leitfähigen Struktur 210.
  • Wie es in der 2 gezeigt ist, ist die ESL 214 auf der ersten dielektrischen Schicht 204 gebildet. In einigen Ausführungsbeispielen umfasst die ESL 214 ein dielektrisches Material, welches ausgewählt ist, so dass es eine geeignete Ätzselektivität für einen nachfolgenden Ätzprozess hat, um einen Kontaktgraben zu bilden. In einigen Ausführungsbeispielen kann die ESL 214 Siliziumnitrid (Si3N4), Siliziumoxinitrid, Titannitrid und/oder andere geeignete Materialien umfassen. In einigen Ausführungsbeispielen kann die ESL 214 aufgebracht werden unter Nutzung einer beliebigen Technologie wie beispielsweise CVD, physikalische Dampfabscheidung (PVD), ALD oder einem epitaktischen Wachstumsprozess. In einigen Ausführungsbeispielen ist die Dicke der ESL 214 in einem Bereich von ungefähr 1 nm (= 10 Å) zu ungefähr 30 nm (= 300 Å).
  • Nach dem Bilden der ESL 214 wird die zweite dielektrische Schicht 216 auf der ESL 214 gebildet. Die Materialien, die in der zweiten dielektrischen Schicht 216 umfasst sind, können im Wesentlichen ähnlich sein zu den Materialien, die in der ersten dielektrischen Schicht 204 umfasst sind. Die Bildung der zweiten dielektrischen Schicht 216 kann im Wesentlichen ähnlich sein zu der der ersten dielektrischen Schicht 204.
  • Bezug nehmend auf die 1 und 3 wird das Verfahren 100 fortgesetzt mit dem Schritt 104 durch ein Ätzen der zweiten dielektrischen Schicht 216 und der ESL 214, um einen Graben 218 zu bilden. In einigen Ausführungsbeispielen kann der Graben 218 gebildet werden durch einen lithographischen Prozess und einen Ätzprozess mit einen oder mehreren Ätzschritten. Der lithographische Prozess wird genutzt zum Strukturieren der zweiten dielektrischen Schicht 216. In einigen Beispielen umfasst der Ätzprozess einen ersten Ätzschritt zum Entfernen der zweiten dielektrischen Schicht 216 in den Kontaktregionen unter Nutzung eines Trockenätzprozesses mit einem Fluorin-enthaltenden Ätzstoff, wie beispielsweise Difluoromethan(CH2F2)-Plasma. Der erste Ätzschritt kann auf der ESL 214 enden. Daran anschließend wird ein zweiter Ätzschritt genutzt zum selektiven Entfernen der ESL 214 in den Kontaktregionen unter Nutzung eines Nassätzens mit einem geeigneten Ätzstoff ohne Ätzung des MG 206 und der leitfähigen Struktur 210 in der ersten dielektrischen Schicht 204, wie es in der 3 gezeigt ist.
  • Wie in der 3 gezeigt, wird nach dem Ätzen zum Bilden des Grabens 218 in dem Schritt 104 eine oberste Oberfläche 219 des MG 206 freigelegt durch die Öffnung des Grabens 218. Wie in der 4 gezeigt ist, können die Metallmaterialen der obersten Oberfläche 219 oxidieren, um eine erste Oxidschicht 220 während des Ätzprozesses zu bilden und/oder während des Transferprozesses, bevor die IC-Struktur 200 in ein Metallkontaktabscheidungstool bzw. in eine Metallkontaktabscheidungsvorrichtung transferiert wurde. In einigen Ausführungsbeispielen ist die Dicke der ersten Oxidschicht 220 in einem Bereich von ungefähr 4 nm (= 40 Å) zu ungefähr 9 nm (= 90 Å). In einigen Beispielen umfasst das MG 206 Al und die erste Oxidschicht 220 umfasst Al2O3. Die gebildete Al2O3 zwischen dem MG 206 und des später abgeschiedenen Metallkontaktes kann den Kontaktwiderstand erhöhen, um somit die Leistung und Zuverlässigkeit der IC-Struktur 200 zu verringern. Daher ist es notwendig, die erste Oxidschicht 220 zu entfernen, bevor die IC-Struktur 200 in das Metallkontaktabscheidungstool transferiert ist.
  • Bezug nehmend auf die 1 und 5, wird das Verfahren 100 fortgesetzt beim Schritt 106 mit einem Entfernen der ersten Oxidschicht 220, die auf der obersten Oberfläche des MG 206 gebildet wurde. In einigen nicht beanspruchten Beispielen kann die erste Oxidschicht 220 entfernt werden unter Nutzung einer Argon(Ar)-Sputter-Behandlung. Jedoch kann dieses Verfahren zu einem abgestuften Profil des Grabens 218 führen, wo eine Breite W1 des oberen Abschnittes des Grabens 218 zu stark verbreitert ist. Der zu stark verbreiterte Graben 218 kann einen lateralen elektrischen Kriechstrom verursachen, wenn ein später aufgebrachter Metallkontakt poliert wird. Außerdem kann in der Region mit hoher Strukturdichte der zu stark verbreiterte Graben 218 zu unterschiedlichen Dicken zwischen der ILD und den Isolationsregionen führen. In einigen alternativen Beispielen kann die erste Oxidschicht 220 entfernt werden unter Nutzung eines Nassätzprozesses einschließlich, jedoch nicht beansprucht, der Nutzung von verdünnter Flusssäure(HF)-Säure. Jedoch kann in Folge der niedrigen Selektivität von HF zwischen Al und Al2O3 das MG 206 und sogar das funktionale Metall unterhalb des MG-Gates 206 während des Nassätzprozesses beschädigt werden.
  • Weiter Bezug nehmend auf 5 wird erfindungsgemäß beim Schritt 106 die erste Oxidschicht 220 entfernt durch einen Nassätzprozess unter Nutzung einer Säurelösung 222 mit einer hohen Selektivität zwischen dem Metall in dem MG 206 (z. B. Al) und der ersten Oxidschicht 220 (z. B. Al2O3), um die erste Oxidschicht zu ätzen. Erfindungsgemäß umfasst die Säurelösung 222 eine Phosphorsäure(H3PO4)-Lösung wegen ihrer hohen Selektivität zwischen Al und Al2O3. In einigen Ausführungsbeispielen kann die Al2O3 entfernt werden durch eine H3PO4-Lösung unter Nutzung der Reaktion, wie sie in der Gleichung 1 gezeigt ist: Al2O3 + 2H2PO4 + 2H+ → 2AlPO4 + 3H2O (1)
  • In einigen Ausführungsbeispielen umfasst die H3PO4-Lösung H3PO4, welches gelöst ist in nichtionisiertem Wasser (DIW) mit einer Konzentration in einem Bereich zwischen ungefähr 5% bis ungefähr 25%. Die Temperatur der H3PO4-Lösung sollte nicht größer sein als 50°C. Die Zeit, die die H3PO4-Lösung nutzt zum Reinigen der Oberfläche des MG 206, kann in einem Bereich zwischen ungefähr 5 Sekunden bis ungefährt 180 Sekunden liegen. Da die H3PO4-Lösung, die genutzt wird zum Entfernen der Oxidschicht auf dem MG 206, eine hohe Selektivität zwischen Al und Al2O3 hat, kann das MG 206 nicht beschädigt werden und der Stufeneffekt während des Oberflächensäuberungsprozesses kann verhindert werden. Nach dem Entfernen der ersten Oxidschicht 220 kann die oberste Oberfläche 219 des MG 206 freigelegt sein, wie es in der 5 gezeigt ist.
  • Bezug nehmend auf die 1 und 6 wird das Verfahren 100 beim Schritt 108 durch ein Ausspülen, Trocknen und Weitertransferieren der IC-Struktur 200 zu dem Metall-Gate-Abscheidungstool fortgesetzt. Nach dem Reinigen der IC-Struktur mit der H3PO4-Lösung zum Entfernen der ersten Oxidschicht in dem Schritt 106 kann die IC-Struktur 200 mit DIW ausgespült werden und kann vor den nachfolgenden Schritten Spin-getrocknet werden. In einigen Ausführungsbeispielen umfasst das Metallkontakt-Abscheidungstool ein PVD-Tool einschließlich einen oder mehreren Abscheidungs/Sputtering-Kammern, die miteinander verbunden sind. Zum Beispiel kann die IC-Struktur 200 transferiert in eine Vor-Sputter-Kammer, die verbunden ist mit der Metallkontakt-Abscheidungskammer. Während des Transferierungsprozesses der IC-Struktur 200 werden die Metallmaterialien auf der Oberfläche 219 oxidiert zum Bilden einer zweiten Oxidschicht 224. Die zweite Oxidschicht 224 kann eine native Oxidschicht sein, die gebildet wird, wenn das MG 206 der Luft unter den Umgebungsbedingungen ausgesetzt wird. In einigen Ausführungsbeispielen ist die Dicke der zweiten Oxidschicht 224 in einem Bereich von ungefähr 1 nm (= 10 Å) zu ungefähr 3 nm (= 30 Å). In einigen Beispielen umfasst das MG 206 Al und die zweite Oxidschicht 224 umfasst Al2O3.
  • Bezug nehmend auf die 1 und 6 wird das Verfahren 100 fortgesetzt beim Schritt 109 durch eine Wärmeeinwirkung der IC-Struktur 200 unter Vakuum in der Vor-Sputter-Kammer bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C, um eine Feuchtigkeit und alle organischen chemischen Reste auf der IC-Struktur 200 zu entfernen.
  • Bezug nehmend auf die 1 und 7, wird das Verfahren 100 fortgesetzt beim Schritt 110 mit einem Reduzieren der zweiten Oxidschicht 224 (z. B. der Al2O3-Schicht) zu einem Metall (z. B. Al), welches in dem MG 206 umfasst ist. In einigen Ausführungsbeispielen kann die zweite Oxidschicht 224 reduziert werden unter Nutzung eines Reduzierungsmittels 226, wie beispielsweise Stickstoff/Wasserstoff(N2/H2)-Plasma, in der Vor-Sputter-Kammer des Metallkontakt-Abscheidungstools. Das N2/H2-Plasma wird als chemisch-reaktives Mittel genutzt, welches geeignet ist zum Reduzieren der zweiten Metalloxidschicht 224 in der IC-Struktur 200. Das N2/H2-Plasma umfasst H2 +, H+, H•, N2 +, N+, N• und das Al2O3 kann reduziert werden zu Al unter Nutzung einer Reaktion, wie sie in der Gleichung 2 gezeigt ist: Al2O3 + 3N2/H2(H2 +, H+, H•, N2 +, N+, N•) → 2Al + 3H2O (2)
  • In einigen Ausführungsbeispielen ist während der Reduktion der zweiten Oxidschicht 224 die Flussrate des N2 in einem Bereich zwischen ungefähr 1000 sccm bis ungefähr 4000 sccm. Die Flussrate von H2 ist einem Bereich von ungefähr 100 sccm bis ungefähr 500 sccm. Der Druck der Kammer zum Reduzieren der zweiten Oxidschicht kann eingestellt werden, um in einem Bereich zwischen ungefähr 1,33 Pa (= 10 mTorr) bis ungefähr 400 Pa (= 3000 mTorr) zu liegen. Die Plasmaleistung ist in einem Bereich von ungefähr 100 W bis ungefähr 2000 W. Während des Reduktionsprozesses unter Nutzung des N2/H2-Plasmas ist eine Vorspannungsleistung in einem Bereich von ungefähr 5 W bis ungefähr 1500 W an das Substrat der IC-Struktur 200 angelegt, so dass die geladenen Moleküle und Ionen in dem N2/H2-Plasma in das Substrat eintreten können, um mit der zweiten Oxidschicht (z. B. der Al2O3-Schicht) der IC-Struktur 200 zu reagieren, wie es in der Gleichung (2) gezeigt ist. In einigen Ausführungsbeispielen kann der Oberflächenreduktionsprozess unter Nutzung der N2/H2-Plasmabehandlung ausgeführt werden bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C. Die Behandlungszeit kann in einem Bereich von ungefähr 10 Sekunden bis ungefähr 240 Sekunden sein.
  • Beim Schritt 110 kann das N2/H2-Plasma effektiv die Al2O3-Schicht auf der Oberfläche des MG 206 zu Al reduzieren, ohne das MG 206 zu beschädigen. Der Stufeneffekt kann minimiert werden, so dass das Prozessfenster für die IC-Struktur verbessert werden kann. In einigen Ausführungsbeispielen, wenn die dielektrische Schicht 216 ein organisches Material umfasst, kann die N2/H2-Plasmabehandlung ebenfalls den oberen Abschnitt des Grabens 218 ausdehnen, so dass die Breite W1 des oberen Abschnittes des Grabens 218 sich nach der Reduktion der zweiten Oxidschicht 224 unter Nutzung des N2/H2-Plasmas leicht vergrößert. Der Ausdehnungseffekt des Grabens 218 beim Schritt 110 kann eingestellt werden durch ein Justieren der Bedingung für die N2/H2-Plasmabehandlung.
  • Bezug nehmend auf die 1 und 8 wird das Verfahren 100 fortgesetzt an dem optionalen Schritt 112 durch ein Ausführen eines Ar-Sputter-Prozesses in einer Sputter-Kammer des Metallkontakt-Abscheidungstools. Die Sputter-Kammer kann verbunden sein mit der Vor-Sputter-Kammer und der Metallkontaktabscheidungskammer, so dass die IC-Struktur 200 zwischen den Kammern des Metallkontakt-Abscheidungstools transferiert werden kann, ohne einer äußeren Umgebung ausgesetzt zu sein. In einigen Ausführungsbeispielen kann der Ar-Sputter-Prozess ausgeführt werden in der Vor-Sputter-Kammer. Um ein besseres Spalt-Füllen in den folgenden Prozessen bereitzustellen, kann die Breite W1 des oberen Abschnittes des Grabens 218 ausgedehnt werden auf eine Breite W2, wobei W2 größer ist als W1. In einigen Ausführungsbeispielen ist die Breite W2 in einem Bereich von ungefähr 5% bis ungefähr 20% größer als die Breite W1. In einigen Ausführungsbeispielen umfasst der Sputter-Prozess einen Ar-Ionenbeschuss. Zum Beispiel ist eine Vorspannungsleistung in einem Bereich von ungefähr 50 W bis ungefähr 1000 W an das Substrat der IC-Struktur 200 angelegt, so dass die geladenen Ionen und Moleküle des Ar-Plasmas auf die Wände des Grabens 218 auftreffen können, um den Graben 218, wie in der 8 gezeigt, auszudehnen. In einigen Ausführungsbeispielen ist die Ar-Flussrate in einem Bereich von ungefähr 5 sccm bis ungefähr 100 sccm. Der Druck der Sputter-Kammer kann in einem Bereich von ungefähr 1,33 mPa (= 0.01 mTorr) bis ungefähr 13,33 Pa (= 100 mTorr) zu liegen. Die Plasmaleistung ist in einem Bereich von ungefähr 50 W bis ungefähr 100 W. Der Ar-Sputter-Prozess kann ausgeführt werden in einem Temperaturbereich von ungefährer Raumtemperatur (RT) bis ungefähr 200°C.
  • Bezug nehmend auf die 1 und 9, wird das Verfahren fortgesetzt beim Schritt 114 mit einem Bilden einer Barriereschicht 230, die konform ist zu dem Boden und den Wänden des Grabens 218. In einigen Ausführungsbeispielen umfasst die Barriereschicht 230 ein Metall und ist elektrisch leitfähig, aber erlaubt keine Interdiffusion und Reaktionen zwischen der dielektrischen Schicht 216 und dem Metallkontakt, die in dem Graben 218 gefüllt werden. Die Barriereschicht 230 kann ein refraktuiertes Metall und dessen Nitride umfassen. In unterschiedlichen Beispielen umfasst die Barriereschicht 230 TiN, TaN, Co, WN, TiSiN, TaSiN oder Kombinationen daraus. In einigen Ausführungsbeispielen kann die Barriereschicht 230 mehrere Filmschichten umfassen. Zum Beispiel können Ti und TiN-Filme genutzt werden für die Barriereschicht 230. In einigen Ausführungsbeispielen kann die Barriereschicht 230 aufgebracht werden durch ein PVD, CVD, ein Metall-organisches chemisches Dampfabscheiden (MOCVD), ALD, einem Sputtering oder anderen geeigneten Technologien. Die Barriereschicht 230 kann abgeschieden werden in der Metallkontaktabscheidungskammer des Metallkontaktabscheidungstools.
  • Bezug nehmend auf 1 und 10, wird das Verfahren 100 mit dem Schritt 116 fortgesetzt durch ein Aufbringen einer Metallschicht 232 auf der Barriereschicht 230, um den Graben 218 der 9 zu füllen. In einigen Ausführungsbeispielen kann die Metallschicht 232 Kupfer (Cu), Aluminium (Al), Wolfram (W) oder andere geeignete leitfähige Materialien umfassen. In einigen Ausführungsbeispielen kann die Metallschicht 232 Cu oder eine Cu-Legierung, wie beispielsweise Kupfermagnesium (CuMn), Kupferaluminium (CuAl) oder Kupfersilizium (CuSi) ebenso umfassen. In einigen Ausführungsbeispielen kann die Metallschicht 232 durch ein PVD aufgebracht werden. In einigen Beispielen kann die Metallschicht 232 Cu umfassen und die Cu-Schicht kann gebildet werden durch ein Aufbringen einer Cu-Startschicht unter Nutzung eines PVD, und daran anschließend kann eine Haupt-Cu-Schicht durch ein Plattieren gebildet werden. Die Metallschicht 232 kann in der Metallkontaktabscheidungskammer des Metallkontaktabscheidungstools aufgebracht werden. Während der Metallkontaktabscheidung kann ein Trägergas (z. B. Ar-Gas) genutzt werden zum Beschuss eines Metallzieles, um einen Metalldampf zu erzeugen. Der Metalldampf kann dann abgeschieden werden, um die Metallschicht 232 auf der IC-Struktur zu bilden. In einigen Ausführungsbeispielen kann die Metallschicht 232 einen Metallkontakt, eine Metalldurchkontaktierung oder eine Metalllinie umfassen.
  • Bezug nehmend auf die 1 und 11, wird das Verfahren fortgesetzt beim Schritt 118 durch ein Ausführen eines chemisch-mechanischen Polier-(CMP)-Prozesses, um eine überflüssige Metallschicht 232 zu entfernen, um einen Metallkontakt 234 in dem Graben 218 zu bilden. Eine im Wesentlichen co-planare obere Oberfläche des Metallkontaktes 234 und der dielektrischen Schicht 216 ist gebildet. Der CMP-Prozess wird in einem CMP-Tool ausgeführt. Der CMP-Prozess kann ein Polieren, Säubern, und einen Trocknungsprozess umfassen. Nach dem CMP-Prozess kann die IC-Struktur 200 aus dem CMP-Tool heraus geführt werden für daran anschließende Prozesse.
  • Es versteht sich, dass die IC-Struktur 200 mehrere dielektrische Schichten und leitfähige Strukturen (z. B. Metalllinien, Metallauffüllungen oder MG) umfassen kann, die integriert sind zum Bilden einer Zwischenverbindungsstruktur, die ausgebildet ist zum Koppeln der unterschiedlichen P-Typ- und N-Typ-dotierten Regionen und der anderen funktionalen Merkmale (wie beispielsweise Gateelektroden), was zu einer funktional-integrierten Schaltung führt. In einigen Ausführungsbeispielen umfasst die Zwischenverbindungsstruktur eine Multi-Layer-Zwischenverbindungs(MLI)-Struktur und ein Zwischenniveaudielektrikum (ILD), welches integriert ist in der MLI-Struktur, was eine elektrische Signalführung zum Koppeln der unterschiedlichen Einheiten in dem Substrat 202 an eine Eingabe/Ausgabe-Versorgung und Signalen bereitstellt. In einigen Ausführungsbeispielen umfasst die Zwischenverbindungsstruktur unterschiedliche Metalllinien, Kontakte und Durchkontaktierungen (oder Durchkontaktierungssauffüllungen). Die Metalllinien stellen eine horizontale elektrische Signalführung bereit. Die Kontakte stellen vertikale Verbindungen zwischen dem Substrat 202 und den Metalllinien bereit, während die Durchkontaktierstrukturen eine vertikale Verbindung zwischen den Metalllinien und den unterschiedlichen Metallschichten bereitstellen.
  • Obwohl Al-Metallgates verwendet werden als ein Beispiel für die Diskussion der vorliegenden Erfindung, versteht es sich, dass die vorliegende Offenbarung ebenfalls implementiert werden kann in einer IC-Struktur mit einem MG mit jedem geeigneten Metallmaterial, das eine entsprechende Oxidschicht auf einer Oberfläche des MG bilden kann. Die Säurelösung 222, die genutzt wird beim Schritt 106, und/oder das Reduktionsmittel 226, welches genutzt wird beim Schritt 110, können ebenfalls gewählt werden, um effektiv die entsprechende Oxidschicht zu entfernen, ohne eine Beschädigung des MG oder eine Beeinträchtigung des Strukturprofils zu bewirken.
  • Die vorliegende Offenbarung stellt ein Verfahren zum Bilden einer integrierten Schaltung(IC)-Struktur bereit. Das Verfahren umfasst ein Bereitstellen eines Metallgates (MG), einer Ätzstoppschicht, die auf dem MG gebildet ist, und einer dielektrischen Schicht, die auf dem ESL gebildet ist. Das Verfahren umfasst weiter ein Ätzen der ESL und der dielektrischen Schicht, um einen Graben zu bilden. Eine Oberfläche des MG, die in dem Graben freiliegt, wird oxidiert, um eine erste Oxidschicht auf dem MG zu bilden. Das Verfahren umfasst weiter ein Entfernen der ersten Oxidschicht und der Nutzung einer H3PO4-Lösung.
  • In einigen Ausführungsbeispielen umfasst das MG Aluminium (Al) und die erste Oxidschicht umfasst Aluminiumoxid (Al2O3). Die Dicke der ersten Oxidschicht ist in einem Bereich von ungefähr 4 nm (= 40 Å) zu ungefähr 9 nm (= 90 Å). Die H3PO4-Lösung umfasst H3PO4, welches gelöst ist in einem nichtionisierendem Wasser mit einer Konzentration in einem Bereich von ungefähr 5% bis ungefähr 25%. Die Al2O3 wird entfernt durch die H3PO4-Lösung unter Nutzung einer Reaktion: Al2O3 + 2H2PO4 + 2H+ → 2AlPO4 + 3H2O.
  • Das Verfahren umfasst erfindungsgemäß weiter ein Transferieren der IC-Struktur zu einem Abscheidungstool. Die Oberfläche des MG wird oxidiert zum Bilden einer zweiten Oxidschicht während des Transfers. Das Verfahren umfasst ebenfalls eine Wärmebehandlung der IC-Struktur im Vakuum bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C; und ein Reduzieren der zweiten Oxidschicht zu einem Metall, welches in dem MG enthalten ist, unter Verwendung eines Reduktionsmittels. In einigen Ausführungsbeispielen umfasst das MG Aluminium (Al) und die erste Oxidschicht und die zweite Oxidschicht umfassen Al2O3. In einigen Ausführungsbeispielen ist die Dicke der zweiten Oxidschicht in einem Bereich von ungefähr 1 nm (= 10 Å) zu ungefähr 3 nm (= 30 Å). In einigen Ausführungsbeispielen umfasst das Verfahren weiter ein Ausführen eines Ar-Sputter-Prozesses, um einen oberen Abschnitt des Grabens zu verbreitern.
  • In einigen Ausführungsbeispielen umfasst das Reduzieren der zweiten Oxidschicht ein Verwenden eines Stickstoff/Wasserstoff(N2/H2)-Plasmas. Die Al2O3 wird zu Aluminium reduziert durch eine Reaktion Al2O3 + 3N2/H2 → 2Al + 3H2O. In einigen Ausführungsbeispielen ist die Flussrate des H2 in einem Bereich von ungefähr 100 sccm bis ungefähr 500 sccm und die Flussrate des N2 in einem Bereich von ungefähr 1000 sccm bis ungefähr 4000 sccm. In einigen Ausführungsbeispielen wird das Reduzieren der zweiten Metalloxidschicht ausgeführt unter einem Druck in einem Bereich von ungefähr 1,33 Pa (= 10 mTorr) bis ungefähr 400 Pa (= 3000 mTorr) zu liegen. In einigen Ausführungsbeispielen umfasst das Reduzieren der zweiten Oxidschicht eine Verwendung einer Leistung für das N2/H2-Plasma in einem Bereich von ungefähr 100 W bis ungefähr 2000 W.
  • In einigen Ausführungsbeispielen umfasst das Verfahren weiter ein Bilden einer Barriereschicht, die angepasst ist an einem Boden und an Wänden des Grabens; ein Abscheiden einer Metallschicht auf der Barriereschicht, um den Graben zu füllen; und ein Ausführen eines chemisch-mechanischen Polier-(CMP)-Prozesses, um ein Kontaktmetall in dem Graben zu bilden. Das Kontaktmetall und die dielektrische Schicht sind co-planar nach dem CMP-Prozess.
  • Die vorliegende Offenbarung stellt ebenfalls ein Verfahren zum Bilden einer integrierten Schaltungs-(IC)-Struktur bereit. Das Verfahren umfasst das Bereitstellen eines Metallgates (MG), einer Ätzstoppschicht (ESL), die auf dem MG gebildet ist, und eine dielektrische Schicht, die auf der ESL gebildet ist. Das Verfahren umfasst weiter ein Ätzen der ESL und der dielektrischen Schicht zum Bilden eines Grabens, um eine Oberfläche des MGs freizulegen; ein Reinigen der Oberfläche des MG unter Nutzung einer H3PO4-Lösung, ein Reinigen der Oberfläche des MG unter Nutzung eines Stickstoff/Wasserstoff(N2/H2)-Plasmas; ein Bilden einer Barriereschicht konform mit einem Boden und Wänden des Grabens; ein Abscheiden einer Metallschicht auf der Barriereschicht, um den Graben zu füllen; und ein Ausführen eines chemisch-mechanischen Polier(CMP)-Prozesses, um ein Kontaktmetall in dem Graben zu bilden. Das Kontaktmetall und die dielektrische Schicht sind nach dem CMP-Prozess co-planar.
  • In einigen Ausführungsbeispielen umfasst das Verfahren nach dem Säubern der Oberfläche des MG unter Nutzung des N2/H2-Plasmas weiter ein Ausführen eines Ar-Sputter-Prozesses, um einen oberen Abschnitt des Grabens zu verbreitern. In einigen Ausführungsbeispielen umfasst das Verfahren nach dem Säubern der Oberfläche des MG unter Nutzung einer H3PO4-Lösung weiter ein Ausspülen der IC-Struktur unter Nutzung von nichtionisiertem Wasser; und ein Rotiertrocknen der IC-Struktur.
  • Die vorliegende Offenbarung offenbart ebenfalls ein Verfahren zum Bilden einer integrierten Schaltung(IC)-Struktur. Das Verfahren umfasst ein Bereitstellen eines Metallgates (MG), einer Ätzstoppschicht (ESL), die auf dem MG gebildet ist, und einer dielektrischen Schicht, die auf dem ESL gebildet ist. Das Verfahren umfasst ebenfalls ein Ätzen der ESL und der dielektrischen Schicht, um einen Graben zu bilden. Eine Oberfläche des MG, die in dem Graben freiliegt, wird oxidiert, um eine erste Oxidschicht auf dem MG zu bilden. Das Verfahren umfasst ebenso ein Entfernen der ersten Oxidschicht unter Nutzung einer H3PO4-Lösung; ein Transferieren der IC-Struktur in ein Abscheidungstool. Die Oberfläche des MG wird oxidiert, um eine zweite Oxidschicht während des Transfers zu bilden. Das Verfahren umfasst weiter eine Wärmebehandlung der IC-Struktur in dem Abscheidungspool bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C; ein Reduzieren der zweiten Oxidschicht zu einem Metall, welches in dem MG umfasst ist, unter Nutzung eines Stickstoff/Wasserstoff(N2/H2)-Plasmas in dem Abscheidungspool; ein Ausführen eines Ar-Sputter-Prozesses, um einen oberen Abschnitt des Grabens in dem Abscheidungstool zu verbreitern; ein Bilden einer Barriereschicht konform mit einem Boden und Wänden des Grabens in dem Abscheidungstool; ein Abscheiden einer Metallschicht auf der Barriereschicht, um den Graben in dem Abscheidungstool zu füllen; und ein Ausführen eines chemisch-mechanischen Polier-(CMP)-Prozesses, um einen Metallkontakt in dem Graben zu bilden. Der Metallkontakt und die dielektrische Schicht sind nach dem CMP-Prozess co-planar. In einigen Ausführungsbeispielen umfasst das MG Aluminium (Al), und die erste Oxidschicht und die zweite Oxidschicht umfassen Al2O3.

Claims (19)

  1. Verfahren zum Bilden einer integrierten Schaltungs-Struktur mit: Bereitstellen eines Metallgates (206), einer Ätzstoppschicht (214), die auf dem Metallgate gebildet ist, und einer dielektrischen Schicht (216), die auf der Ätzstoppschicht gebildet ist; Ätzen der Ätzstoppschicht und der dielektrischen Schicht, um einen Graben (218) zu bilden, wobei eine Oberfläche (219) des Metallgates in dem Graben freiliegt und oxidiert wird, um eine erste Oxidschicht (220) auf dem Metallgate zu bilden; Entfernen der ersten Oxidschicht unter Nutzung einer H3PO4-Lösung; Transferieren der integrierten Schaltungs-Struktur zu einer Abscheidungsvorrichtung, wobei die Oberfläche des Metallgates oxidiert wird, um eine zweite Oxidschicht (224) während des Transfers zu bilden; Wärmebehandeln der integrierten Schaltungs-Struktur in einem Vakuum bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C; und Reduzieren der zweiten Oxidschicht zu einem Metall, welches in dem Metallgate umfasst ist unter Nutzung eines Reduktionsmittels.
  2. Verfahren nach Anspruch 1, wobei das Metallgate Aluminium aufweist und wobei die erste Oxidschicht Aluminiumoxid aufweist.
  3. Verfahren nach Anspruch 1, wobei die Dicke der ersten Oxidschicht (220) in einem Bereich von ungefähr 4 nm (= 40 Å) bis ungefähr 9 nm (= 90 Å) ist.
  4. Verfahren nach Anspruch 1, wobei die H3PO4-Lösung H3PO4 umfasst, welches gelöst ist in einem nicht-ionisiertem Wasser mit einer Konzentration in einem Bereich von ungefähr 5% bis ungefähr 25%.
  5. Verfahren nach Anspruch 2, wobei das Aluminiumoxid entfernt wird durch die H3PO4-Lösung unter Nutzung der Reaktion: Al2O3 + 2H2PO4 + 2H+ → 2AlPO4 + 3H2O
  6. Verfahren nach Anspruch 1, wobei das Metallgate Aluminium umfasst und wobei die erste Oxidschicht und die zweite Oxidschicht Aluminiumoxid umfassen.
  7. Verfahren nach Anspruch 1, wobei die Dicke der zweiten Oxidschicht in einem Bereich von ungefähr 1 nm (= 10 Å) bis ungefähr 3 nm (= 30 Å) liegt.
  8. Verfahren nach Anspruch 1, welches weiter Folgendes umfasst: Ausführen eines Ar-Sputter-Prozesses, um einen oberen Abschnitt des Grabens (218) auszudehnen.
  9. Verfahren nach Anspruch 1, wobei das Reduzieren der zweiten Oxidschicht eine Nutzung von N2/H2-Plasma umfasst.
  10. Verfahren nach Anspruch 9, wobei das Aluminiumoxid reduziert wird unter Nutzung der Reaktion: Al2O3 + 3N2/H2 → 2Al + 3H2O
  11. Verfahren nach Anspruch 10, wobei eine Flussrate des H2 in einem Bereich von ungefähr 100 sccm bis ungefähr 500 sccm liegt und wobei die Flussrate des N2 in einem Bereich von ungefähr 1000 sccm bis ungefähr 4000 sccm liegt.
  12. Verfahren nach Anspruch 1, wobei das Reduzieren der zweiten Oxidschicht ausgeführt wird in einem Druckbereich von ungefähr 1,33 Pa (= 10 mTorr) bis ungefähr 400 Pa (= 3000 mTorr).
  13. Verfahren nach Anspruch 9, wobei das Reduzieren der zweiten Oxidschicht ein Nutzen einer Leistung für das N2/H2-Plasma in einem Bereich von ungefähr 100 W bis ungefähr 2000 W umfasst.
  14. Verfahren nach Anspruch 1, welches weiter Folgendes umfasst: Bilden einer Barriereschicht (230), die konform ist mit einem Boden und von Wänden des Grabens; Abscheiden einer Metallschicht (232) auf der Barriereschicht, um den Graben zu füllen; und Ausführen eines chemisch-mechanischen Polier-Prozesses, um ein Kontaktmetall (234) in dem Graben zu bilden, wobei das Kontaktmetall und die dielektrische Schicht (216) co-planar nach dem chemisch-mechanischen Polier-Prozess sind.
  15. Verfahren zum Bilden einer integrierten Schaltungs-Struktur (200) mit: Bereitstellen eines Metallgates (206), einer Ätzstoppschicht (214), die auf dem Metallgate gebildet ist, und einer dielektrischen Schicht (216), die auf der Ätzstoppschicht gebildet ist; Ätzen der Ätzstoppschicht und der dielektrischen Schicht, um einen Graben (218) zu bilden und eine Oberfläche (219) des Metallgates freizulegen; Säubern der Oberfläche des Metallgates unter Nutzung einer H3PO4-Lösung; Säubern der Oberfläche des Metallgates unter Nutzung eines N2/H2-Plasmas; Bilden einer Barriereschicht (230) konform mit einem Boden und mit Wänden des Grabens; Abscheiden einer Metallschicht (232) auf der Barriereschicht, um den Graben zu füllen; und Ausführen eines chemisch-mechanischen Polier-Prozesses, um ein Kontaktmetall (234) in dem Graben zu bilden, wobei das Kontaktmetall und die dielektrische Schicht co-planar nach dem chemisch-mechanischen Polier-Prozess sind.
  16. Verfahren nach Anspruch 15, wobei nach dem Säubern der Oberfläche des Metallgates unter Nutzung des N2/H2-Plasmas weiter umfasst ist: Ausführen eines Ar-Sputter-Prozesses, um einen oberen Abschnitt des Grabens (218) auszudehnen.
  17. Verfahren nach Anspruch 15, wobei nach dem Säubern der Oberfläche des Metallgates unter Nutzung einer H3PO4-Lösung, weiter Folgendes umfasst ist: Ausspülen der integrierten Schaltungs-Struktur (200) unter Nutzung von nicht-ionisiertem Wasser; und Rotiertrocknen der integrierten Schaltungs-Struktur.
  18. Verfahren zum Bilden einer integrierten Schaltungs-Struktur (200) mit: Bereitstellen eines Metallgates, einer Ätzstoppschicht (214), die auf dem Metallgate gebildet ist, und einer dielektrischen Schicht (216), die auf der Ätzstoppschicht gebildet ist; Ätzen der Ätzstoppschicht und der dielektrischen Schicht, um einen Graben (218) zu bilden, wobei eine Oberfläche (219) des Metallgates in dem Graben freiliegt und oxidiert wird, um eine erste Oxidschicht (220) auf dem Metallgate zu bilden; und Entfernen der ersten Oxidschicht unter Nutzung einer H3PO4-Lösung; Transferieren der integrierten Schaltungs-Struktur in eine Abscheidungsvorrichtung, wobei die Oberfläche des Metallgates oxidiert wird, um eine zweite Oxidschicht (224) während des Transfers zu bilden; Wärmebehandlung der integrierten Schaltungs-Struktur in der Abscheidungsvorrichtung bei einer Temperatur in einem Bereich von ungefähr 50°C bis ungefähr 500°C; Reduzieren der zweiten Oxidchicht zu einem Metall, welches in dem Metallgate umfasst ist unter Nutzung eines N2/H2-Plasmas in der Abscheidungsvorrichtung; Ausführen eines Ar-Sputter-Prozesses, um einen oberen Abschnitt des Grabens (218) in der Abscheidungsvorrichtung zu verbreitern; Bilden einer Barriereschicht (230), die konform ist zu einem Boden und zu Wänden des Grabens in der Abscheidungsvorrichtung; Abscheiden einer Metallschicht (232) auf der Barriereschicht, um den Graben in der Abscheidungsvorrichtung zu füllen; und Ausführen eines chemisch-mechanischen Polier-Prozesses, um ein Kontaktmetall (234) in dem Graben zu bilden, wobei das Kontaktmetall und die dielektrische Schicht (216) nach dem chemisch-mechanischen Polier-Prozess co-planar sind.
  19. Verfahren nach Anspruch 18, wobei das Metallgate Aluminium umfasst und wobei die erste Oxidschicht (220) und die zweite Oxidschicht (224) Aluminiumoxid umfassen.
DE102014119164.1A 2014-01-10 2014-12-19 Verfahren zum Reinigen einer Metallgateoberfläche Active DE102014119164B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/152,497 2014-01-10
US14/152,497 US9269585B2 (en) 2014-01-10 2014-01-10 Method for cleaning metal gate surface

Publications (2)

Publication Number Publication Date
DE102014119164A1 DE102014119164A1 (de) 2015-07-16
DE102014119164B4 true DE102014119164B4 (de) 2016-09-22

Family

ID=53484828

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014119164.1A Active DE102014119164B4 (de) 2014-01-10 2014-12-19 Verfahren zum Reinigen einer Metallgateoberfläche

Country Status (3)

Country Link
US (5) US9269585B2 (de)
DE (1) DE102014119164B4 (de)
TW (1) TWI560741B (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9269585B2 (en) 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface
KR102238257B1 (ko) * 2014-08-26 2021-04-13 삼성전자주식회사 반도체 소자의 제조 방법
US9478626B2 (en) 2014-12-19 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with an interconnect structure and method for forming the same
US10090396B2 (en) 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
KR102326090B1 (ko) * 2015-10-16 2021-11-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9679807B1 (en) 2015-11-20 2017-06-13 Globalfoundries Inc. Method, apparatus, and system for MOL interconnects without titanium liner
US10354913B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical clean of semiconductor device
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US11488859B2 (en) * 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US20130043516A1 (en) * 2011-08-16 2013-02-21 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor Device and Manufacturing Method Thereof
US20130187203A1 (en) * 2012-01-19 2013-07-25 International Business Machines Corporation Formation of the dielectric cap layer for a replacement gate structure
US20130200461A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Forming the Same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3814992A (en) * 1972-06-22 1974-06-04 Ibm High performance fet
US5269880A (en) * 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5972804A (en) * 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
US6451677B1 (en) * 1998-02-23 2002-09-17 Texas Instruments Incorporated Plasma-enhanced chemical vapor deposition of a nucleation layer in a tungsten metallization process
TW471049B (en) * 1998-05-22 2002-01-01 United Microelectronics Corp Metal gate structure and manufacturing method for metal oxide semiconductor
US6531071B1 (en) * 2000-01-04 2003-03-11 Micron Technology, Inc. Passivation for cleaning a material
US6274483B1 (en) * 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
US6303447B1 (en) * 2000-02-11 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
JP2002280550A (ja) * 2001-03-22 2002-09-27 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
KR101127294B1 (ko) * 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US7888220B2 (en) * 2008-06-26 2011-02-15 Intel Corporation Self-aligned insulating etchstop layer on a metal contact
US7732284B1 (en) * 2008-12-26 2010-06-08 Texas Instruments Incorporated Post high-k dielectric/metal gate clean
US8894774B2 (en) * 2011-04-27 2014-11-25 Intermolecular, Inc. Composition and method to remove excess material during manufacturing of semiconductor devices
US8679909B2 (en) * 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US8921947B1 (en) * 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
CN104347417B (zh) * 2013-08-05 2018-01-02 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US9269585B2 (en) 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US20130043516A1 (en) * 2011-08-16 2013-02-21 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor Device and Manufacturing Method Thereof
US20130187203A1 (en) * 2012-01-19 2013-07-25 International Business Machines Corporation Formation of the dielectric cap layer for a replacement gate structure
US20130200461A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Forming the Same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
B.Zhou: Kinetics and Modeling of Wet Etching of Aluminum Oxide by Warm Phosphoric Acid. In: Journal of Electrochemical Society, 143, 1996, 2, 619 - 623. *

Also Published As

Publication number Publication date
TWI560741B (en) 2016-12-01
US20220359189A1 (en) 2022-11-10
US20160172186A1 (en) 2016-06-16
TW201543536A (zh) 2015-11-16
US9269585B2 (en) 2016-02-23
US9633832B2 (en) 2017-04-25
US20170221700A1 (en) 2017-08-03
DE102014119164A1 (de) 2015-07-16
US20210082688A1 (en) 2021-03-18
US10847359B2 (en) 2020-11-24
US11410846B2 (en) 2022-08-09
US20150200089A1 (en) 2015-07-16

Similar Documents

Publication Publication Date Title
DE102014119164B4 (de) Verfahren zum Reinigen einer Metallgateoberfläche
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE112005001593B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einer High-K-Gate-Dielektrikumschicht und einer Metall-Gateelektrode
DE112009000670B4 (de) Verfahren zum Herstellen einer Metall-Gate-Struktur
DE102015113250B4 (de) Struktur und verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102010028460B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102007052051B4 (de) Herstellung verspannungsinduzierender Schichten über einem Bauteilgebiet mit dichtliegenden Transistorelementen
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102010063775B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102010038746B4 (de) Verfahren zum Reduzieren der Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
DE102009006881B4 (de) Verfahren zur Hohlraumversiegelung in einem dielektrischen Material einer Kontaktebene eines Halbleiterbauelements, das dicht liegende Transistoren aufweist und Halbleiterbauelement mit derselben
DE102009046260A1 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen mit einer verbesserten Diffusionsbarriere
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102007057688B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit verspanntem Zwischenschichtdielektrikum unter Anwendung einer Ätzsteuerzwischenschicht mit erhöhter Dicke
DE102010001400B4 (de) SOI-Halbleiterbauelement mit reduzierter Topographie über einem Substratfensterbereich
DE102007037925B3 (de) Metall-Oxid-Halbleiter-Struktur und Verfahren zum Bilden eines Bit-Leitung-Kontaktstöpsels

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final