CN1826687A - 布线结构及其制造方法 - Google Patents

布线结构及其制造方法 Download PDF

Info

Publication number
CN1826687A
CN1826687A CNA2004800212527A CN200480021252A CN1826687A CN 1826687 A CN1826687 A CN 1826687A CN A2004800212527 A CNA2004800212527 A CN A2004800212527A CN 200480021252 A CN200480021252 A CN 200480021252A CN 1826687 A CN1826687 A CN 1826687A
Authority
CN
China
Prior art keywords
film
dielectric film
barrier layer
wiring
wire structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800212527A
Other languages
English (en)
Other versions
CN100407400C (zh
Inventor
多田宗弘
林喜宏
原田惠充
伊藤文则
大竹浩人
宇佐美达矢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Electronics Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp, NEC Corp filed Critical NEC Electronics Corp
Publication of CN1826687A publication Critical patent/CN1826687A/zh
Application granted granted Critical
Publication of CN100407400C publication Critical patent/CN100407400C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供一种布线结构及其制造方法,在形成有半导体元件的基板上层叠金属布线来实现半导体元件的连接的多层布线结构中,在多孔绝缘膜内形成细微的金属布线时,不会产生泄漏电流而损坏邻接的布线间的绝缘性,邻接的布线间的绝缘耐性不会劣化。在形成有半导体元件的基板上的金属布线结构中,在层间绝缘膜和金属布线之间形成含有有机物的绝缘性阻挡层413。该绝缘性阻挡层可以降低邻接的布线间的泄漏电流,提高绝缘可靠性。

Description

布线结构及其制造方法
技术领域
本发明涉及多层布线的布线结构及其制造方法,特别涉及利用把介电常数低于氧化硅膜的膜用于层间绝缘膜的槽布线(镶嵌布线)结构构成的多层布线的布线结构及其制造方法。
背景技术
基于更加充分说明与本发明相关的当前的技术水平的目的,此处参照在本申请中引用或确定的专利、专利申请、专利公报、科学论文等,并记入了有关这些文献的所有说明。
以往,在半导体大规模集成电路(LSI)的导电材料中广泛使用铝(Al)或铝合金。并且,布线之间和布线层之间的绝缘膜广泛采用氧化硅膜(SiO2膜)。
并且,伴随LSI的制造方法的细微化发展,需要抑制或降低布线中的信号传输的延迟。为此,作为布线的低电阻化,导电材料使用铜(Cu)。
另外,为了降低布线之间的寄生电容,布线之间和布线层之间的绝缘膜使用介电常数较低的有机物和含有气孔的氧化硅膜。
但是,在以Cu为主成分的布线中,以硅(Si)和氧化硅膜为代表的绝缘膜中的Cu的扩散比Al快,这已被公知。因此,为了防止Cu进入以晶体管为代表的半导体元件部以及布线之间的绝缘耐压劣化等,为了确保半导体元件可靠性,需要在Cu周围形成防止扩散的防止扩散(屏蔽)膜。
在形成这种使用铜的镶嵌布线结构时,需要简化步骤和降低成本。并且,提出双镶嵌布线的实用化、使用双重硬掩模的低介电常数层间绝缘膜的加工方法等。
上述的多层布线结构例如在日本专利特开2002-118169号公报(图4)和日本专利特开2001-007204号公报(图3)中公开。
下面,参照附图说明现有的镶嵌布线。首先说明第1现有示例的氧化膜双镶嵌。
如图1A所示,在形成有半导体元件的基板上(省略图示)的SiN膜801上,形成由SiO2膜802、Cu膜803、Ta/TaN膜804构成的下层布线,在其上依次形成SiN膜805、SiO2膜806、SiON膜807、SiO2膜808。
然后,如图1B所示,采用光致抗蚀剂和反应性离子蚀刻,形成双镶嵌槽809。
作为此时的双镶嵌布线槽的形成方法,公知有先孔(via first)工艺和先槽(trench first)工艺。先孔工艺是先加工孔,在加工的孔上面涂布光致抗蚀剂形成槽图形的工艺。先槽工艺是先加工槽,在加工的槽上面涂布光致抗蚀剂形成孔图形的工艺。
然后,如图1C所示,使用PVD法(Physical Vapor Deposition法,物理气相沉积法)在整个表面堆积30nm厚的Ta/TaN膜810。并且,采用不直接暴露于大气下的溅射法堆积100nm厚的Cu种子层。然后,使用电解镀法堆积Cu。在约200℃~400℃的温度下进行约5~30分钟的热处理,形成Cu埋入层811。
然后,如图1D所示,实施CMP法(Chemical Mechanical Polishing法,化学机械抛光法),通过研磨去除剩余的Cu(Cu-CMP),形成第2Cu布线812。
这些镶嵌工艺中使用的导体阻挡层采用熔点比较高的钛(Ti)、钽(Ta)等金属及其氮化物、或者层叠了它们的物质。其理由是这些阻挡层中使用的Cu的防止扩散能力较高、作为基底的绝缘物和铜布线部的粘着性良好、工艺上的热稳定性等。
在具有这些结构的第1现有示例中,伴随LSI的缩小,形成布线宽度、通孔直径均小于0.1μm的细微布线。特别是伴随细微化形成的布线间电容的增大,涉及到导致布线延迟、串扰增大、消耗电力增大等严重问题。因此,寻求降低把层绝缘膜SiO2置换为低介电常数材料时形成的布线间电容。
这样制造的半导体装置具有以下问题。在第1现有示例中公开以下技术,利用具有防止Cu扩散性能的屏蔽金属膜覆盖形成Cu布线时的侧面和布线底部。由此,防止Cu向绝缘膜中扩散,可以获得高可靠性的布线。
以往采用的屏蔽金属膜使用的材料,许多是利用以前述的溅射法为代表的PVD法成膜的。
但是,由于伴随半导体元件的细微化形成的布线槽宽度和通孔直径的缩小,很难以均匀厚度在布线侧面和布线底部及通孔侧面和通孔底部堆积屏蔽金属。即,期望有PVD法以外的屏蔽金属层的形成。
针对该问题,作为该技术领域的一般的解决方案,利用CVD法(Chemical Vapor Deposition法,化学气相沉积法)或者ALCVD(AtomicLayer Chemical Vapor Deposition,原子层化学气相沉积)法等进行屏蔽金属的成膜。由此,改善屏蔽金属层的可达范围,采用即使对细微的布线槽和通孔也能够均匀地成膜屏蔽金属的方法。通过使用这些成膜方法,可以在布线槽和通孔上均匀地堆积高质量的屏蔽金属膜。
另一方面,在推进多孔低介电常数膜向层间绝缘膜的导入。这是由于对半导体元件使用多层布线来实现高速低电力连接,不仅对细微化,对层间绝缘膜的低介电常数化也有效,从而谋求使双方两立。
为了降低布线间的实效电容,层间绝缘膜(此时为氧化硅膜(k=4.2))需要低介电常数化。低介电常数膜例如有HSQ(氢倍半硅氧烷(Hydrogen Silsesquioxane))膜、MSQ(甲基倍半硅氧烷(MethylSilsesquioxane))膜、CDO(掺杂碳的氧化物(Carbon doped oxide))或者使它们形成为多孔状的膜等。并且,利用旋转涂布法和CVD法等形成。
这些低介电常数膜的特征是密度低,其中有时具有1nm以上的气孔。在这些低介电常数膜中形成布线槽或者通孔,并埋入金属布线来形成布线的情况下,存在液体和气体和异种金属等容易从布线槽或通孔的侧面渗透到绝缘膜内部的问题。
在这种多孔绝缘膜中形成埋入金属布线时产生重要问题。
第一,由于露出于侧面的气孔形成凹凸,导致屏蔽金属的不连续等,由此产生Cu的扩散,存在劣化多孔绝缘膜的绝缘可靠性的问题。
第二,在使用前述的利用来自例如CVD法的气体的反应的方法堆积导电性屏蔽金属时,气体原料容易从布线槽或通孔的侧面进入多孔绝缘膜内部,本来应该形成于槽或通孔侧面的屏蔽金属层在绝缘膜内部析出,存在劣化布线间泄漏电流和绝缘耐性及可靠性的问题。
第三,在低介电常数膜具有多孔性时,来自外部的水分和气体和异种金属等容易从布线槽或通孔的侧面渗透到绝缘膜内部,存在劣化多孔绝缘膜的绝缘可靠性的问题。
针对这些问题,在现有技术中,研究了利用绝缘膜保护(屏蔽)多孔绝缘膜的侧面的技术,以下参照图2A~图2F详细说明现有技术的问题。
使用利用非多孔绝缘膜保护多孔绝缘膜的侧面的技术(参照图2A)的半导体装置存在以下问题。
(1)第一,在多孔绝缘膜的侧面形成绝缘膜时,在覆盖侧面的绝缘膜使用无机物的情况下,无机物的相对介电常数一般在4.0左右,比多孔绝缘膜大。因此,如果只使用相对介电常数较高的材料,则存在增大布线间电容的问题(参照图2B)。作为具有这种问题的代表性技术文献,例如可以列举日本专利特开2002-64140等。需要不增加布线间电容即可保护多孔绝缘膜的侧面的技术。
(2)第二,在多孔绝缘膜的侧面形成绝缘膜的情况下,形成低介电常数的有机物比较困难。一般,有机物多利用旋转涂布法形成于基板上,例如在日本专利特开2001-332543记载的聚酰亚胺等技术和日本专利特开2003-347290记载的有机物等中,在布线槽或通孔的侧面均匀地形成可达范围良好的厚约10nm的有机物是比较困难的(参照图2C)。因此,需要在布线槽或通孔的侧面均匀地形成厚10nm以下的、不会增加布线间电容的有机物的技术。
(3)第三,在多孔绝缘膜的侧面形成绝缘膜的情况下,存在难以只在侧面形成有机物、而且以较高的控制性形成细微的布线形状的问题。例如,在日本专利特开2004-6748记载的技术中,在确保与下层布线的连接时,存在多孔膜遭受损伤、或者有机物在反应性离子蚀刻中被去除(参照图2D)等问题,实际上适用于宽度100nm以下的细微布线的侧面是非常困难的。因此,需要在极细微布线中以较高的控制性形成布线形状、而且能够利用绝缘膜充分保护多孔绝缘膜的侧面的技术。
(4)第四,在多孔绝缘膜的侧面形成绝缘膜的情况下,在充分保护多孔绝缘膜的侧面时,不仅在侧面,在布线槽的底部也形成绝缘膜,存在布线截面积减少,布线电阻上升的问题(参照图2E)。作为具有这种问题的代表性技术文献,例如可以列举日本专利第003323005号记载的技术和日本专利第2003-668850号记载的技术等。因此,需要能够只在布线或通孔的侧面形成控制性较高的绝缘膜的技术。
(5)第五,在多孔绝缘膜的侧面形成绝缘膜的情况下,在覆盖侧面的绝缘膜使用例如日本专利特开2000-174019记载的氟碳膜等的情况下,由于产生来自膜内的脱气,存在金属布线和多孔膜的粘着性降低的问题(参照图2F)。因此,需要不降低金属布线和多孔膜的粘着性即可保护多孔绝缘膜的侧面的技术。
发明内容
本发明的目的在于,提供一种金属布线结构及其制造方法,在多孔绝缘膜内部形成以铜为主成分的细微的金属布线时,利用绝缘性阻挡层覆盖金属布线的侧面,从而防止Cu向多孔绝缘膜内部的扩散,将布线间的泄漏电流保持得较低,保持较高的绝缘可靠性,形成高可靠性的大规模集成电路。
本发明的第一方面提供一种多层布线的布线结构,该多层布线层叠了多层单位布线结构,该单位布线结构具有向在形成有半导体元件的基板上的绝缘膜上形成的布线槽和通孔中填充金属而形成的至少一个金属布线和至少一个金属连接插头,其中,在至少一个所述单位布线结构中,在所述金属布线及所述金属连接插头的至少一方、和层间绝缘膜之间,插入含有有机物的绝缘性阻挡层,所述金属布线及所述金属连接插头的至少一方的侧面的至少一部分,被所述绝缘性阻挡层覆盖。
所述绝缘性阻挡层还可含有硅原子。
所述金属可以是铜,所述金属布线可以是铜布线,所述金属连接插头可以是铜连接插头。
优选的是,在所述铜布线和所述铜连接插头的至少一方上,形成依次层叠了第1绝缘膜、多孔绝缘膜和第2绝缘膜的层间绝缘膜,将所述第1绝缘膜、所述多孔绝缘膜和所述第2绝缘膜贯通而形成的布线槽以及通孔的至少一方的侧面的至少一部分,被含有所述有机物的所述绝缘性阻挡层覆盖,而且所述有机物的含碳量多于所述第1绝缘膜和所述第2绝缘膜。
优选的是,在所述铜布线上形成依次层叠了第1绝缘膜、第3绝缘膜、第4绝缘膜、多孔绝缘膜和第2绝缘膜的层间绝缘膜,至少将所述第2绝缘膜和所述多孔绝缘膜贯通而形成的布线槽的侧面、以及将所述第4绝缘膜、贯通层间绝缘膜和所述第1绝缘膜贯通而形成的通孔的侧面,被含有所述有机物的所述绝缘性阻挡层覆盖,而且所述有机物的含碳量多于所述第1绝缘膜、所述第2绝缘膜和所述第4绝缘膜。所述绝缘性阻挡层还可含有硅原子。所述含有有机物的绝缘性阻挡层,含有比所述第1绝缘膜、所述第2绝缘膜和所述第4绝缘膜少的范围内的硅原子。
优选的是,所述多孔绝缘膜是相对介电常数为3.0或3.0以下的多孔膜。
优选的是,所述第3绝缘膜和所述第4绝缘膜由相同材料构成。
优选的是,所述含有有机物的绝缘性阻挡层,是含有Si-O结合的有机物。
优选的是,所述含有有机物的绝缘性阻挡层,是含有1atm%~10atm%范围内的硅的有机物。
优选的是,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜。
优选的是,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜,所述第1绝缘膜是SiCN膜,所述第2绝缘膜是SiO2膜,所述多孔绝缘膜是多孔SiOCH膜。
优选的是,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜,所述第1绝缘膜是SiCN膜,所述第2绝缘膜是SiO2膜,所述多孔绝缘膜是多孔SiOCH膜,所述第3绝缘膜是多孔SiOCH膜,所述第4绝缘膜是SiO2膜。
优选的是,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜,所述第1绝缘膜是SiCN膜,所述第2绝缘膜是SiO2膜,所述多孔绝缘膜是多孔SiOCH膜,所述第3绝缘膜是非多孔SiOCH膜,所述第4绝缘膜是SiO2膜。
优选的是,所述含有有机物的绝缘性阻挡层由碳、硅、有机物构成。
优选的是,所述第1绝缘膜和所述第2绝缘膜均是相同材料。
优选的是,所述第1绝缘膜和第2绝缘膜均是相同材料,而且由SiCN、SiC、SiCNH、SiCH、SiOCH中任一个构成。
本发明的第二方面,提供一种在半导体基板上的绝缘膜上形成的多层布线的布线结构,其由以下部分构成:金属布线,将多孔绝缘膜、和设在所述多孔绝缘膜上的第2绝缘膜贯通形成,以铜为主成分;和形成于所述第2绝缘膜上的第1绝缘膜,其中,所述第1绝缘膜和所述第2绝缘膜由相同材料形成。
优选的是,构成所述第1绝缘膜和所述第2绝缘膜的所述相同材料,由以碳化硅为主成分的材料、以氮化硅为主成分的材料、和以碳氮化硅为主成分的材料中的任一种构成。
本发明的第三方面,提供一种多层布线的制造方法,该多层布线层叠了多层单位布线结构,该单位布线结构向在形成有半导体元件的基板上的绝缘膜上形成的布线槽和通孔中填充以铜为主成分的金属布线而形成的布线和连接插头,该制造方法包括以下步骤:形成与铜布线或铜连接插头直接接触的第1绝缘膜、和设在所述第1绝缘膜上的多孔绝缘膜的步骤;形成设在所述多孔绝缘膜上的第2绝缘膜的步骤;在所述第2绝缘膜和多孔绝缘膜上形成布线槽或通孔的步骤;在由所述布线槽或通孔划分的布线结构的上面、侧面、底面上,形成含有有机物的绝缘性阻挡层的步骤;内蚀刻所述含有有机物的绝缘性阻挡层,去除所述布线结构的上面和底面部的绝缘性阻挡层的步骤;去除所述第1绝缘膜的步骤;和在所述布线结构槽或通孔中埋设金属膜的步骤。
优选的是,所述含有有机物的绝缘性阻挡层,利用等离子聚合法形成。
本发明的第四方面,提供一种多层布线的制造方法,该多层布线层叠了多层单位布线结构,该单位布线结构具有向在形成有半导体元件的基板上的绝缘膜上形成的布线槽和通孔中填充以铜为主成分的金属布线而形成的布线和连接插头,该制造方法包括以下步骤:在铜布线上依次层叠形成第1绝缘膜、第3绝缘膜、第4绝缘膜、多孔绝缘膜和第2绝缘膜的步骤;在所述多孔绝缘膜和第2绝缘膜上形成布线槽的步骤;在第3绝缘膜和第4绝缘膜上形成通孔的步骤;在由所述布线槽和通孔划分的布线结构的上面、侧面、底面上,形成含有有机物的绝缘性阻挡层的步骤;内蚀刻所述含有有机物的绝缘性阻挡层,去除所述布线结构的上面和底面的绝缘性阻挡层的步骤;去除所述布线结构中通孔底面的第1绝缘膜的步骤;和在所述布线槽和通孔中埋设金属膜的步骤。
优选的是,所述含有有机物的绝缘性阻挡层,利用等离子聚合法形成。
通过应用由上述的(多层)布线结构和布线结构的形成方法构成的本发明,可以实现以下所述的技术改良。
(1)第一,在填充形成于多孔绝缘膜的细微的布线槽、或通孔的铜埋入布线结构中,通过利用含有有机物的绝缘性阻挡层覆盖多孔绝缘膜的侧面,可以获得具有较高的布线间绝缘特性和绝缘可靠性的多层布线。
(2)第二,在覆盖布线的侧面的绝缘性阻挡层中,进行组分控制,使之含有比第1绝缘膜和第2绝缘膜和第4绝缘膜少的范围内的硅,而且含有较多的碳,由此可以提高使用反应性离子蚀刻时的布线槽加工性,容易只在侧面形成均匀的绝缘性阻挡层。结果,可以获得提高防止铜扩散性、具有较高的绝缘耐压可靠性的多层布线。
(3)第三,通过利用绝缘性阻挡层覆盖多孔绝缘膜的侧面,可以确保多孔绝缘膜和金属布线的高度粘着性。结果,可以获得相对铜布线的电迁移和应力迁移具有较高的耐性的多层布线。
(4)第四,在多孔绝缘膜的侧面形成绝缘性阻挡层时,使用等离子聚合法,能够容易形成覆盖良好的由膜厚10nm或其以下的极薄有机物构成的绝缘性阻挡层。结果,能够防止布线间的实效电容的增加,实现布线性能和布线绝缘可靠性的两立。
(5)第五,通过利用绝缘性阻挡层覆盖多孔绝缘膜的侧面,可以抑制气体原料进入多孔绝缘膜内部,所以即使0.1μm或其以下的细微布线也能够使用CVD或ALCVD法等均匀地堆积高质量的屏蔽金属膜。
(6)第六,使第1绝缘膜和第2绝缘膜形成为相同材料,可以进一步降低布线间的泄漏电流,进而获得具有较高的布线间绝缘特性的多层布线。
如上所述,根据本发明能够容易形成使用低介电常数的多孔绝缘材料的镶嵌布线结构,可以充分适用于批量生产制造。并且,容易制造具有细微结构、高性能、高可靠性的多层布线结构。
附图说明
图1A~图1D是表示现有的半导体装置的第1制造方法的一系列步骤的部分纵剖视图。
图2A~图2F是说明现有的半导体装置的课题的半导体装置的部分纵剖视图。
图3A~图3F是表示本发明的第1实施方式的半导体装置的制造方法的一系列步骤的部分纵剖视图。
图4A是表示本发明的第1实施方式的半导体装置的绝缘性阻挡层的蚀刻的部分纵剖视图。
图4B是表示与图4A所示绝缘性阻挡层的蚀刻步骤相关的、膜内含碳量和Y方向蚀刻速率的关系的图。
图4C是表示与图4A所示绝缘性阻挡层的蚀刻步骤相关的、膜内含硅量和X方向蚀刻速率的关系的图。
图4D是表示与图4A所示保护膜的蚀刻步骤相关的、膜内含碳量和蚀刻速率的关系的图。
图5A~图5I是表示本发明的第2实施方式的半导体装置的制造方法的一系列步骤的部分纵剖视图。
图6A是表示本发明的第2实施方式的半导体装置的绝缘性阻挡层的蚀刻的部分纵剖视图。
图6B是表示与图6A所示绝缘性阻挡层的蚀刻步骤相关的、膜内含碳量和Y方向蚀刻速率的关系的图。
图6C是表示与图6A所示绝缘性阻挡层的蚀刻步骤相关的、膜内含硅量和X方向蚀刻速率的关系的图。
图6D是表示与图6A所示保护膜的蚀刻步骤相关的、膜内含碳量和蚀刻速率的关系的图。
图7A是表示本发明的第3实施方式的半导体装置、即图5I所示半导体装置的变更例的部分纵剖视图。
图7B是表示本发明的第3实施方式的半导体装置、即图7A所示半导体装置的变更例的部分纵剖视图。
图7C是表示本发明的第3实施方式的半导体装置、即图7A所示半导体装置的其他变更例的部分纵剖视图。
图7D是表示本发明的第3实施方式的半导体装置、即图5I所示半导体装置的其他变更例的部分纵剖视图。
图7E是表示本发明的第3实施方式的半导体装置、即图7B所示半导体装置的其他变更例的部分纵剖视图。
图7F是表示本发明的第3实施方式的半导体装置、即图7B所示半导体装置的其他变更例的部分纵剖视图。
图8A~图8F是表示本发明的第4实施方式的半导体装置的制造方法的一系列步骤的部分纵剖视图。
图9A~图9F是表示本发明的第5实施方式的半导体装置的制造方法的一系列步骤的部分纵剖视图。
图10A是表示本发明的第6实施方式的半导体装置的泄漏电流测定用第一试样(sample)的剖面结构的示意图。
图10B是表示本发明的第6实施方式的半导体装置的泄漏电流测定用第二试样的剖面结构的示意图。
图10C是表示本发明的第6实施方式的半导体装置的泄漏电流测定用第三试样的剖面结构的示意图。
图11是表示本发明的第6实施方式的半导体装置的图10A~图10C所示第一~第三试样的泄漏电流测定结果的图。
图12A~图12D是表示第一比较例的半导体装置的制造方法的一系列步骤的部分纵剖视图。
图13是表示各布线结构的初期绝缘耐压的比较结果的图。
图14是表示布线间的TDDB(Time Dependent DielectricBreakdown,经时电介质击穿)试验结果的图。
具体实施方式
以下,参照附图详细说明本发明的实施方式。
(第1实施方式)
首先,说明本发明的第1实施方式的布线结构。图3A~图3F是表示本发明的第1实施方式的半导体装置的制造方法的一系列步骤的部分纵剖视图。
如图3A所示,在本发明的第1实施方式的布线结构中,在形成有半导体元件的基板上(省略图示)的第4绝缘膜即蚀刻阻止膜401上,形成铜布线结构,其由多孔绝缘膜402、第2绝缘膜即硬掩模膜403、绝缘性阻挡层406、被屏蔽金属膜405包围的第1铜布线404构成。
另外,形成第1绝缘膜407。在第1绝缘膜407上层叠第3绝缘膜即贯通层间绝缘膜408,在贯通层间绝缘膜408上堆积第4绝缘膜409,在第4绝缘膜409上堆积多孔绝缘膜410,在多孔绝缘膜410上形成第2绝缘膜411。
此时,第1绝缘膜407具有防止铜布线氧化的保护(cap)膜的作用,是至少一层或一层以上的碳化硅、或其化合物、或在其化合物中含有碳、氢的有机化合物、或将其层叠了的化合物等,根据情况也可以含有氧。例如,是SiC、SiCN、SiNH、SiCNH等。
第4绝缘膜401和409,是发挥蚀刻阻止膜的作用的、例如SiO2膜或SiC膜、SiCN膜、SiOCH膜。
第2绝缘膜403和411,是在蚀刻时和Cu-CMP时起到保护多孔绝缘膜的硬掩模膜的作用的、例如SiO2膜或SiC膜、SiCN膜、SiOCH膜。
在以后的说明中,根据各个膜的作用,把第1绝缘膜表述为保护膜,把第4绝缘膜表述为蚀刻阻止膜,把第2绝缘膜表述为硬掩模膜。
绝缘性阻挡层406,是由有机物构成的层、由硅和碳和有机物构成的层、由含有Si-O结合的有机物构成的层、由含有1~10atm%范围内的硅的有机物构成的层等,例如可以是利用等离子CVD法或者利用等离子聚合法以DVS-BCB为原料成膜了的有机膜,例如是BCB(苯并环丁烯,以后称为BCB)等。具体将参照图3C在后面叙述。另外,此处示出的化学式未必一定反映化学组分比。
并且,多孔绝缘膜402和410,优选至少一层或一层以上的低介电常数多孔膜,例如相对介电常数为2.0~3.0的膜。作为其典型例可列举:HSQ(氢倍半硅氧烷(Hydrogen Silsesquioxane))膜(例如Type12TM)、MSQ(甲基倍半硅氧烷(Methyl Silsesquioxane))膜(例如JSR-LKDTM、ALCAPTM、IPSTM、HOSPTM)、有机聚合物膜(SiLKTM、FlareTM)、或者SiOCH、SiOC(例如,Black DiamondTM、CORALTM、AuroraULKTM、OrionTM等)或它们当中含有有机物的绝缘薄膜、或者在这些膜的多孔率(空孔率)小于所期望的值时,通过调整成膜条件例如调整基板的温度和原料的组分而增加了多孔率的膜。
并且,贯通层间绝缘膜408优选至少一层或一层以上的低介电常数膜,例如相对介电常数为2.0~3.0的膜。但是,在不能通过多孔绝缘膜402和410获得充分低的布线间电容时,贯通层间绝缘膜408未必需要是多孔。因此,贯通层间绝缘膜408,作为其典型例可以例举:HSQ(氢倍半硅氧烷(Hydrogen Silsesquioxane))膜(例如Type12TM)、MSQ(甲基倍半硅氧烷(Methyl Silsesquioxane))膜(例如JSR-LKDTM、ALCAPTM、IPSTM、HOSPTM)、有机聚合物膜(SiLKTM、FlareTM)、或者SiOCH、SiOC(例如,Black DiamondTM、CORALTM、AuroraULKTM、OrionTM等)或它们当中含有有机物的绝缘薄膜、或者在这些膜的多孔率(空孔率)小于所期望的值时,通过调整成膜条件例如调整基板的温度和原料的组分而调整了多孔率的膜。
多孔绝缘膜402和410、及贯通层间绝缘膜408,根据需要,也可以层叠、插入利用CVD法形成的氧化硅膜和氮化硅膜、碳化硅膜、碳氮化硅膜等,可以在膜厚方向具有组分分布。
并且,第1Cu膜404,由以Cu为主成分的金属构成,根据需要例如可以含有Ti、Sn、Zn、Al等异种金属,也可以利用任意方法插入Ta、Ti、W、Si及其氮化物、或者其层叠膜(省略图示)等。
然后,如图3B所示,使用光致抗蚀剂和反应性离子蚀刻,在层间绝缘膜内形成双镶嵌布线槽412。
然后,如图3C所示,在整个面上、即硬掩模膜411的上面和布线槽412的侧面、保护膜407及蚀刻阻止膜409的上面,形成由有机物构成的绝缘性阻挡层413。
此时,绝缘性阻挡层413,优选的是:由有机物构成的层,或者由硅和碳和有机物构成的层,或者由含有Si-O结合的有机物构成的层,或者由含有1~10atm%范围内的硅的有机物构成的层等,并且含碳量多于硬掩模膜和蚀刻阻止膜和保护膜,含有小于硬掩模膜和蚀刻阻止膜和保护膜的范围内的硅原子。
此时,绝缘性阻挡层413例如可以利用等离子聚合法形成。例如,可以使用以DVS-BCB为原料、利用等离子聚合法成膜的BCB膜、BCB化合物等。BCB化合物指,混合例如通过IEDM Proceeding,2003,Kawahara et,pp143等公知的BCB和两种或两种以上的原料而形成的化合物等。
并且,堆积的绝缘性阻挡层413的厚度约为0.1~100nm,优选为不会相对细微的布线槽极端缩小槽宽的约1~20nm。
然后,如图3D所示,内蚀刻(etching back)绝缘性阻挡层413。此时,在细微布线的加工性控制中所优选的内蚀刻,不会露出多孔绝缘膜410的上面,只选择性地去除布线槽和通孔底部的绝缘性阻挡层413。
有关绝缘性阻挡层413的内蚀刻工艺,以使用CH2F2/N2/H2系的混合气体的反应性干式蚀刻为例,从蚀刻速率和蚀刻各向异性方面进行详细说明。
图4A表示绝缘性阻挡层413的蚀刻方向。把布线槽底部的速率设为Y方向、把侧面部的速率设为X方向。图4B表示Y方向蚀刻速率的绝缘性阻挡层内含碳量依赖性。例如,在硬掩模膜411和蚀刻阻止膜409的含碳量为10atm%或其以下、设绝缘性阻挡层413的含碳量为40atm%时,绝缘性阻挡层413的蚀刻速率容易获得相对硬掩模膜411和蚀刻阻止膜409大于等于10的值,所以硬掩模膜411和蚀刻阻止膜409未被去除而残留。因此,在蚀刻中,多孔绝缘膜410的上面不会露出。
另外,通过使由有机物构成的绝缘性阻挡层413内含有硅,可以抑制侧面蚀刻,能够进行更加精密的布线加工控制。图4C表示X方向的蚀刻速率的含硅量依赖性。其中,关于用于去除形成于布线槽底部的绝缘性阻挡层413的蚀刻,如果是完全不含硅的有机物,由于X方向的蚀刻速率较大,形成于侧面的绝缘性阻挡层413容易因侧面蚀刻而意外消失。通过使绝缘性阻挡层413内例如含有3atm%的硅,可以降低X方向的蚀刻速率,抑制侧面蚀刻。相反,如果含有过剩的硅,则由于Y方向的蚀刻速率也降低,在考虑蚀刻选择比和蚀刻各向异性双方来控制加工性时,需要在绝缘性阻挡层413内以比硬掩模膜411、蚀刻阻止膜409、和保护膜407少的范围含有硅原子。
然后,如图3E所示,蚀刻通孔底部的保护膜407。此时,在细微布线的加工性控制中所优选的保护膜407的蚀刻,不会去除侧面的绝缘性阻挡层413,只选择性地去除通孔底部的保护膜407。
此处,以使用CF4/Ar/O2系的混合气体的反应性干式蚀刻为例进行详细说明。图4D表示蚀刻速率的膜内含碳量依赖性。例如,把硬掩模膜411和蚀刻阻止膜409的含碳量设为5atm%、把保护膜407的含碳量设为20atm%、把绝缘性阻挡层413的含碳量设为40atm%时,保护膜407的蚀刻速率,容易获得相对绝缘性阻挡层413大于等于10的值,所以形成于布线槽侧壁面的绝缘性阻挡层413未被去除而残留。
在保护膜、蚀刻阻止膜和硬掩模膜是相同材料时,通过使蚀刻阻止膜和硬掩模膜的膜厚比保护膜更厚、或者使之为上面具有含碳量低于保护膜的材料的层叠结构,可以控制加工形状。
这样,通过利用绝缘性阻挡层413中包含的含碳量控制蚀刻速率,可以形成布线槽侧面的绝缘性阻挡层。
如上所述,为了在多孔绝缘膜的侧面形成绝缘性阻挡层而最优选的绝缘性阻挡层,是含碳量比硬掩模膜、蚀刻阻止膜和保护膜多的绝缘性阻挡层。另外,也可以是在比硬掩模膜、蚀刻阻止膜和保护膜少的范围内含有硅原子的绝缘性阻挡层。
此时,与下层的连接部可以开口,只要不给导体装置自身的功能带来不良影响,也可以在除此以外部分的任一方形成绝缘性阻挡层413。
然后,如图3F所示,在所形成的布线槽中埋入第2Cu膜,形成被屏蔽金属膜414覆盖的Cu布线415。此时,屏蔽金属膜414优选为Ti、W、Ta的金属、或它们的金属氮化物、或者金属和金属氮化物的层叠等,例如可以是Ta/TaN的层叠膜。屏蔽金属膜414的成膜方法,由于布线槽的侧面被绝缘性阻挡层413覆盖,所以可以使用CVD法、PVD法、ALCVD法等任一个。并且,由于在多孔绝缘膜410和屏蔽金属膜414之间插入绝缘性阻挡层413,所以这些膜的粘着性非常高,在CMP中不会产生膜剥离等。
此时,Cu的埋入方法有PVD法、Ionized-PVD法、CVD法、等离子CVD法、电解镀法、无电解镀法等,可以使用其中任一个方法,也可以任意组合,Cu的成膜方法不能限定本发明。
并且,Cu布线415由以Cu为主成分的金属构成,根据需要可以含有例如Ti、Sn、Zn、Al等异种金属,可以插入Ta、Ti、W、Si及其氮化物或者其层叠膜(省略图示)等。
例如,作为使Cu布线含有异种金属的方法,有利用PVD法将预先含有0.1~5.0atm%异种金属的Cu层(厚度约30~100nm)形成为种子层,然后利用电解镀法埋入铜,再通过热扩散使其含有到布线内部的方法等。
评价这样制作的Cu布线的布线间绝缘可靠性。对布线间隔100nm、相对长度1cm、带Φ100nm通孔的梳齿形评价试样,在125℃下施加2.5MV/cm的电场应力,测定了绝缘破坏的应力时间依赖性。用于比较,作为绝缘性阻挡层,制作了在侧面形成BCB膜的试样、和没有形成BCB膜的试样。通过在侧面形成BCB膜,绝缘寿命的MTF(Median Time To Failure,平均故障时间)时间约改善5倍,初期故障也大幅降低。
并且,评价了这样制作的Cu布线的通孔成品率,侧面没有形成BCB膜的试样被看作通孔成品率的劣化,侧面形成有BCB膜的试样未被看作通孔成品率的劣化。
这样,可以制造不会劣化布线性能、提高布线间的绝缘可靠性、而且成品率较高的产品。
此处,示出采用CVD法的BCB膜的示例,但蚀刻形状的效果,只要是采用CVD法的其他有机物,也能够获得相同的效果。
并且,作为屏蔽金属使用了PVD-Ta/TaN,但也可以使用Ta单层、TaN单层。
本结构可容易地从制造物确认。在利用包含Si、O、C的绝缘膜构成的多孔绝缘膜的侧面形成C为主成分的有机物,以接触该有机物的方式构成Ta类金属,可以确认到其内侧是以Cu为主成分的结构。具体讲,布线层间膜可以通过利用TEM的观察像的对比度进行多孔绝缘膜和周围膜的比较得到确认,除TEM外,还可通过EELS(ElectronEnergy-Loss Spectroscopy,电子能量损失谱)和EDX(Energy-DispersiveX-ray Spectroscopy,X射线能量散布谱)等的元素分析,确认Si、O、C。并且,该侧面的有机物的确定,可以通过C、H的元素分析确认来进行。接触该侧面膜的Ta类屏蔽金属也可以通过元素分析检测出Ta(及氮)元素。并且,由于以Cu为主成分的金属位于其内侧,所以也能够检测到Cu。并且,如果有机物是BCB膜,除C外还含有Si、O元素时,基本也能够确定。当前公开的低介电常数膜中,能够实现C为主成分、含有Si、O的有机物、而且实现与Cu布线的一体化的只有BCB膜,所以基本能够限定。
(第2实施方式)
下面,说明本发明的第2实施方式的布线结构。
如图5A所示,在本发明的第2实施方式的布线结构中,在形成有半导体元件的基板上(省略图示),分别层叠由第3绝缘膜构成的贯通层间绝缘膜1301、由第2绝缘膜构成的硬掩模膜1302、由第1绝缘膜构成的保护膜1303、多孔绝缘膜1304、由第2绝缘膜构成的硬掩模膜1302。
在以后的说明中,根据各个膜的作用,分别把第1绝缘膜表述为保护膜,把第2绝缘膜表述为硬掩模膜,把第3绝缘膜表述为贯通层间绝缘膜。
硬掩模膜1302例如是SiO2膜、SiC膜、SiCN膜、SiOCH膜。
保护膜1303,是至少一层或一层以上的碳化硅、或它们的化合物、或它们的化合物中含有碳、氢的有机化合物、或层叠了它们的化合物等。根据情况也可以含有氧。例如,是SiC、SiCN、SiCNH等。
多孔绝缘膜1304,优选至少一层或一层以上的含有气孔的低介电常数膜,例如相对介电常数为2.0~3.0的膜。作为其典型例可以列举:HSQ(氢倍半硅氧烷(Hydrogen Silsesquioxane))膜(例如Type12TM)、MSQ(甲基倍半硅氧烷(Methyl Silsesquioxane))膜(例如JSR-LKDTM、ALCAPTM、IPSTM、HOSPTM)、有机聚合物膜(SiLKTM、FlareTM)、或者SiOCH、SiOC(例如,Black DiamondTM、CORALTM、AuroraULKTM、OrionTM等)或它们当中含有有机物的绝缘薄膜、或者在这些膜的多孔率(空孔率)小于所期望的值时,通过调整成膜条件例如调整基板的温度和原料的组分而增加了多孔率的膜。根据需要,可以在上面和下面层叠插入较薄的氧化硅膜和氮化硅膜、碳化硅膜、碳氮化硅膜等,也可以在膜厚方向具有组分分布。
贯通层间绝缘膜1301,优选至少一层或一层以上的绝缘膜,例如相对介电常数为2.0~3.0的膜。但是,在不能通过多孔绝缘膜1304获得充分低的布线间电容时,贯通层间绝缘膜1301未必需要是多孔。贯通层间绝缘膜1301,作为其典型例可以列举:HSQ(氢倍半硅氧烷(Hydrogen Silsesquioxane))膜(例如Type12TM)、MSQ(甲基倍半硅氧烷(Methyl Silsesquioxane))膜(例如JSR-LKDTM、ALCAPTM、IPSTM、HOSPTM)、有机聚合物膜(SiLKTM、FlareTM)、或者SiOCH、SiOC(例如,Black DiamondTM、CORALTM、AuroraULKTM、OrionTM等)或它们当中含有有机物的绝缘薄膜、或者这些膜的多孔率(空孔率)不是所期望的值时,通过调整成膜条件例如调整基板的温度和原料的组分而调整了多孔率的膜。
然后,如图5B所示,使用光致抗蚀剂和反应性离子蚀刻,在层间绝缘膜内形成镶嵌布线槽1306。
然后,如图5C所示,在整个面上、即硬掩模膜1302的上面和布线槽1306的侧面、保护膜1303的上面,形成绝缘性阻挡层1307。
此时,绝缘性阻挡层1307,为由有机物构成的层、或者由硅和碳和有机物构成的层、或者由含有Si-O结合的有机物构成的层、或者由含有1~10atm%范围内的硅的有机物构成的层,并且含碳量多于硬掩模膜1302和保护膜1303,也可以含有比硬掩模膜1302和保护膜1303少的范围内的硅原子。
例如是BCB(苯并环丁烯)等,也可以使用BCB化合物等。例如,可以利用等离子聚合法形成。例如,以DVS-BCB为原料、利用等离子聚合法成膜的BCB膜,例如混合IEDM Proceeding,2003,Kawahara et,pp143等公知的BCB和两种或两种以上的原料形成的BCB化合物等。
并且,堆积的厚度约为0.1~100nm,优选为不会相对细微的布线槽极端缩小槽宽的约1~20nm。
然后,如图5D所示,蚀刻绝缘性阻挡层1307,并蚀刻保护膜1303。此时,在细微布线的加工性控制中所优选的蚀刻和内蚀刻,不会露出多孔绝缘膜1304的上面,只选择性地去除布线槽底部的绝缘性阻挡层1307。
有关绝缘性阻挡层1307的内蚀刻工艺,以使用CH2F2/N2/H2系的混合气体的反应性干式蚀刻为例,从蚀刻速率和蚀刻各向异性方面进行详细说明。
图6A表示绝缘性阻挡层1307的蚀刻方向。把布线槽底部的速率设为Y方向、把侧面部的速率设为X方向。图6B表示Y方向蚀刻速率的膜内含碳量依赖性。例如,在硬掩模膜1302的含碳量为10atm%或其以下、设绝缘性阻挡层1307的含碳量为40atm%时,绝缘性阻挡层1307的蚀刻速率容易获得相对硬掩模膜1302大于等于10的值,所以硬掩模膜1302未被去除而残留。因此,在蚀刻中,多孔绝缘膜1304的上面不会露出。
另外,通过使由有机物构成的绝缘性阻挡层1307内含有硅,能够进行更加精密的布线加工控制。图6C表示X方向的蚀刻速率的含硅量依赖性。其中,关于用于去除形成于布线槽底部的绝缘性阻挡层1307的蚀刻,如果是完全不含硅的有机物,由于X方向的蚀刻速率较大,形成于侧面的绝缘性阻挡层1307容易因侧面蚀刻而意外消失。通过使绝缘性阻挡层1307内含有3atm%的硅,可以降低X方向的蚀刻速率,抑制侧面蚀刻。为了考虑蚀刻选择比和蚀刻各向异性双方来进行加工控制,绝缘性阻挡层1307内需要含有比硬掩模膜1302和保护膜1303少的范围内的硅原子。
然后,蚀刻布线槽底部的保护膜1303。此时,形成于布线槽侧面的绝缘性阻挡层1307未被去除而残留。此时由于多孔绝缘膜1304的侧面被绝缘性阻挡层1307覆盖,所以不会产生蚀刻时飞散的Cu进入多孔绝缘膜1304内部的问题。
此时,在细微布线的加工性控制中优选的保护膜1303的蚀刻,不会去除形成于侧面的绝缘性阻挡层1307,只选择性地去除通孔底部的保护膜1303。
此处,以使用CF4/Ar/O2(5%或其以下)系的混合气体的反应性干式蚀刻为例进行详细说明。图6D表示蚀刻速率的膜内含碳量依赖性。例如,把硬掩模膜1302的含碳量设为5atm%、把保护膜1303的含碳量设为20atm%、把绝缘性阻挡层1307的含碳量设为40atm%时,保护膜1303的蚀刻速率容易获得相对绝缘性阻挡层1307大于等于10的值,所以硬掩模膜1302和形成于布线槽侧面的绝缘性阻挡层1307未被去除而残留。
在保护膜1303和硬掩模膜1302是相同材料时,通过使硬掩模膜1302的膜厚比保护膜1303厚、或者使之为在硬掩模膜1302的上面形成含碳量低于保护膜1303的材料的层叠结构,可以控制加工形状。
这样,通过利用绝缘性阻挡层1307中包含的含碳量控制蚀刻速率,可以在布线槽侧面形成由有机物构成的绝缘膜。
如上所述,为了在多孔绝缘膜的侧面形成绝缘性阻挡层1307,最优选的绝缘性阻挡层1307的材料,是绝缘性阻挡层1307内的含碳量多于硬掩模膜1302和保护膜1303的材料。另外,绝缘性阻挡层1307内也可以含有比硬掩模膜1302和保护膜1303少的范围内的硅原子。
然后,如图5E所示,在所形成的布线槽中埋入Cu膜,然后利用CMP法形成被屏蔽金属膜1308覆盖的Cu布线1309。此时,Cu的埋入方法可以使用PVD法、Ionized-PVD法、CVD法、等离子CVD法、电解镀法、无电解镀法等。并且,可以使用其中任何一种方法,也可以组合使用,Cu的成膜方法并不限定本发明。
并且,Cu布线1309,由以Cu为主成分的金属构成。根据需要可以含有例如Ti、Sn、Zn、Si、Al等异种金属,可以插Ta、Ti、W、Si及其氮化物或者其层叠膜(省略图示)等。
例如,作为使铜布线含有异种金属的方法,有以下方法:利用PVD法将预先含有0.1~5.0atm%异种金属的Cu层(厚度约30~100nm)形成为种子层,然后利用电解镀法埋入Cu,再通过热扩散使其含有到布线内部。
屏蔽金属1308,由Ta、Ti、W、Si及其氮化物或者其层叠膜(省略图示)等构成。布线槽侧面由绝缘性阻挡层1308保护,所以可以利用PVD法、Ionized-PVD法、CVD法、等离子CVD法、热CVD法、ALD法(Atomic Layer Deposition,原子层沉积法)等任何一种方法成膜。并且,也可以组合使用多种成膜方法。
然后,如图5F所示,在所形成的Cu布线上形成保护膜1303、贯通层间绝缘膜1301、硬掩模膜1302。
然后,如图5G所示,使用光致抗蚀剂和反应性离子蚀刻,在层间绝缘膜内形成通孔,在其内部形成由屏蔽金属膜1308、Cu膜1309构成的Cu插头。
然后,如图5H所示,在Cu连接插头上层叠形成保护膜1303、多孔绝缘膜1304、硬掩模膜1302。
重复上述的布线形成步骤,从而形成图5I所示的多层布线。这样,可以制造不会劣化布线性能、就可提高布线间的绝缘可靠性、而且成品率较高的产品。评价这样制作的Cu布线的布线间绝缘可靠性。对布线间隔100nm、相对长度1cm、带Φ100nm通孔的梳齿形评价试样,在125℃下施加3.0MV/cm的电场应力,测定了绝缘破坏的应力时间依赖性。作为比较用,也一并制作了侧面没有形成BCB膜的试样。通过在侧面形成BCB膜,绝缘寿命的MTF(Median Time ToFailure)时间约改善5倍,初期故障也大幅降低。
本结构通过和实施方式1记载的相同方法,也可容易地从制造物得到确认。
(第3实施方式)
下面,说明本发明的第3实施方式的布线结构。本实施方式涉及前述第2实施方式的图5I所示布线结构的变更例。
图7A所示布线结构,是图5I所示第2实施方式的布线结构的变更例,对图5I所示结构进行以下变更:使贯通层间绝缘膜1301为非多孔SiOCH膜(Black DiamondTM)、使保护膜1301为SiCN膜、使多孔绝缘膜1304为多孔SiOCH膜(AuroraULKTM)、使硬掩模膜1305为SiO2膜、使绝缘性阻挡层1307为BCB膜。除此以外的结构与图5I所示第2实施方式的布线结构相同,对相同的构成要素标以相同的参照标号。其结构根据图7A可知与前述第2实施方式大致相同,所以省略其详细说明。
图7B所示布线结构是图7A所示布线结构的变更例,对图7A所示结构进行以下变更:使贯通层间绝缘膜1301为氧化硅膜、使保护膜1303为SiCN膜、使多孔绝缘膜1304为多孔SiOCH膜(AuroraULKTM)、使硬掩模膜1305为SiCN膜、使绝缘性阻挡层1307为BCB膜。除此以外的结构与图7A所示结构相同,对相同的构成要素标以相同的参照标号。其结构根据图7B已经明确,所以省略其详细说明。
图7C所示布线结构是图7A所示布线结构的变更例,把图7A所示结构变更为:通过Cu-CMP时的研磨去除了硬掩模膜1305的结构。除此以外的结构与图7A所示结构相同,对相同的构成要素标以相同的参照标号。其结构根据图7C已经明确,所以省略其详细说明。
图7D所示布线结构是图5I所示布线结构的变更例,对图5I所示结构进行以下变更:使贯通层间绝缘膜1301为多孔SiOCH膜、使保护膜1303为SiCN膜、使多孔绝缘膜1304为多孔SiOCH膜(AuroraULKTM)、使硬掩模膜1305为SiO2膜、也将绝缘性阻挡层1307应用于通孔部。除此以外的结构与图5I所示布线结构相同,对相同的构成要素标以相同的参照标号。其结构根据图7D已经明确,所以省略其详细说明。
图7E所示布线结构是图7B所示布线结构的变更例,把图7B所示结构变更为去除了硬掩模膜1305的结构。除此以外的结构与图7B所示结构相同,对相同的构成要素标以相同参照标号。其结构根据图7E已经明确,所以省略其详细说明。
图7F所示布线结构是图7B所示布线结构的变更例,把图7B所示结构变更为去除了硬掩模膜1305的结构。除此以外的结构与图7B所示结构相同,对相同的构成要素标以相同的参照标号。其结构根据图7F已经明确,所以省略其详细说明。
对这样形成的半导体装置,测定布线间泄漏电流,本实施例所示的半导体装置未观察到泄漏电流。
(第4实施方式)
下面,说明本发明的第4实施方式的布线结构。本实施方式利用相同材料构成第1绝缘膜和第2绝缘膜。
如图8A所示,在形成有半导体元件的基板上(省略图示)形成有由第3绝缘膜构成的贯通层间绝缘膜2001,在其上层叠由30nm的第4绝缘膜构成的蚀刻阻止膜2002、多孔绝缘膜2003、第2绝缘膜2004、第5层间绝缘膜2005。
在以后的说明中,根据各个膜的作用,把第1绝缘膜表述为保护膜,把第4绝缘膜表述为蚀刻阻止膜。
此时,蚀刻阻止膜2002和第5层间绝缘膜2005是利用CVD法形成的SiO2膜。多孔绝缘膜(低介电常数膜)2003是利用CVD法形成的相对介电常数为2.5的多孔SiOCH(AuroraULKTM)膜。第2绝缘膜2004是利用CVD法形成的SiCN膜。在该实施例中,形成为在第2绝缘膜2004上设有第5绝缘膜2005的层叠硬掩模结构。通过蚀刻第5绝缘膜2005并用作Cu-CMP时的牺牲层,可以提高加工控制性,获得使第1绝缘膜和第2绝缘膜2004为相同材料的布线结构。
然后,如图8B所示,使用光致抗蚀剂和反应性离子蚀刻,在层间绝缘膜内形成镶嵌布线槽2006。
然后,如图8C所示,在整个面上、即SiO2膜2005的上面和布线槽2006的侧面及底面,形成由BCB构成的绝缘性阻挡层2007。
然后,如图8D所示,利用前述第1实施方式记载的方法,蚀刻BCB膜2007,使布线槽2008开口。此时,形成于镶嵌布线槽2006侧面的BCB膜2007未被去除而残留。此时,第2绝缘膜2004被第5绝缘膜2005保护着,未被去除而残留。
然后,如图8E所示,埋入被Ta/TaN屏蔽金属2009包围的Cu膜2010。此时,Cu的埋入方法是把利用PVD法形成的100nm的Cu膜作为种子层,利用MOCVD法埋入Cu膜。
然后,利用CMP法去除剩余的Cu膜2010。此时,作为第2绝缘膜的SiCN膜2004,作为去除剩余Cu膜时的保护膜起作用,防止多孔绝缘膜(AuroraULKTM)2003露出。
然后,如图8F所示,形成50nm的作为第1绝缘膜的SiCN膜2011,获得镶嵌Cu布线。此时,第2绝缘膜2004和作为第1绝缘膜的SiCN膜2011由相同材料的SiCN形成,所以能够提高布线间的绝缘可靠性。
(第5实施方式)
下面,说明本发明的第5实施方式的布线结构。本实施方式利用相同材料构成蚀刻阻止膜和贯通层间绝缘膜。
如图9A所示,在形成有半导体元件的基板上(省略图示)形成第1Cu膜2901,在Cu膜2901上形成SiCN膜2902。另外,在SiCN膜2902上层叠贯通层间绝缘膜2903,在贯通层间绝缘膜2903上堆积多孔绝缘膜2904,在多孔绝缘膜2904上形成SiO2膜2905。
在该实施例中,通过抑制贯通层间绝缘膜2903中包含的含碳量,即使不插入蚀刻阻止膜,也能够确保蚀刻的选择比。
贯通层间绝缘膜2903由相对介电常数3.0左右的非多孔材料构成,例如是SiO2、HSQ、SiOCH(Black DiamondTM),多孔绝缘膜2904例如是多孔的SiOCH膜等,或者其中包含有机物的绝缘薄膜等。
然后,如图9B所示,使用光致抗蚀剂和反应性离子蚀刻,在层间绝缘膜内形成双镶嵌布线槽2906。
然后,如图9C所示,在整个面上、即SiO2膜2905的上面和布线槽2906的侧面以及保护膜2902和贯通层间绝缘膜2903的上面,形成作为绝缘性阻挡层的BCB膜2907。
然后,如图9D所示,蚀刻BCB膜2907,然后如图9E所示蚀刻保护膜2902。此时,在细微布线的加工性控制中所优选的保护膜2902的蚀刻,不会露出多孔绝缘膜2904的上面,只选择性地去除通孔底部的保护膜2902。
为了在多孔绝缘膜的侧面形成绝缘性阻挡层而最优选的绝缘性阻挡层是,通过使绝缘性阻挡层的含碳量多于硬掩模膜2906、贯通层间绝缘膜2903和保护膜2902,可以提高蚀刻的选择比的材料。该实施方式中,通过使贯通层间绝缘膜2903中包含的含碳量小于绝缘性阻挡层2907,即使在没有蚀刻阻止膜时,也能够确保蚀刻的选择比。
然后,如图9F所示,在所形成的布线槽中埋入第2Cu膜,形成被屏蔽金属膜2908覆盖的Cu布线2909。此时,屏蔽金属膜2908使用PVD-Ta/TaN的层叠膜。
Cu的埋入方法是利用PVD法形成含有0.1~1.0wt%的铝的Cu种子层,利用电解镀法在整个面上埋入Cu,通过Cu-CMP去除剩余的Cu和屏蔽金属,从而形成布线。
这样,可以制造不会劣化布线性能、提高布线间的绝缘可靠性、而且成品率较高的产品。
(第6实施方式)
下面,说明本发明的第6实施方式的布线结构。本实施方式用于确认使用苯并环丁烯BCB的多孔膜的保护效果。
针对使前述第1~第5实施方式中记载的、插入金属布线和层间绝缘膜之间的绝缘阻挡层,为利用等离子聚合法成膜的苯并环丁烯(BCB)的情况,说明层叠膜的实验结果。
图10A表示在硅基板上只成膜利用CVD法形成的多孔SiOCH膜的结构。图10B表示在多孔SiOCH膜上利用MOCVD法将Cu成膜的结构。图10C表示在MOCVD-Cu和多孔SiOCH膜之间插入通过等离子聚合形成的膜厚7nm的BCB膜的结构。
MOCVD-Cu的原料使用Cupraselect brendTM,载体气体使用氢,在基板温度200℃、压力100Pa下成膜了300nm的Cu膜。为了对所有试样进行比多孔SiOCH膜更严格的评价,在形成试样后,在氮气氛围中进行了350℃温度的30分钟热处理。
图11表示图10A~图10C所示前述试样的泄漏电流的测定结果。在图10A所示结构中获得了充分低的膜泄漏电平,但在多孔SiOCH膜上直接成膜了MOCVD-Cu的图10B所示结构中,泄漏电平明显上升,多孔SiOCH膜的绝缘特性劣化。在多孔SiOCH膜和MOCVD-Cu之间插入了绝缘性阻挡层即BCB膜的图10C所示结构中,可以获得泄漏电平没有上升的、与图10A所示结构一致的充分低的膜泄漏电流。
并且,利用透过型电子显微镜(TEM)进行了试样截面观察,确认到在图10B所示结构中,多孔SiOCH膜和MOCVD-Cu膜的界面不清楚,但图10C所示结构中,确认到7nm膜厚的BCB膜,多孔SiOCH膜层和MOCVD-Cu层很清楚地分离。
据此可以明确得知,能够防止BCB膜进入MOCVD-Cu原料的多孔SiOCH膜内部、以及铜金属向多孔SiOCH膜的扩散,作为保护多孔膜表面的绝缘阻挡层必要且充分。
因此,在前述实施方式中,示出了PVD-Ta/TaN屏蔽金属膜等的示例,但即使把该屏蔽金属变更为使用CVD法的WN膜、使用MOCVD法的TaN膜,也不存在屏蔽金属向多孔SiOCH膜的扩散,这与使用MO-CVD法的Cu膜没有气体扩散同样明确,在进一步推进细微化时,屏蔽金属的被覆性良好,便于埋设,因此是优选方式。
并且,从制造物中也确认到该部分。WN膜除了该部分的TEM外,也可以通过EDX等的元素分析确定W、N,如果是MOCVD的TaN,通过元素分析,除Ta、N外,也能够检测到C。
(比较例1)
以下,参照附图说明与前述本发明的实施方式相对的比较例1。
如图12A所示,在形成有半导体元件的基板上(省略图示)的SiC膜1101上,形成由多孔SiOCH膜1102、屏蔽金属膜1104、第1Cu布线1103构成的底层Cu布线结构。另外,层叠形成由SiCN构成的保护膜1105、多孔SiOCH膜1106、蚀刻阻止膜1107、多孔SiOCH膜1108。
然后,如图12B所示,采用光刻工艺形成细微图形,采用反应性离子蚀刻和老化工艺形成镶嵌布线槽1109。
然后,如图12C所示,使用CVD法在整个面上形成由TaN构成的屏蔽金属膜1010。此时,TaN层使用气体原料成膜,所以容易进入多孔膜内部,在多孔膜内部形成屏蔽金属层。在其上利用PVD法形成Cu种子层,然后利用电解镀法形成Cu膜1111。
然后,如图12D所示,通过CMP去除剩余的屏蔽金属和Cu,由此形成多层布线结构。测试这样形成的多层布线的性能,确认到起因于屏蔽金属进入多孔膜内部的泄漏电流。
(比较例2)
以下,参照附图说明与前述本发明的实施方式相对的比较例2。
此处,作为本发明的比较例,表示与由第1绝缘膜构成的保护膜和由第2绝缘膜构成的硬掩模膜不是相同材料的多层布线结构的比较。布线的形成步骤依照图8A~图8F所示的第4实施方式。
在把第2绝缘膜作为SiCN膜时,使第1绝缘膜为SiC膜或SiN膜或SiCN膜,进行了布线间的绝缘特性比较。
图13表示这些各个布线结构的初期绝缘耐压的比较结果。在使用SiCN膜时较高为4MV/cm,但在使用SiC膜和SiN膜时较低为2MV/cm。
图14表示布线间的TDDB(time dependent dielectric breakdown)试验结果。在150℃下,对S=140nm的布线间施加500小时的1.5MV/cm应力时,在使用SiC膜和SiN膜时发生了故障,但在使用SiCN膜时没有发生故障。因此,可以确认由第1绝缘膜构成的保护膜和由第2绝缘膜构成的硬掩模膜具有相同的优越性。
如上所述,根据本发明,通过导入这种绝缘性阻挡层,在层间绝缘膜的一部分使用多孔绝缘膜的情况下,可以提高绝缘可靠性。因此,通过向多层布线间导入多孔绝缘膜,可以形成保持较低的布线电容、保持较高的布线间的绝缘体耐性的高可靠性的多层布线,能够提供作为大规模集成电路的半导体装置及其制造方法。
在本发明中,包含有机物的绝缘性阻挡层指显示出绝缘性、而且利用以有机物为主的物质构成的阻挡层,例如与以金属等显示导电性的物质为基础的阻挡层大不相同,这在前述说明中已经明确。
产业上的利用可能性
只要是由把介电常数低于氧化硅膜的膜用于层绝缘层的槽布线结构构成的多层布线的布线结构及其制造方法,本发明都可以适用,在其应用的可行性方面没有任何限定。
虽然利用几个优选的实施方式和实施例相关联地说明了本发明,但这些实施方式和实施例仅仅是列举实际示例来说明发明,不能理解为限定的意思。在阅读本说明书后,本行业人员可容易利用等效的构成要素和技术进行许多变更和替换,但这种变更和替换属于权利要求的范围和精神。

Claims (23)

1.一种多层布线的布线结构,该多层布线层叠了多层单位布线结构,该单位布线结构具有向在形成有半导体元件的基板上的绝缘膜上形成的布线槽和通孔中填充金属而形成的至少一个金属布线和至少一个金属连接插头,其中,
在至少一个所述单位布线结构中,在所述金属布线及所述金属连接插头的至少一方、和层间绝缘膜之间,插入含有有机物的绝缘性阻挡层,所述金属布线及所述金属连接插头的至少一方的侧面的至少一部分,被所述绝缘性阻挡层覆盖。
2.根据权利要求1所述的布线结构,其中,所述绝缘性阻挡层还含有硅原子。
3.根据权利要求1或2所述的布线结构,其中,所述金属是铜,所述金属布线是铜布线,所述金属连接插头是铜连接插头。
4.根据权利要求3所述的布线结构,其中,在所述铜布线和所述铜连接插头的至少一方上,形成依次层叠了第1绝缘膜、多孔绝缘膜和第2绝缘膜的层间绝缘膜,将所述第1绝缘膜、所述多孔绝缘膜和所述第2绝缘膜贯通而形成的布线槽以及通孔的至少一方的侧面的至少一部分,被含有所述有机物的所述绝缘性阻挡层覆盖,而且所述有机物的含碳量多于所述第1绝缘膜和所述第2绝缘膜。
5.根据权利要求3所述的布线结构,其中,在所述铜布线上形成依次层叠了第1绝缘膜、第3绝缘膜、第4绝缘膜、多孔绝缘膜和第2绝缘膜的层间绝缘膜,至少将所述第2绝缘膜和所述多孔绝缘膜贯通而形成的布线槽的侧面、以及将所述第4绝缘膜、贯通层间绝缘膜和所述第1绝缘膜贯通而形成的通孔的侧面,被含有所述有机物的所述绝缘性阻挡层覆盖,而且所述有机物的含碳量多于所述第1绝缘膜、所述第2绝缘膜和所述第4绝缘膜。
6.根据权利要求4或5所述的布线结构,其中,所述多孔绝缘膜是相对介电常数为3.0或3.0以下的多孔膜。
7.根据权利要求4~6中任一项所述的布线结构,其中,所述绝缘性阻挡层还含有硅原子。
8.根据权利要求5所述的多层布线结构,其中,所述含有有机物的绝缘性阻挡层,在比所述第1绝缘膜、所述第2绝缘膜和所述第4绝缘膜少的范围内含有硅原子。
9.根据权利要求5或8所述的布线结构,其中,所述第3绝缘膜和所述第4绝缘膜由相同材料构成。
10.根据权利要求1~9中任一项所述的布线结构,其中,所述含有有机物的绝缘性阻挡层,是含有Si-O结合的有机物。
11.根据权利要求1~10中任一项所述的布线结构,其中,所述含有有机物的绝缘性阻挡层,是含有1atm%~10atm%范围内的硅的有机物。
12.根据权利要求1~11中任一项所述的布线结构,其中,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜。
13.根据权利要求4所述的布线结构,其中,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜,所述第1绝缘膜是SiCN膜,所述第2绝缘膜是SiO2膜,所述多孔绝缘膜是多孔SiOCH膜。
14.根据权利要求5所述的布线结构,其中,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜,所述第1绝缘膜是SiCN膜,所述第2绝缘膜是SiO2膜,所述多孔绝缘膜是多孔SiOCH膜,所述第3绝缘膜是多孔SiOCH膜,所述第4绝缘膜是SiO2膜。
15.根据权利要求5所述的布线结构,其中,所述含有有机物的绝缘性阻挡层是二乙烯基硅氧烷苯并环丁烯膜,所述第1绝缘膜是SiCN膜,所述第2绝缘膜是SiO2膜,所述多孔绝缘膜是多孔SiOCH膜,所述第3绝缘膜是非多孔SiOCH膜,所述第4绝缘膜是SiO2膜。
16.根据权利要求1~15中任一项所述的布线结构,其中,所述含有有机物的绝缘性阻挡层由碳、硅、有机物构成。
17.根据权利要求4或5所述的布线结构,其中,所述第1绝缘膜和所述第2绝缘膜均是相同材料。
18.根据权利要求4或5所述的布线结构,所述第1绝缘膜和第2绝缘膜均是相同材料,而且由SiCN、SiC、SiCNH、SiCH、SiOCH中任一个构成。
19.一种在半导体基板上的绝缘膜上形成的多层布线的布线结构,其由以下部分构成:金属布线,将多孔绝缘膜、和设在所述多孔绝缘膜上的第2绝缘膜贯通形成,以铜为主成分;和形成于所述第2绝缘膜上的第1绝缘膜,其中,
所述第1绝缘膜和所述第2绝缘膜由相同材料形成。
20.根据权利要求19所述的布线结构,其中,构成所述第1绝缘膜和所述第2绝缘膜的所述相同材料,由以碳化硅为主成分的材料、以氮化硅为主成分的材料、和以碳氮化硅为主成分的材料中的任一种构成。
21.一种多层布线的制造方法,该多层布线层叠了多层单位布线结构,该单位布线结构具有向在形成有半导体元件的基板上的绝缘膜上形成的布线槽和通孔中填充以铜为主成分的金属布线而形成的布线和连接插头,该制造方法包括以下步骤:
形成与铜布线或铜连接插头直接接触的第1绝缘膜、和设在所述第1绝缘膜上的多孔绝缘膜的步骤;
形成设在所述多孔绝缘膜上的第2绝缘膜的步骤;
在所述第2绝缘膜和多孔绝缘膜上形成布线槽或通孔的步骤;
在由所述布线槽或通孔划分的布线结构的上面、侧面、底面上,形成含有有机物的绝缘性阻挡层的步骤;
内蚀刻所述含有有机物的绝缘性阻挡层,去除所述布线结构的上面和底面部的绝缘性阻挡层的步骤;
去除所述第1绝缘膜的步骤;和
在所述布线结构槽或通孔中埋设金属膜的步骤。
22.一种多层布线的制造方法,该多层布线层叠了多层单位布线结构,该单位布线结构具有向在形成有半导体元件的基板上的绝缘膜上形成的布线槽和通孔中填充以铜为主成分的金属布线而形成的布线和连接插头,该制造方法包括以下步骤:
在铜布线上依次层叠形成第1绝缘膜、第3绝缘膜、第4绝缘膜、多孔绝缘膜和第2绝缘膜的步骤;
在所述多孔绝缘膜和第2绝缘膜上形成布线槽的步骤;
在第3绝缘膜和第4绝缘膜上形成通孔的步骤;
在由所述布线槽和通孔划分的布线结构的上面、侧面、底面上,形成含有有机物的绝缘性阻挡层的步骤;
内蚀刻所述含有有机物的绝缘性阻挡层,去除所述布线结构的上面和底面的绝缘性阻挡层的步骤;
去除所述布线结构中通孔底面的第1绝缘膜的步骤;和
在所述布线槽和通孔中埋设金属膜的步骤。
23.根据权利要求21或22所述的多层布线的制造方法,其中,所述含有有机物的绝缘性阻挡层,利用等离子聚合法形成。
CN2004800212527A 2003-05-29 2004-05-28 布线结构 Expired - Fee Related CN100407400C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP152743/2003 2003-05-29
JP2003152743 2003-05-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2008100019178A Division CN101217136B (zh) 2003-05-29 2004-05-28 布线结构及其制造方法

Publications (2)

Publication Number Publication Date
CN1826687A true CN1826687A (zh) 2006-08-30
CN100407400C CN100407400C (zh) 2008-07-30

Family

ID=33487268

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2004800212527A Expired - Fee Related CN100407400C (zh) 2003-05-29 2004-05-28 布线结构
CN2008100019178A Expired - Fee Related CN101217136B (zh) 2003-05-29 2004-05-28 布线结构及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008100019178A Expired - Fee Related CN101217136B (zh) 2003-05-29 2004-05-28 布线结构及其制造方法

Country Status (4)

Country Link
US (2) US7701060B2 (zh)
JP (1) JP4819501B2 (zh)
CN (2) CN100407400C (zh)
WO (1) WO2004107434A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101552259B (zh) * 2008-04-03 2012-05-09 瑞萨电子株式会社 半导体装置
CN109390274A (zh) * 2017-08-03 2019-02-26 东京毅力科创株式会社 对被处理体进行处理的方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4917249B2 (ja) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP4965830B2 (ja) * 2005-08-12 2012-07-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7470612B2 (en) * 2005-09-13 2008-12-30 Samsung Electronics Co, Ltd. Method of forming metal wiring layer of semiconductor device
US20090014887A1 (en) * 2006-01-06 2009-01-15 Nec Corporation Method of producing multilayer interconnection and multilayer interconnection structure
WO2007099428A1 (en) * 2006-02-28 2007-09-07 Stmicroelectronics (Crolles 2) Sas Metal interconnects in a dielectric material
JP2007234719A (ja) * 2006-02-28 2007-09-13 Sanyo Electric Co Ltd 半導体装置およびその製造方法
JP4741965B2 (ja) 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
JP2008010630A (ja) * 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法
US8946873B2 (en) 2007-08-28 2015-02-03 Micron Technology, Inc. Redistribution structures for microfeature workpieces
US8202798B2 (en) * 2007-09-20 2012-06-19 Freescale Semiconductor, Inc. Improvements for reducing electromigration effect in an integrated circuit
DE102007046846A1 (de) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US8138036B2 (en) * 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
JP5331443B2 (ja) 2008-10-29 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP5502339B2 (ja) * 2009-02-17 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP5418887B2 (ja) * 2009-05-27 2014-02-19 住友電気工業株式会社 半導体レーザ素子の製造方法及び半導体レーザ素子
US20100314765A1 (en) * 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
US8610283B2 (en) * 2009-10-05 2013-12-17 International Business Machines Corporation Semiconductor device having a copper plug
US8536064B2 (en) 2010-02-08 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8377823B2 (en) 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
JP5613033B2 (ja) 2010-05-19 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI413468B (zh) * 2010-12-29 2013-10-21 Unimicron Technology Corp 製造內嵌式細線路之方法
JP5689392B2 (ja) 2011-09-02 2015-03-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8779600B2 (en) 2012-01-05 2014-07-15 International Business Machines Corporation Interlevel dielectric stack for interconnect structures
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9502365B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Opening in a multilayer polymeric dielectric layer without delamination
FR3042067A1 (fr) 2015-10-01 2017-04-07 Stmicroelectronics Rousset Protection contre le claquage premature de dielectriques poreux interlignes au sein d'un circuit integre
KR102160278B1 (ko) * 2016-09-23 2020-09-25 한국항공대학교산학협력단 박막 트랜지스터 및 그 제조 방법
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
KR20180068595A (ko) * 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
KR102371892B1 (ko) * 2017-05-25 2022-03-08 삼성전자주식회사 확대된 콘택홀과 랜딩 패드를 갖는 반도체 소자 형성 방법 및 관련된 소자
US10741441B2 (en) * 2018-09-28 2020-08-11 International Business Machines Corporation Collar formation for chamfer-less and chamfered vias
US10658233B2 (en) 2018-10-17 2020-05-19 International Business Machines Corporation Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom
JP6622940B1 (ja) * 2019-07-02 2019-12-18 株式会社日立パワーソリューションズ 両面実装基板、両面実装基板の製造方法、および半導体レーザ
US11929329B2 (en) * 2020-05-28 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene process using cap layer
US11728158B2 (en) * 2020-09-04 2023-08-15 Sj Semiconductor (Jiangyin) Corporation Semiconductor structure and method for preparing the same

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3323055B2 (ja) 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
JP2000174019A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 半導体装置及びその製造方法
JP2000183166A (ja) * 1998-12-18 2000-06-30 Nec Corp 半導体装置の製造方法
JP2000294634A (ja) 1999-04-07 2000-10-20 Nec Corp 半導体装置及びその製造方法
JP3562628B2 (ja) 1999-06-24 2004-09-08 日本電気株式会社 拡散バリア膜、多層配線構造、およびそれらの製造方法
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
JP3578722B2 (ja) 2000-03-17 2004-10-20 松下電器産業株式会社 層間絶縁膜、その形成方法及び配線の形成方法
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2002011869A (ja) 2000-06-28 2002-01-15 Seiko Epson Corp インクジェット式プリンタ及びインクジェット式プリント方法
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
JP2002064140A (ja) 2000-08-22 2002-02-28 Nec Corp 半導体装置およびその製造方法
JP2002083870A (ja) * 2000-09-11 2002-03-22 Tokyo Electron Ltd 半導体装置及びその製造方法
FR2819635B1 (fr) * 2001-01-18 2004-01-23 St Microelectronics Sa Procede de fabrication de reseaux d'interconnexions
JP2002252222A (ja) * 2001-02-22 2002-09-06 Nec Corp 半導体装置の製造方法、及び半導体装置
KR100366639B1 (ko) * 2001-03-23 2003-01-06 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
KR100531419B1 (ko) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
JP4997670B2 (ja) * 2001-06-29 2012-08-08 日本電気株式会社 共重合高分子膜の作製方法、前記形成方法で作製される共重合高分子膜、共重合高分子膜を利用する半導体装置
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
JP2003068850A (ja) 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
US6693356B2 (en) 2002-03-27 2004-02-17 Texas Instruments Incorporated Copper transition layer for improving copper interconnection reliability
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
JP3906985B2 (ja) 2002-05-24 2007-04-18 三井化学株式会社 金属拡散バリア膜、金属拡散バリア膜の形成方法および半導体装置
JP3967196B2 (ja) 2002-05-30 2007-08-29 富士通株式会社 半導体装置及びその製造方法
US6787452B2 (en) * 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP4081751B2 (ja) 2002-12-11 2008-04-30 ソニー株式会社 配線構造の製造方法
JP2004200203A (ja) * 2002-12-16 2004-07-15 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101552259B (zh) * 2008-04-03 2012-05-09 瑞萨电子株式会社 半导体装置
CN109390274A (zh) * 2017-08-03 2019-02-26 东京毅力科创株式会社 对被处理体进行处理的方法
CN109390274B (zh) * 2017-08-03 2023-09-05 东京毅力科创株式会社 对被处理体进行处理的方法

Also Published As

Publication number Publication date
CN100407400C (zh) 2008-07-30
US8592303B2 (en) 2013-11-26
US20070013069A1 (en) 2007-01-18
US20100151675A1 (en) 2010-06-17
CN101217136A (zh) 2008-07-09
JPWO2004107434A1 (ja) 2006-07-20
CN101217136B (zh) 2011-03-02
JP4819501B2 (ja) 2011-11-24
US7701060B2 (en) 2010-04-20
WO2004107434A1 (ja) 2004-12-09

Similar Documents

Publication Publication Date Title
CN1826687A (zh) 布线结构及其制造方法
CN1536660A (zh) 半导体器件及其制造方法
CN1452244A (zh) 半导体器件
CN1266760C (zh) 半导体器件及其制造方法
CN1290186C (zh) 半导体器件及其制造方法
CN1518075A (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
US8278763B2 (en) Semiconductor device
JP4489618B2 (ja) 半導体装置の製造方法
CN1893070A (zh) 有利于提高抗水性和抗氧化性的半导体器件
CN1835226A (zh) 半导体器件及其制造方法
CN1458689A (zh) 半导体器件
CN1665017A (zh) 半导体器件及其制造方法
CN1417852A (zh) 半导体器件的制造方法和半导体器件
CN1649125A (zh) 半导体器件的制造方法
CN1701418A (zh) 半导体器件的制造方法、半导体晶片及半导体器件
JP5349789B2 (ja) 多層配線の形成方法
JP2010171081A (ja) 半導体装置及びその製造方法
JP2011101020A (ja) 集積回路および集積回路の製造方法
US20200043777A1 (en) Semiconductor Device Structure with Interconnect Structure and Method for Forming the Same
CN1650427A (zh) 具连接层之集成电路装置及其制造方法
CN1519926A (zh) 半导体器件及其制造方法
CN1893034A (zh) 半导体器件
CN1280890C (zh) 半导体器件及其制造方法
CN1682359A (zh) 半导体器件及其制造方法
CN1662620A (zh) 在芯片制造过程中密封多孔材料的方法及该方法所使用的化合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Tokyo, Japan

Co-patentee after: Renesas Electronics Corporation

Patentee after: NEC Corp.

Address before: Tokyo, Japan

Co-patentee before: NEC Corp.

Patentee before: NEC Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080730

Termination date: 20180528

CF01 Termination of patent right due to non-payment of annual fee