CN1519926A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN1519926A
CN1519926A CNA2004100025575A CN200410002557A CN1519926A CN 1519926 A CN1519926 A CN 1519926A CN A2004100025575 A CNA2004100025575 A CN A2004100025575A CN 200410002557 A CN200410002557 A CN 200410002557A CN 1519926 A CN1519926 A CN 1519926A
Authority
CN
China
Prior art keywords
film
infrared absorption
semiconductor device
diffusion barrier
key
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100025575A
Other languages
English (en)
Other versions
CN1319165C (zh
Inventor
ʸ������
宇佐美达矢
森田升
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1519926A publication Critical patent/CN1519926A/zh
Application granted granted Critical
Publication of CN1319165C publication Critical patent/CN1319165C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明的一个目的是改善扩散阻挡膜的层间粘附性,同时保持扩散阻挡膜的较低的介电常数。由含有硅、碳、氢和氮作为构成元素并且还含有Si-H键、Si-C键和亚甲基键(-CH2-)的绝缘材料构成的铜互连的扩散阻挡膜。绝缘材料包括在红外吸收谱中出现的不低于0.067的I2/I1和不高于0.0067的I3/I1;这里I1定义为具有接近810cm-1的峰值的红外吸收带的吸收区,I2定义为具有接近2120cm-1的峰值的红外吸收带的吸收区,I3定义为具有接近1250cm-1的峰值的红外吸收带的吸收区。

Description

半导体器件及其制造方法
本申请基于日本专利申请NO.2003-024350和日本专利申请NO.2003-175627,其内容在这里作为参考引入。
技术领域
本发明涉及改善采用低介电常数绝缘材料的层间绝缘膜的粘附性的技术。
背景技术
最近几年,为了满足不断增长的对获得更高半导体器件集成度的需求的目的,铜开始广泛的用作互连或栓塞材料。铜是比通常采用的铝具有更低的电阻率和更好的耐电迁移的材料。
同时,已知在由硅的化合物等构成的绝缘膜中铜以较高的速度扩散。因此,当采用铜作为互连材料时,通常铜互连的侧表面和下表面用阻挡金属覆盖,上表面用扩散阻挡膜覆盖。按照惯例,SiN等广泛的用作扩散阻挡膜,最近,为了降低互连之间的串扰,经常采用具有更低的介电常数的SiCN(JP-A-2002-319,619权利要求5和段落0029)。
但是,当用SiCN形成扩散阻挡膜时,过孔的产量往往会下降,或者在扩散阻挡膜与在下面的绝缘膜之间的粘附性往往会降低。这些特性将参考显示出利用SiCN作为扩散阻挡膜的单镶嵌工艺的例子的附图1A到1D在下面进行介绍。
首先,第一绝缘膜301和SiC2膜302依次淀积在包括其上形成例如晶体管的硅衬底上。部分蚀刻这些淀积的膜,形成互连沟槽,随后淀积阻挡金属303和铜膜。随后,进行化学机械抛光(CMP)工艺,形成铜互连304,其侧表面和下表面被阻挡金属303覆盖。随后,在衬底的整个表面上形成作为铜的扩散阻挡膜的SiCN膜306,然后在其上淀积第二绝缘膜308。此外,在其上形成具有预定孔径的光致抗蚀剂310(图1A)。
随后,通过光致抗蚀剂310的掩模部分蚀刻第二绝缘膜308,形成延伸到SiCN膜306的表面的过孔312(图1B)。
然后,通过氧等离子体灰化工艺剥去光致抗蚀剂310,然后进行深蚀刻(etch back)处理,去掉在铜互连304上的SiCN膜306(图1C)。
其后,在整个表面形成阻挡金属和铜膜,然后通过CMP工艺去掉在过孔外的铜膜和阻挡金属部分,形成连接到铜互连304的过孔塞320(图1D)。
但是,当采用这种工艺时,难以有效地提高产量。更具体的,当通过灰化工艺从图1B所示的多层结构上剥掉光致抗蚀剂310时,在SiCN膜306中所含的碳会与等离子体中含有的氧发生反应,从而破坏SiCN膜306。虽然在图1B和1C中仅示出了一个过孔,但是在实际的工艺中在晶片的整个表面上同时形成多个过孔。因此,在灰化工艺之后的阶段,在多个过孔中的每一个的底部的SiCN膜306的厚度和条件不同,导致当在图1C所示的蚀刻掉过孔底部的SiCN膜306时,某些过孔中形成过蚀刻。在过蚀刻的过孔中,铜互连304的表面暴露在等离子体中,由此铜膜的质量下降,从而与过孔塞320的接触电阻增加。
而且,不能在SiCN膜306和SiC2膜302之间获得足够的粘附性,因此在极端情况下会使这些膜之间出现剥离。
在接触特性和粘附性中的这些缺陷会导致器件的产量的下降和可靠性的降低。
同时,在现有技术中公开了评估控制SiCN膜的质量的技术的例子。在JP-A-2002-83,870的权利要求1、段落0011、0012和0026以及图1中,公开了关于采用用作蚀刻停止的SiCN的技术,通过控制在SiCN膜中含有的具有碳-氢键功能基的数量得到对于下面的膜具有更好的蚀刻选择性和较低的介电常数的SiCN蚀刻停止膜。即,JP-A-2002-83,870涉及解决在用SiCN膜代替对应于图1A到1D的SiO2膜302的膜的情况下出现的技术问题(JP-A-2002-83,870的权利要求1、段落0011、0012和0026、图1等)。因此JP-A-2002-83,870的公开提供了设计SiCN作为蚀刻停止膜的指导,但是没有提供在采用SiCN作为扩散阻挡膜的情况下设计过孔或互连结构的有用信息。覆盖金属膜的上表面的扩散阻挡膜起防止金属扩散的作用并且还起在蚀刻过孔期间保护铜表面的作用。因此,需要不同于涉及蚀刻停止膜的JP-A-2002-83,870的其它观点,用来设计SiCN膜作为扩散阻挡膜。
发明内容
鉴于以上情况,本发明提供了一种以上提到问题的解决方案,本发明的一个目的是使用包括含有硅、碳、氢和氮的膜的互连结构作为设置在互连或栓塞的金属膜上的扩散阻挡膜时提高器件可靠性以及制造成品率。更具体地,本发明的一个目的是提高扩散阻挡膜的层间粘附性,同时保持扩散阻挡膜的低介电常数。
根据本发明,提供一种半导体器件,包括:半导体衬底;形成在半导体衬底上的金属膜;以及覆盖金属膜上表面的扩散阻挡膜,其中扩散阻挡膜包括含硅、碳、氢和氮作为构成元素的绝缘材料,并且其中绝缘材料含有Si-H键、Si-C键以及亚甲基键(-CH2-)。
包含在本发明的扩散阻挡膜中的绝缘材料具有含以上列出各化学键的分子结构。由于根据本发明的扩散阻挡含有亚甲基键(-CH2-),因此可以提高对抗蚀剂剥离工艺中进行的灰化工艺的耐受性,由此有效地抑制了在现有技术的描述中介绍的金属膜损伤问题。由于根据本发明的扩散阻挡膜含有亚甲基键(-CH2-)和Si-H键,因此可以提高与其下设置或其上设置的膜的粘附性。由于根据本发明的扩散阻挡膜含有Si-C键,因此可以有效地降低它的介电常数。
这里,当绝缘膜设计得呈现包括不低于0.067的I2/I1的红外吸收光谱时,其中I1定义为具有810cm-1附近峰值的红外吸收带的吸收区;以及I2定义为具有2,120cm-1附近峰值的红外吸收带的吸收区,可以进一步可靠地降低扩散阻挡膜的介电常数。
此外,当绝缘膜设计得呈现包括不高于0.0067的I3/I1的红外吸收光谱时,其中I1定义为具有810cm-1附近峰值的红外吸收带的吸收区;以及I2定义为具有1,250cm-1附近峰值的红外吸收带的吸收区,可以进一步提高扩散阻挡膜与设置其下的膜或设置其上的膜的粘附性,可以显著提高防止金属由金属膜扩散到层间绝缘膜的能力。
以后在例子中将更充分地介绍以上提到的I1、I2和I3与扩散阻挡膜的性质之间的关系。这里,在以上介绍中出现的术语“吸收区”意味着在红外吸收光谱中吸收曲线和基线划定的区域。一般来说,用于各红外吸收带的基线可以画在以下范围内。
I1:600-1,220cm-1
I2:1,900-2,500cm-1;以及
I3:1,220-1,300cm-1
根据本发明,提供一种半导体器件的制造方法,包括:在半导体衬底上形成第一绝缘膜;选择性除去第一绝缘膜以形成互连沟槽;用金属填充互连沟槽以形成金属膜;以及淀积含有硅、碳、氢和氮作为构成元素的绝缘材料的扩散阻挡膜,以覆盖金属膜的上表面,其中利用源气通过等离子体CVD淀积扩散阻挡膜,源气包含:(a)三甲基硅烷或四甲基硅烷;以及(b)含氮化合物,并且其中淀积扩散阻挡膜期间的压力不高于4乇。
具有该结构的制造方法可以稳定地形成具有低介电常数的扩散阻挡膜,并提高了层间粘附性,由此提供了器件的可靠性并提高了制造成品率。
根据本发明,还提供一种半导体器件的制造方法,包括:在半导体衬底上形成第一绝缘膜;选择性除去第一绝缘膜以形成互连沟槽;用金属填充互连沟槽以形成金属膜;以及淀积含有硅、碳、氢和氮作为构成元素的绝缘材料的扩散阻挡膜,以覆盖金属膜的上表面,其中利用源气通过等离子体CVD淀积扩散阻挡膜,源气包含:(a)含乙烯基硅烷;以及(b)含氮化合物。
含乙烯基硅烷可以含有以下任意一种:三甲基乙烯硅烷、二甲基二乙烯硅烷、一甲基三乙烯硅烷或四乙烯硅烷。
具有该结构的制造方法可以进一步稳定地形成具有具有低介电常数和提高层间粘附性的扩散阻挡膜。
如上所述,本发明具有SiCN膜作为设置在具有特定结构的金属膜上的扩散阻挡膜的结构,由此提供了能够提高制造成品率和可靠性的半导体器件。
本发明的概述部分不需要介绍所有必需的特征,由此本发明也可以是这些介绍特征的辅助组合。
附图说明
图1A到1D为常规的多层互连结构的剖面图,示出了形成常规的铜互连的工艺步骤。
图2为根据本发明优选实施例的多层互连结构的剖面图。
图3A到3D为半导体器件的剖面图,示出了根据本发明的优选实施例的铜互连的工艺步骤。
图4E到4G为半导体器件的剖面图,示出了根据本发明的优选实施例的铜互连的工艺步骤。
图5H到5I为半导体器件的剖面图,示出了根据本发明的优选实施例的铜互连的工艺步骤。
图6J到6K为半导体器件的剖面图,示出了根据本发明的优选实施例的铜互连的工艺步骤。
图7为具有梯形硅氧烷氢化物结构的L-OxTM的化学结构。
图8示出了L-OxTM的物理性质的表格。
图9为L-OxTM的FT-IR光谱的图表。
图10为示出了烘焙条件与L-OxTM膜的膜性质的相互关系曲线图。
图11为HSQ的分子构造图。
图12为SiCN膜的FT-IR光谱的图表。
图13为SiCN膜的FT-IR光谱的图表。
图14示出了淀积压力与SiCN膜的红外吸收特性的相互关系曲线图。
图15示出了淀积压力与SiCN膜的层间粘附性的相互关系曲线图。
图16示出了淀积压力与SiCN膜的介电常数的相互关系曲线图。
图17示出了3MS的流速与SiCN膜的红外吸收特性的相互关系曲线图。
图18示出了SiCN膜的红外吸收特性与它的膜性质之间的关系曲线图。
图19示出了评估了SiCN膜的阻挡性质的多层结构的剖面图。
图20A和20B示出了SiCN膜的阻挡性质的评估结果的曲线图。
图21A和21B示出了SiCN膜的阻挡性质的评估结果的曲线图。
图22为SiCN膜的FT-IR光谱的图表。
图23为根据本发明的优选实施例的多层互连结构的剖面图。
图24为SiCN膜的FT-IR光谱的图表。
图25为在例子中制备的SiCN膜的耐电迁移性的评估结果的曲线图。
具体实施方式
现在在优选实施例的基础上介绍本发明。这不意味着限制了本发明的范围,而是本发明的示例。
当金属膜含有铜作为它的主要成分时,本发明更有效。例如,可以使用含有除铜之外的其它杂质的铜互连或者铜和银合金的互连。通过借助涂敷、CVD、溅射等形成金属膜此后根据需要处理形成的金属膜,可以得到本发明的金属膜。例如,通过金属镶嵌工艺可以形成金属膜作为绝缘膜中的嵌入膜。根据本发明的扩散阻挡膜显示出优越的防止金属从上面介绍的含铜作为主要成分的金属膜中扩散的能力。金属膜构成了金属互连、层间连接栓塞、焊盘等。
本发明可以包括形成含有梯形硅氧烷氢化物的绝缘膜,设置得靠近扩散阻挡膜的上层。梯形硅氧烷氢化物具有较低的介电常数以及较好的机械稳定性和化学稳定性。由于本发明使用了与梯形硅氧烷氢化物的粘附性显著提高的扩散阻挡膜。因此可以充分利用梯形硅氧烷氢化物的良好性质并且可以获得稳定的层结构。
梯形硅氧烷氢化物为具有梯形分子结构的聚合物,就防止互连延迟而言,优选具有不高于2.9的介电常数,并优选具有较低的膜密度。例如,聚合物优选具有从1.50g/cm3到1.58g/cm3范围内的膜密度,并具有在633nm的波长处从1.38到1.40范围内的折射指数(refractiveindex)。L-OxTM或类似物为典型的膜材料。
图7示出了L-OxTM的化学结构,具有梯形硅氧烷氢化物结构。在结构中出现的符号“n”表示等于或大于1的正数。图8示出了具有这种化学结构的L-OxTM的物理性质。
通过图9所示的FT-IR测量结果可以证实L-OxTM具有图7所示的化学结构。在图9的图表中发现的特性化特征为在约830cm-1处出现尖峰,表示存在Si-H键,峰值快速下降表明L-OxTM具有两维化学结构。同样,期望的另一尖峰表明存在Si-H键,期望出现在约870cm-1附近的较高波长数一侧,该尖峰极小,由此这也表明要测量的材料具有两维化学结构。
根据烘焙温度L-OxTM的物理性质也会变化。这将在图10的基础上进行介绍。
在从200度到400度的范围内的温度在如氮气或类似物的惰性气体环境内烘焙形成的L-OxTM具有以下性质。在图10中,“R.I.”表示在633nm波长的折射指数。折射指数为对介电常数有直接影响的参数,并且它的值在从1.38到1.40的范围内变化。在低于200度的温度或高于400度的温度下折射指数的值高于1.40。
在从200度到400度的温度下烘焙形成的L-OxTM的密度为1.50g/cm3到1.58g/cm3。在高于400度的温度下烘焙的膜密度高于1.60g/cm3。在低于200度的温度下烘焙的膜密度不能测量。
当在低于200度的温度下通过烘焙形成膜时,在FT-IR的频谱中观察到在约3,650cm-1处出现表明存在Si-OH(硅烷醇)键的尖峰。在高于400摄氏度的温度下烘焙的膜密度显著增加。
上述结果表明当淀积包括L-OxTM的绝缘膜时,通过在从200摄氏度到400摄氏度的环境温度下烘焙可以稳定的得到具有更好的特性以及具有更低的介电常数的L-OxTM
图11示出了通常已知的具有三维化学结构的硅氧烷氢化物结构的氢倍半硅氧烷(HSQ)的分子架。(引自“Semiconductor TechnologyOutlook”,1998,pp.431-435。)符号“n”为大于等于1的正数。
在制造工艺期间,具有上述结构的两种材料具有明显不同的膜稳定性,并且L-OxTM表现出比HSQ更高的稳定性。认识到这是因为在制造期间L-OxTM中的Si-H的减少低于HSQ的。还认识到与氢原子形成的键的方式的不同也是其原因。更具体的,在HSQ中,氢原子在立方结构的角部与其键合,而在L-OxTM中,氢原子在梯形结构的侧面的一部分与其键合。因此,围绕HSQ的氢原子的密度低于L-OxTM的,因此认为,由于结构的原因,在HSQ中包含的氢键比在L-OxTM中包含的氢键更活跃。
虽然通过示例性实施例的方式介绍了本发明,但是应当理解,本领域的技术人员可以进行许多改变和替换而不脱离仅由附带的权利要求书定义的本发明的精神和范围。
例子
下面将参考例子介绍根据本发明的优选实施例。
(SiCN膜的红外吸收光谱)
在介绍例子之前,将根据数据介绍SiCN膜的红外吸收光谱。
图12是SiCN膜的FT-IR谱。在图中示出了各吸收带的属性。在后面介绍的例子中,通过画出在图表中显示的基线,并计算用吸收曲线和基线包围的区域以提供吸收区,得到吸收带的吸收区。这里,术语“吸收区”意味着用红外吸收光谱中的吸收曲线和基线包围的区域。
(i)具有810cm-1峰值附近波数红外吸收带;
Si-C键;
该吸收带的吸收区域用I1表示。
(ii)具有2,120cm-1峰值附近波数红外吸收带;
Si-H键;
该吸收带的吸收区域用I2表示。
(iii)具有1,250cm-1峰值附近波数红外吸收带;
Si-CH3键;
该吸收带的吸收区域用I3表示。
用于各红外吸收带的基线通常画在下面的范围内。
I1:600-1,220cm-1
I2:1,900-2,500cm-1;以及
I3:1,220-1,300cm-1
图13为波数3,500cm-1-2,500cm-1的吸收光谱的放大图。可以看出表示存在甲基键(-CH3-)的吸收带出现在较高波数一侧,表示存在亚甲基键(-CH2-)的吸收带出现在较低波数一侧。
例1
在本例中,通过在不同的淀积压力下淀积SiCN膜评估淀积SiCN膜的压力对淀积膜的红外吸收分布轮廓的影响。在本例中,氧化硅膜淀积在硅衬底上,此后借助等离子体CVD在其上淀积SiCN。使用平行板等离子体CVD装置作为淀积装置。淀积工艺的条件如下。
(a)源气的立方米(volumetric)流速:
三甲基硅烷(3MS)150sccm
    氨气300sccm;以及
氦气300sccm;
(b)等离子体功率:300W;以及
(c)淀积室压力:3到5乇
对各淀积膜测量用于Si-C键、Si-H键和Si-CH3键的吸收区。用于Si-C键、Si-H键和Si-CH3键的测量吸收区分别用I1、I2以及I3表示,在测量区域的基础上评估I2/I1(Si-H键/Si-C键)和I2/I1(Si-CH3键/Si-C键)。
此外,通过进行带剥离试验评估SiCN膜和淀积在SiCN膜上的氧化硅膜之间的粘附性。更具体地,在SiCN膜上产生具有网格图形的槽,使用切刀切入氧化硅膜以将它们分成多个矩形区域。然后,粘结带贴在SiCN膜的表面上。将带推向预定的方向,计算在SiCN上发生剥离的矩形区域的数量。评估结果确定为:如果发生任何剥离,那么用符号“X”表示,如果没有发生任何剥离,那么用符合“O”表示。
试验结果显示在图14和15中。在图15的表中,“粘结”表示评估结果。同样,出现在“CH3:CH2”列中的符号“<”表示用于CH2(亚甲基键)的吸收区大于图13的图表中的CH3(甲基键),并且符号“>”表示相反的情况。
如图14所示,现已发现以上提到的吸收带的吸收区比例主要取决于淀积压力。如图15所示,还发现通过3到4乇内的压力可以得到较好的粘附性。
接下来,除了从2到5乇的范围内选择不同的淀积压力用于各膜之外,在类似于以上提到条件的压力条件下淀积这些膜,并测量淀积膜的介电常数(在1MHz)。结果显示在图16中。现已发现在本例的淀积压力范围内,介电常数主要取决于压力,并且较高的压力提供了较低的介电常数。
这里,除了淀积压力之外,以上提到的吸收带的吸收带的比例同样主要取决于其它淀积条件。图17示出了通过改变含在源气中的三甲基硅烷的流速淀积得到膜的I2/I1(Si-H键/Si-C键)和I3/I1(Si-CH3键/Si-C键)的测量值的结果曲线图。
从图17中所示的结果可以看出,现已发现对于含在源气中的三甲基硅烷的流速,当它的选择流速超过某个值时,Si-CH3键的量显著增加。当三甲基硅烷的立方米流速选择为120sccm时,Si-H和CH3的数量都降低并且显示出不低于5.0的介电常数。
例2
在本例中,通过不同地选择以上提到的淀积条件(a)到(c)制备具有多种红外吸收分布轮廓的SiCN膜的多个样品。与例1中的类似,淀积在氧化硅膜上的SiCN膜形成在硅衬底上。
对得到的样品评估介电常数和与氧化硅膜的粘附性。结果显示在图18。当含有大量的Si-CH3键并且I3/I1(Si-CH3键/Si-C键)高于0.0067时,发生粘附性缺陷。当含有少量Si-H键并且I2/I1(Si-H键/Si-C键)不大于0.0067时,它的相对介电常数不小于5.0。由此,从以上评估发现如果:I3/I1(Si-CH3键/Si-C键)不大于0.0067;并且I2/I1(Si-H键/Si-C键)不小于0.067,以上有关粘附性和介电常数的评估可以更好。
同样从图中也发现表示CH2键含量大于CH3键含量的区域与其中I3/I1(Si-CH3键/Si-C键)不大于0.007的区域重叠。
例3
以不同的条件淀积SiCN膜制备图19所示结构的两个样品,评估阻挡性能与铜的热扩散之间的差异。
制备样品1的淀积条件如下:
(a)源气的立方米流速:
三甲基硅烷(3MS)150sccm
    氨气300sccm;以及
氦气300sccm;
(b)等离子体功率:300W;以及
(c)淀积室压力:3乇
制备样品2的淀积条件如下:
(a)源气的立方米流速:
三甲基硅烷(3MS)150sccm
    氨气300sccm;以及
氦气300sccm;
(b)等离子体功率:300W;以及
(c)淀积室压力:5乇
测量所得到的膜的红外吸收光谱,结果如下:
样品1:
I2/I1(Si-H键/Si-C键)=0.074;以及
I3/I1(Si-CH3键/Si-C键)=0.004。
样品2:
I2/I1(Si-H键/Si-C键)=0.070;以及
I3/I1(Si-CH3键/Si-C键)=0.0095。
对于这些样品评估阻挡特性与铜的热扩散。更具体的,对于刚淀积完的膜(即,淀积膜)以及经历了400度下30分钟热处理10次之后的膜,通过二次离子质量光谱(SIMS)测量Si和Cu的分布状态。结果显示在图20A、20B、21A和21B中。图20A和20B为样品1的评估结果。在热处理膜和淀积膜之间没有看出膜中存在元素状态的显著差异。图21A和21B为样品2的评估结果。可以看出通过热处理增加了SiCN膜中的铜浓度。由此,发现铜扩散到样品2的SiCN内。
阻挡性质与Cu扩散的差异与CH2键与CH3键的比例有关。可以认为CH2键的较大含量提供了较大密度,由此抑制了Cu原子的扩散。
例4
本例示出了一个例子,其中本发明应用于具有单金属镶嵌结构的双层铜互连。本例使用了为梯形硅氧烷氢化物称做L-OxTM的膜。图2为根据本例的半导体器件结构的剖面图。根据本例的半导体器件具有以下结构:其中包括Cu膜209的下互连通过铜栓塞228连接到包括Cu膜223的上互连。
包括Cu膜209的下互连形成在包括上绝缘膜201、SiCN膜202、L-OxTM膜203以及SiO2膜204的多层膜中。用Ta/TaN膜208覆盖Cu膜209的侧面和底面。
铜栓塞228提供在多层膜中的孔中,多层膜包括设置在SiO2膜204上的SiCN膜211和SiO2膜212。孔的侧面和底面用Ta/TaN膜226覆盖。
包括Cu膜223的上互连形成在包括SiCN膜213、L-OxTM膜216以及SiO2膜217的多层膜中。用Ta/TaN膜220覆盖Cu膜223的侧面和底面,形成SiCN膜222以覆盖它的上表面。
接下来,介绍根据本例制造半导体器件的方法。图3A到3D、4E到4G、5H和5I、6J和6K为半导体器件的剖面图,示出了根据优选例的半导体器件的制造步骤。
首先,形成图3A中所示的多层结构。下绝缘膜201设置在其上形成有半导体器件的衬底(未示出)上,借助等离子体CVD在其上淀积50nm厚度的SiCN膜202,在形成互连沟槽阶段,SiCN膜202为蚀刻终止膜。然后通过涂覆法形成300nm厚度的L-OxTM膜203,在400度N2环境内进行30分钟的烘焙处理。随后,借助等离子体CVD淀积100nm厚度的SiO2膜204。此后,选择性干蚀刻SiO2膜204和L-OxTM膜203形成互连沟槽207。
随后,形成Ta/TaN膜208和Cu膜209以填充互连沟槽,由此形成了互连。然后,在衬底的整个表面上依次淀积SiCN膜211和SiO2膜212以覆盖互连。通过等离子体CVD淀积SiCN膜211,它的工艺条件如下。
(a)源气的立方米流速:
三甲基硅烷(3MS)150sccm
    氨气300sccm;以及
氦气300sccm;
(b)等离子体功率:300W;以及
(c)淀积室压力:3.3乇
这里,通过与以上介绍的SiCN膜211相同条件的工艺在衬底上淀积SiCN膜的红外吸收光谱,测量SiCN膜的红外吸收光谱,结果如下。
I2/I1(Si-H键/Si-C键)=0.077;以及
I2/I1(Si-CH3键/Si-C键)=0.005。
如上所述,得到了图3A所示的层结构。
然后,抗反射膜250和光致抗蚀剂214施加在淀积的SiO2膜212上,借助光刻工艺形成用于过孔的抗蚀剂图形(图3B)。
此外,通过干蚀刻穿过用于过孔的抗蚀剂图形蚀刻SiO2膜212形成用于过孔的图形。此后,进行灰化工艺以剥离光致抗蚀剂214和抗反射膜250(图3C)。接下来,深蚀刻设置在过孔底部的SiCN膜211,用剥离溶液剥离蚀刻残留物(图3D)。
之后,借助溅射工艺淀积厚度30nm的Ta/TaN膜226,并在其上形成用于籽晶的Cu膜(未示出),此后,借助电解电镀工艺形成厚度700nm的Cu膜227以填充过孔图形。随后,在400度进行热处理用于晶化(图4E)。
随后,通过CMP工艺除去SiO2膜212上的Cu膜227和Ta/TaN膜226(图4F)。
通过形成下互连使用的相同工艺形成铜栓塞228,形成厚度50nm将为第二Cu扩散阻挡膜的SiCN膜213(图4G)。然后,施加起第二层间绝缘膜作用的L-OxTM膜216并烘焙到300nm的厚度,此后在其上淀积100nm厚度的SiO2膜217。接下来,在其上施加抗反射膜250和光致抗蚀剂218,借助光刻技术在光致抗蚀剂218中形成开口(图5H)。
此后,借助光致抗蚀剂218的掩模蚀刻为用于第二互连的层间绝缘膜的SiO2膜217和L-OxTM膜216。之后,进行灰化工艺以剥离光致抗蚀剂218和抗反射膜250。随后,进行用于整个表面的蚀刻烘焙工艺以除去Cu扩散阻挡膜的SiCN膜213。然后,用剥离溶液剥离蚀刻残留物(图5I)。
此后,借助溅射工艺淀积厚度30nm的Ta/TaN膜226,在Ta/TaN膜220上形成厚度100nm用于籽晶的Cu膜(未示出)。随后在借助电解电镀工艺在其上形成厚度700nm的Cu膜221,之后,进行CMP形成上互连(图6J)。
接下来,除去苯并三唑(BTA)层,在其上形成Cu扩散阻挡膜的SiCN膜222(图6K)。如上所述,形成图2所示具有单金属镶嵌结构的铜多层互连。此后,使用基本上类似于以上介绍工艺的工序形成上互连以制造具有9层互连的半导体器件。得到高制造成品率的半导体器件,并且得到的半导体器件具有较好的可靠性。
例5
本例示出了使用三甲基乙烯硅烷、氨气和氦气作为源材料淀积扩散阻挡膜的SiCN膜。
使用的淀积装置为前例中的平行板等离子体CVD装置。淀积工艺的条件如下。
(a)源气的立方米流速:
三甲基乙烯硅烷(TMVS)150sccm
    氨气300sccm;以及
氦气300sccm;
(b)等离子体功率:300W;以及
(c)淀积室压力:3乇
得到膜的红外吸收光谱显示在图22中。得到的测量结果如下。
I2/I1(Si-H键/Si-C键)=0.069;以及
I2/I1(Si-CH3键/Si-C键)=0.0059。
此外,同样发现得到膜的相对介电常数约4.0,由此与使用3MS的情况相比,这些淀积能够减小介电常数。
通过利用这种膜形成具有单金属镶嵌结构的双层铜互连。在本例中使用的互连绝缘膜为SiOCH膜,为具有较低介电常数(相对介电常数=2.9)的膜。图23示出了根据本例的半导体器件结构的剖面图。
根据本例的半导体器件具有以下结构:其中包括Cu膜209的下互连通过铜栓塞228连接到包括Cu膜223的上互连。
包括Cu膜209的下互连形成在包括下绝缘膜201、SiCN膜502、SiOCH膜503以及SiO2膜204的多层膜。用Ta/TaN膜208覆盖Cu膜209的侧面和底面。
铜栓塞228提供在多层膜中的孔中,多层膜设置在SiO2膜204上并包括SiCN膜511、和SiOCH膜512以及SiO2膜510。孔的侧面和底面用Ta/TaN膜226覆盖。
包括Cu膜223的上互连形成在包括SiCN膜513、SiOCH膜516以及SiO2膜517的多层膜中。用Ta/TaN膜220覆盖Cu膜223的侧面和底面,形成SiCN膜222以覆盖它的上表面。
形成盖结构用于得到的层结构,并评估它的电迁移特性。作为比较例,也采用了包括使用3MS气体制造的SiCN膜并具有图24中所示红外吸收光谱的样品。图24中所示的光谱提供了下面结果用于比较例的SiCN膜:
I2/I1(Si-H键/Si-C键)=0.055;以及
I2/I1(Si-CH3键/Si-C键)=0.0090。
也就是,用于比较例的这种膜可应用于以前针对器件操作故障介绍的标准(criteria)中,即,
I2/I1(Si-H键/Si-C键)<0.067;以及
I2/I1(Si-CH3键/Si-C键)>0.0067。
图25示出了对于两种类型样品的耐迁移的评估结果,样品具有以上提到的两种类型的SiCN膜的每一个。样品1包括通过使用三甲基乙烯硅烷(TMVS)淀积的SiCN膜,样品2包括使用三甲基硅烷(3MS)淀积的SiCN膜。与使用3MS淀积的SiCN膜的比较样品2的电迁移特性相比,包括使用TMVS淀积的SiCN膜的样品1的电迁移特性提高了5翻(folds)或更多。可以认为原因是具有使用TMVS淀积的SiCN膜的样品1的吸收区的比例满足了例2中介绍的得到较好粘附性和较低介电常数的标准,也就是:
I2/I1(Si-H键/Si-C键)≥0.067;以及
I2/I1(Si-CH3键/Si-C键)≤0.0067,
并且包括在比较样品2中的SiCN膜不满足标准。
假设通过Si-H增加和Si-CH3减少,SiCN膜与Cu膜的粘附性提高,由此它的电迁移特性提高。
虽然根据使用TMVS的情况介绍了各例,但也可以使用其它化合物,只要化合物含有乙烯基,用于得到与使用TMVS时类似结果的化合物可以时二甲基二乙烯硅烷、一甲基三乙烯硅烷或四乙烯硅烷。
虽然结合优选实施例介绍了本发明,但是应当理解,这里所包含的公开只是为了说明,并且其可选的配置和/或工艺可以用其它配置和/或工艺来部分的代替。
例如,虽然在上述优选例子中采用了铜互连,但是互连也可以是铜合金互连,该铜合金互连是铜与从以下元素构成的组中选择的至少一种不同的元素构成的合金:Al(铝)、Ag(银)、W(钨)、Mg(镁)、Be(铍)、Zn(锌)、Pd(钯)、Cd(镉)、Au(金)、Hg(汞)、Pt(铂)、Zr(锆)、Ti(钛)、Sn(锡)、Ni(镍)、Nd(钕)和Fe(铁)。
虽然在上述例子的公开中采用Ta/TaN膜作为阻挡金属,但是本发明可以具有一种结构,其中阻挡金属由从以下组中选择的至少一中构成:Ti、TiN、TiSiN、Ta、TaN和TaSiN。

Claims (11)

1.一种半导体器件,包括:
半导体衬底;
布置在所述半导体衬底上的金属膜;以及
覆盖所述金属膜的上表面的扩散阻挡膜,
其中所述扩散阻挡膜包括含有硅、碳、氢和氮作为构成元素的绝缘材料,并且其中所述绝缘材料含有Si-H键、Si-C键和亚甲基键(-CH2-)。
2.根据权利要求1所述的半导体器件,其中所述绝缘材料的红外吸收光谱包括:
不低于0.067的I2/I1
其中I1定义为具有接近810cm-1的峰值的红外吸收带的吸收区,以及
I2定义为具有接近2120cm-1的峰值的红外吸收带的吸收区。
3.根据权利要求1所述的半导体器件,其中所述绝缘材料的红外吸收光谱包括:
不高于0.0067的I3/I1
其中I1定义为具有接近810cm-1的峰值的红外吸收带的吸收区,以及
I3定义为具有接近1250cm-1的峰值的红外吸收带的吸收区。
4.根据权利要求2所述的半导体器件,其中所述绝缘材料的红外吸收光谱包括:
不高于0.0067的I3/I1
其中I1定义为具有接近810cm-1的峰值的红外吸收带的吸收区,以及
I3定义为具有接近1250cm-1的峰值的红外吸收带的吸收区。
5.根据权利要求1所述的半导体器件,其中所述金属膜含有铜作为主要成分。
6.根据权利要求2所述的半导体器件,其中所述金属膜含有铜作为主要成分。
7.根据权利要求3所述的半导体器件,其中所述金属膜含有铜作为主要成分。
8.根据权利要求4所述的半导体器件,其中所述金属膜含有铜作为主要成分。
9.一种半导体器件的制造方法,包括:
在半导体衬底上形成第一绝缘膜;
选择性地除去所述第一绝缘膜,形成互连沟槽;
用金属填充所述互连沟槽,形成金属膜;以及
淀积包括含有硅、碳、氢和氮作为构成元素的绝缘材料的扩散阻挡膜,覆盖所述金属膜的上表面,
其中通过等离子体CVD的所述淀积所述扩散阻挡膜利用含有下列成分的源气体:
(a)三甲基硅烷或四甲基硅烷;以及
(b)含氮化合物,
并且其中在所述淀积所述扩散阻挡膜期间压力不大于4乇。
10.一种半导体器件的制造方法,包括:
在半导体衬底上形成第一绝缘膜;
选择性地除去所述第一绝缘膜,形成互连沟槽;
用金属填充所述互连沟槽,形成金属膜;以及
淀积包括含有硅、碳、氢和氮作为构成元素的绝缘材料的扩散阻挡膜,覆盖所述金属膜的上表面,
其中通过等离子体CVD的所述淀积所述扩散阻挡膜利用含有下列成分的源气体:
(a)含有乙烯基的硅烷;以及
(b)含氮化合物。
11.根据权利要求10所述的方法,其中从由三甲基乙烯硅烷、二甲基二乙烯硅烷、一甲基三乙烯硅烷或四乙烯硅烷构成的组中选择所述含有乙烯基的硅烷。
CNB2004100025575A 2003-01-31 2004-01-30 半导体器件及其制造方法 Expired - Fee Related CN1319165C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003024350 2003-01-31
JP024350/2003 2003-01-31
JP175627/2003 2003-06-19
JP2003175627A JP4746829B2 (ja) 2003-01-31 2003-06-19 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
CN1519926A true CN1519926A (zh) 2004-08-11
CN1319165C CN1319165C (zh) 2007-05-30

Family

ID=32775207

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100025575A Expired - Fee Related CN1319165C (zh) 2003-01-31 2004-01-30 半导体器件及其制造方法

Country Status (3)

Country Link
US (2) US7045895B2 (zh)
JP (1) JP4746829B2 (zh)
CN (1) CN1319165C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101017808B (zh) * 2006-02-06 2010-06-16 恩益禧电子股份有限公司 半导体器件及其制造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007001337A2 (en) * 2004-08-18 2007-01-04 Dow Corning Corporation Coated substrates and methods for their preparation
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
US8084294B2 (en) 2005-02-18 2011-12-27 Nec Corporation Method of fabricating organic silicon film, semiconductor device including the same, and method of fabricating the semiconductor device
JP4656147B2 (ja) 2005-09-13 2011-03-23 日本電気株式会社 多孔質絶縁膜の形成方法および半導体装置
WO2007061134A1 (ja) 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
US7595556B2 (en) * 2005-12-28 2009-09-29 Dongbu Hitek Co., Ltd. Semiconductor device and method for manufacturing the same
CN101495674B (zh) 2006-07-21 2013-07-17 瑞萨电子株式会社 多孔质绝缘膜的形成方法
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US8334204B2 (en) * 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
WO2010098151A1 (ja) 2009-02-24 2010-09-02 日本電気株式会社 半導体装置およびその製造方法
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5607394B2 (ja) * 2010-03-16 2014-10-15 大陽日酸株式会社 層間絶縁膜の成膜方法および層間絶縁膜
US10510665B2 (en) * 2014-11-20 2019-12-17 Samsung Electronics Co., Ltd. Low-k dielectric pore sealant and metal-diffusion barrier formed by doping and method for forming the same
US9735028B2 (en) * 2015-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US9865609B2 (en) * 2016-01-28 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. One-time-programming (OTP) memory cell with floating gate shielding
JP6711673B2 (ja) * 2016-04-06 2020-06-17 キヤノン株式会社 光電変換装置、光電変換装置の製造方法及び撮像システム
JP2021082703A (ja) * 2019-11-19 2021-05-27 キオクシア株式会社 半導体装置およびその製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW362118B (en) * 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
JP3226479B2 (ja) * 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6784485B1 (en) 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6489030B1 (en) * 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4048112B2 (ja) * 2000-08-18 2008-02-13 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
JP2002083870A (ja) * 2000-09-11 2002-03-22 Tokyo Electron Ltd 半導体装置及びその製造方法
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
JP2002319619A (ja) 2001-04-20 2002-10-31 Matsushita Electric Ind Co Ltd 半導体装置およびエッチング方法
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6764952B1 (en) * 2002-03-13 2004-07-20 Novellus Systems, Inc. Systems and methods to retard copper diffusion and improve film adhesion for a dielectric barrier on copper

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101017808B (zh) * 2006-02-06 2010-06-16 恩益禧电子股份有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
US7482263B2 (en) 2009-01-27
CN1319165C (zh) 2007-05-30
US20040150110A1 (en) 2004-08-05
JP4746829B2 (ja) 2011-08-10
JP2004289105A (ja) 2004-10-14
US7045895B2 (en) 2006-05-16
US20060166488A1 (en) 2006-07-27

Similar Documents

Publication Publication Date Title
CN1519926A (zh) 半导体器件及其制造方法
CN1518075A (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
CN100339972C (zh) 半导体器件和其制造方法
CN1832132A (zh) 半导体装置及其制造方法
CN1835226A (zh) 半导体器件及其制造方法
CN1452244A (zh) 半导体器件
CN1542958A (zh) 半导体器件及其制造方法
CN1290186C (zh) 半导体器件及其制造方法
CN1096116C (zh) 半导体器件及其制造方法
CN1536660A (zh) 半导体器件及其制造方法
CN1231970C (zh) 半导体器件
CN1826687A (zh) 布线结构及其制造方法
CN1510748A (zh) 具有多层互连结构的半导体器件及其制造方法
CN1956173A (zh) 半导体器件以及其制造方法
CN1842903A (zh) 半导体装置及制造方法
CN1893070A (zh) 有利于提高抗水性和抗氧化性的半导体器件
CN1649125A (zh) 半导体器件的制造方法
CN1670953A (zh) 半导体装置
CN1493087A (zh) 消去光刻胶与osg之间的反应的方法
CN1893080A (zh) 具有mim电容器的半导体装置及其制造方法
CN1701418A (zh) 半导体器件的制造方法、半导体晶片及半导体器件
CN1848407A (zh) 半导体器件的制造方法以及半导体器件
CN1893114A (zh) 具有铁电膜作为栅极绝缘膜的半导体器件及其制造方法
CN1665017A (zh) 半导体器件及其制造方法
CN1476086A (zh) 半导体器件和半导体器件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: RENESAS ELECTRONICS CO., LTD.

Free format text: FORMER OWNER: NEC CORP.

Effective date: 20101119

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20101119

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

CP02 Change in the address of a patent holder
CP02 Change in the address of a patent holder

Address after: Tokyo, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: Renesas Electronics Corporation

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070530

Termination date: 20200130

CF01 Termination of patent right due to non-payment of annual fee