CN1832132A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN1832132A
CN1832132A CNA2006100678301A CN200610067830A CN1832132A CN 1832132 A CN1832132 A CN 1832132A CN A2006100678301 A CNA2006100678301 A CN A2006100678301A CN 200610067830 A CN200610067830 A CN 200610067830A CN 1832132 A CN1832132 A CN 1832132A
Authority
CN
China
Prior art keywords
film
interconnection
dielectric film
semiconductor device
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100678301A
Other languages
English (en)
Other versions
CN100411137C (zh
Inventor
宇佐美达矢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1832132A publication Critical patent/CN1832132A/zh
Application granted granted Critical
Publication of CN100411137C publication Critical patent/CN100411137C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明的目的是降低半导体器件的互连结构中的绝缘膜的介电常数,并且确保稳定的制作。半导体器件(100)包括如下互连结构,其中包括:由含铜金属制成的第一互连(108);覆盖第一互连(108)的上部的第一Cu硅化物层(111);位于Cu硅化物层(111)的上部上且连接到第一互连(108)的导电的第一栓塞(114);覆盖第一栓塞(114)的上部的Cu硅化物层(117);第一多孔MSQ膜(105),其位于从第一互连(108)的侧壁到第一栓塞(114)的侧壁上,且形成为覆盖第一互连(108)的侧壁、第一互连(108)的上部和第一栓塞(114)的侧壁;以及第一SiCN膜103,其位于第一多孔MSQ膜(105)之下,以与第一互连(108)的侧壁的下部接触并且具有比第一多孔MSQ膜(105)更大的膜密度。

Description

半导体装置及其制造方法
本申请基于日本专利申请NO.2005-069874,其内容引进于此作为参考。
技术领域
本发明涉及一种具有多层互连结构的半导体器件及其制造方法。
背景技术
随着半导体器件中采用越来越精细的设计,已经观察到金属互连中显著的信号延迟,并且需要其进一步改善。为了应付这种延迟,低介电常数膜(低k膜)被用作绝缘中间层。以下是使用低k膜作为绝缘中间层的现有互连和通路的构造。
图14是示出了现有半导体器件的构造的剖面图。通过使用下面的工艺来制造图14所示的半导体器件200。
首先,在硅衬底(未示出)上形成SiO2膜201和阻挡介电膜203。阻挡介电膜203是例如SiC膜或者SiCN膜。在阻挡介电膜203上,以70到200nm量级的膜厚度形成多孔SiOC膜作为第一低k膜205。在第一低k膜205上,形成大约50到150nm的硬掩模SiO2膜(未示出)。然后,通过使用氟碳基气体的光刻工艺,对硬掩模SiO2膜和作为第一低k膜205的多孔SiOC膜执行刻蚀和灰化,从而形成互连沟槽。在该互连沟槽中,形成掩埋金属膜211和铜互连213,然后,形成SiCN或者SiC膜作为阻挡介电膜207。在阻挡介电膜207上形成多孔SiOC膜作为第二低k膜209,并且进行类似的处理以形成通路孔215。这是如何构造图14所示的半导体器件200。然后,金属膜被掩埋在通路孔215中以形成通路栓塞(未示出)。重复该工艺以形成多层互连。
S.Nittta等人的“Successful Dual Damascene Integration of ExtremeLow k Materials(k<2.0)Using a Novel Gap Fill Based IntegrationScheme”(2004.12),IEDM 2004 Proceedings,IEEE,U.S.,和美国专利No.6413852公开了如下构造,其中首先在多孔低k膜中形成铜互连,然后在其上形成另一多孔低k膜,在低k膜中形成通路。根据这些文献中描述的技术,多孔低k膜用作绝缘膜以降低介电常数。
发明内容
本发明的发明人研究了上述文献中描述的技术并发现了就下面几点还有改进余地。
在参考图14描述的半导体器件200中,通过光刻工艺去除了硬掩模SiO2膜(未示出)和多孔SiOC膜,具体地说,氟碳基气体用于刻蚀和灰化。采用该工艺,在第一低k膜205和第二低k膜209的暴露的区域中形成了受损层217。在形成受损层217的区域中,低k膜的Si-CH3键断裂,从而增加了低k膜的介电常数。
此外,在如下堆叠部分中会发生剥离,在该堆叠部分中,在形成铜互连213的层即互连层上,形成具有通路栓塞(通路)且具有比互连层小的金属面积的层。具体地说,在作为第二低k膜209的多孔SiOC膜和作为阻挡介电膜207的SiCN或者SiC膜之间,粘附性差。因此,在形成多层互连和组装之后的T/C(热循环)测试期间,由于这些膜之间的热膨胀系数的差异,这些膜会剥离,这导致了剥离的界面219。
为了降低在界面处的剥离,可以提出如下构造,其中在第一低k膜205和第二低k膜209之间的界面处不提供阻挡介电膜207。图15是示出了这样的半导体器件的构造的剖面图。在图15所示的没有提供阻挡介电膜207的半导体器件210中,在形成通路孔期间需要刻蚀停止层,因此,在铜互连213的上部提供帽金属膜221。
但是,在形成通路期间,较细的互连和较小的通路直径会引起不对准,同时对于较大的晶片直径,会在部分区域中引起互连和通路之间的不对准。在图15所示的半导体器件210中,层叠多孔SiOC膜作为第一低k膜205和第二低k膜209,从而构成互连层,并且由于在第二低k膜209中形成通路孔期间发生不对准而形成了无底(unlanded)通路226。在该构造中,由于作为处理对象的低k膜是多孔材料,所以刻蚀速度较快,并且一旦通路孔发生不对准,则该通路会“突然下降”到互连之下并且被不合适地提供,在其中将形成无底通路226。具体地说,一旦发生不对准,则刻蚀在深度方向上快速地进行到第一低k膜205的区域那样深,而当没有发生不对准时,通路孔停止在铜互连213的上部。存在如下担心:在将阻挡金属膜或者铜膜掩埋到通路孔中的掩埋工艺期间会有掩埋失败。
在现有技术中描述的美国专利No.6413852中,通过双大马士革工艺来制造互连结构;但是,存在的问题是:通过双大马士革工艺具有铜的互连或连接栓塞的构造降低了半导体器件的产量,导致了不稳定制作。在实现半导体器件的长期稳定使用和获得半导体器件中的元件的更大可靠性上还有进一步改进余地。
下面的构造示出了将美国专利No.6413852中描述的技术应用于单大马士革工艺的情况。图16A和16B是示出了半导体器件的制造工艺的剖面图,其中将美国专利No.6413852中描述的技术应用于单大马士革工艺。
如图16A所示,在硅衬底(未示出)上形成SiO2膜201和阻挡介电膜203。在阻挡介电膜203上,形成第一低k膜205和硬掩模SiO2(未示出)。接着,通过光刻工艺,对硬掩模SiO2膜和第一低k膜205执行使用氟碳基气体的刻蚀并执行灰化,以便形成互连沟槽。在该互连沟槽中,形成阻挡金属膜211和铜互连213,并且在铜互连213上,选择性地形成Cu硅化物层作为帽金属膜221(如图16A所示)。
然后,如图16B所示,以此顺序去除第一低k膜205和阻挡介电膜203,并且暴露出铜互连213。
然而,对于该构造,在通过刻蚀去除作为铜互连213的停止层的阻挡介电膜203的步骤中,由于难以控制刻蚀条件,所以互连会剥离。其一部分原因是,刻蚀剂流入到铜互连213和下层(SiO2膜201)之间的界面,并且推起铜互连213,如图16B所示。
如上所述,低介电常数膜(低k膜)已经被逐渐用作绝缘中间层,但是,在单大马士革工艺中,绝缘中间层的进一步低k会引起低k膜的处理期间对低k膜的损伤,因而担心实际中会难以降低介电常数。低k膜的采用降低了绝缘中间层的机械强度,尤其是在其中的具有很小数据比的通路的层间膜界面处,并且因而显著观察到剥离的发生。还担心更细的互连结构引起互连和通路之间的不对准,以及在互连和低k膜之间产生间隙。
根据本发明,提供一种具有互连结构的半导体器件,包括:
由含铜金属制成的第一互连;
第一帽金属膜,其与第一互连的上部上接触并且覆盖第一互连的上部;
导电通路,其位于第一帽金属膜的上部并且连接到第一互连;
绝缘膜,其位于从第一互连的侧壁到通路的侧壁并且形成为覆盖第一互连的侧壁、第一互连的上部和通路的侧壁;以及
加固绝缘膜,其设置在绝缘膜下并且与第一互连的侧壁的下部接触,且具有比绝缘膜大的膜密度。
在该构造中,在作为下层的形成有第一互连的层和作为上层的形成有通路的层之间的边界处,数据比显著且不连续地变化。在前述边界区域中提供由不同材料制成的膜会在其间的界面处引起膜的剥离。相反,根据本发明,第一互连的上部具有第一帽金属膜,并且从第一互连的侧壁到通路的侧壁以如下方式提供绝缘膜,使得绝缘膜覆盖第一互连的侧壁、第一互连的上部以及通路的侧壁。利用这样的构造,即在形成有第一互连的层和形成有通路的层之间的边界处不提供由不同材料制成的膜,因而能够抑制在形成有第一互连的层和形成有通路的层之间的边界处的绝缘膜的剥离。由于没有由不同材料制成的膜,所以绝缘膜的介电常数有效地降低。
在本申请中使用的术语“数据比”是指面积的比,其表示金属膜在绝缘层中的占据比。通常,形成有互连的层具有较高的数据比,而形成有通路的层具有比较低的数据比。这些层之间的数据比彼此显著不同。
第一帽金属膜位于第一互连的上部,从而能够以稳定的方式形成通路,即使各膜如上所述那样不是由不同的金属制成。此外,形成绝缘膜,使其覆盖第一互连的侧壁、第一互连的上部以及通路的侧壁。在制造工艺期间,即使当位于第一帽金属膜上的通路处发生不对准,该构造也能够防止通路的掩埋失败,并且能够抑制产量降低。
此外,利用该构造,即在第一互连的侧壁的下部提供具有比绝缘膜的膜密度高的膜密度的加固绝缘膜,第一绝缘膜能够被加固从而保护底表面,并且同时第一互连支撑在加固绝缘膜中,并且在制造工艺期间能够减少第一互连在其底表面的剥离。
根据本发明的半导体器件,该构造使得能够有效地减小绝缘膜的介电常数并且实现稳定的制作。
在本发明中,该构造可以是这样的,即从第一互连的侧壁到通路的侧壁连续且一体地形成绝缘膜。在本发明中使用的术语“连续且一体地”是指作为连续部件的一体形成。还优选地,其由单一材料制成并且不具有连接部。当层叠多个膜以构成绝缘膜时,担心由于在其间存在界面,会在各膜之间的界面处发生剥离;但是,根据本发明的构造不具有界面,从而能够进一步改善热循环特性并且能够更有效地降低绝缘膜的介电常数。
根据本发明,提供一种用于制造半导体器件的方法,包括:
以此顺序形成第一加固绝缘膜和第一牺牲层间膜;
形成从第一牺牲层间膜到第一加固绝缘膜的第一沟槽,然后在第一沟槽中,形成由含铜金属制成的第一互连,并且形成覆盖第一互连的上部的第一帽金属膜;
以此顺序在第一帽金属膜的上部上形成牺牲阻挡介电膜和第二牺牲层间膜;
顺序地选择性地去除第二牺牲层间膜和牺牲阻挡介电膜从而形成一孔,在该孔的底部暴露出第一帽金属膜,通过在该孔中形成导电膜来形成连接到第一互连的导电通路,并且在该通路的上部形成覆盖该通路的第二帽金属膜;
在形成第二帽金属膜之后,通过顺序地选择性地去除第二牺牲层间膜、牺牲阻挡介电膜以及第一牺牲层间膜来暴露出第一互连和通路;以及
从第一互连的侧壁到通路的侧壁,形成覆盖第一互连的侧壁、第一互连的上部以及连接到第一互连的通路的侧壁的绝缘膜。
根据本发明,去除第一牺牲层间膜、牺牲阻挡介电膜以及第二牺牲层间膜,以暴露第一互连和通路,然后从第一绝缘膜的侧壁到通路的侧壁形成绝缘膜。该构造消除了形成绝缘膜之后选择性地去除绝缘膜以便形成沟槽或孔的工艺,这降低了由该工艺引起的绝缘膜的恶化。对由第一加固绝缘膜支撑的第一互连执行去除该牺牲膜的工艺,这降低了在牺牲膜的去除工艺期间第一互连的剥离。
该制造工艺还包括如下步骤:在第一互连的上部上形成第一帽金属,在第一帽金属的上部上形成牺牲阻挡介电膜。利用该构造,甚至当在第一互连的上部上形成通路孔期间在下面的第一互连和通路孔之间发生不对准时,也能控制通路孔不向第一互连侧形成得过深。这还控制了在后续工艺中通路的掩埋失败,因而控制了与之有关的产量的降低。
本发明能够以稳定的方式有效地降低绝缘膜的介电常数,并且可以在制造工艺中增加产量。
如上所述,根据本发明的半导体器件可以实现半导体器件的互连结构中的绝缘层的低介电常数,并且还可以实现稳定的制作。
附图说明
从下面结合附图的描述中,本发明的上述和其它目的、优点和特征将更加清楚,其中:
图1A和1B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图2A和2B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图3A和3B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图4A和4B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图5A和5B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图6A和6B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图7A和7B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图8A和8B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图9A和9B是剖面图,示出了根据实施例的半导体器件的制造工艺;
图10A和10B是剖面图,示出了根据实施例的半导体器件的构造;
图11A和11B是剖面图,示出了根据实施例的半导体器件的构造;
图12A和12B是剖面图,示出了根据实施例的半导体器件的构造;
图13是示出了例子中的多孔SiOC膜的FTIR光谱的图;
图14是示出了半导体器件的现有制造工艺的剖面图;
图15是示出了现有半导体器件的构造的视图;以及
图16A和16B是示出了半导体器件的制造工艺的剖面图。
具体实施方式
现在,参照示例性实施例在此对本发明进行描述。本领域技术人员将会认识到,使用本发明的讲解,可以实现多种可选的实施例,并且本发明并不受这些出于说明的目的而描述的实施例的限制。
将参考附图描述本发明的一些实施例,用于通过单大马士革工艺制作在低介电常数膜中具有由含铜金属制成的多层互连结构的半导体器件。在所有附图中,用相同的符号来表示共同的元件,并且适当地在下面的描述中不再提出共同的描述。
(第一实施例)
图10A和10B是剖面图,示出了根据本实施例的半导体器件的结构。图10B中所示的半导体器件110示出了在如下情况下的图10A中所示的半导体器件100的结构,即在互连和通路之间的连接处发生了不对准。
图10A中所示的半导体器件100具有如下结构,其中在硅衬底上形成有绝缘中间层,并且包含铜互连和通路的多层互连结构位于绝缘中间层中。所示的结构示出了多层互连结构的一部分,其中下层互连具有单大马士革结构,其下互连通过导电通路(栓塞)连接到上互连。
半导体器件100具有如下互连结构,其包括:由含铜金属制成的第一互连(第一互连108);第一帽金属膜(Cu硅化物层111),其位于第一互连108的上部上从而与其接触,用于覆盖第一互连108的上部;导电通路(第一栓塞114),其位于连接到第一互连108的Cu硅化物层111的上部上;绝缘膜(第一多孔MSQ膜105),其位于从第一互连108的侧壁到第一栓塞114的侧壁,从而形成为覆盖第一互连108的侧壁、第一互连108的上部和第一栓塞114的侧壁;以及具有比第一多孔MSQ膜105大的膜密度的加固绝缘膜(第一SiCN膜103),其位于第一多孔MSQ膜105之下从而与第一互连108的侧壁的下部接触。
互连结构位于第一栓塞114的上部从而与第一栓塞114接触并且具有覆盖第一栓塞114的上部的第二帽金属膜(Cu硅化物层117)。
第一多孔MSQ膜105连续地且一体地位于从第一互连108到第一栓塞114的侧表面之上。第一多孔MSQ膜105是掩埋在第一互连108之间和第一栓塞114之间的实心膜。
第一多孔MSQ膜105由低介电常数材料制成,并且其上部的密度比其下部的密度高。而且,第一多孔MSQ膜105上部的机械强度比其下部的机械强度大。此外,第一多孔MSQ膜105由包含碳作为组成元素的低介电常数材料制成。第一多孔MSQ膜105在下部比其上部包含更多的碳。
通过用电子束或者紫外光照射来形成第一多孔MSQ膜105。
关于低介电常数材料的红外吸收光谱,在第一多孔MSQ膜105的上部,具有在1150cm-1附近的峰的红外吸收带的峰值强度I1比在其下部小。吸收带反映了笼型(cage-type)Si-O结构。在此,1150cm-1附近是指例如1100cm-1到1200cm-1
此外,关于低介电常数材料的红外吸收光谱,在第一多孔MSQ膜105的上部,具有在1050cm-1附近的峰的红外吸收带的峰值强度I2比在其下部大。吸收带反映了梯型(ladder-type)Si-O结构。在此,1050cm-1附近是指例如1000cm-1到1100cm-1
此外,关于低介电常数材料的红外吸收光谱,在第一多孔MSQ膜105的上部,具有在3000cm-1附近的峰的红外吸收带的峰值强度I3比在其下部小。该吸收带源于CH键。峰值强度I3越大,膜中的碳浓度越高。在此,3000cm-1附近是指例如2950cm-1到3050cm-1
在本申请中使用的术语“峰值强度”是指在红外吸收光谱中的红外吸收带中,也就是说,在吸收曲线和基线所包围的区域中,从峰位置处的吸光度减去在该峰位置处的波数的基线吸光度得到的值。根据下面所示的范围内的值,通常能够给出每个红外吸收带中的基线。
I1=1100到1200cm-1
I2=1000到1100cm-1
I3=3050到2800cm-1
在半导体器件100上层叠多个这样的互连结构。在一个互连结构中的第一栓塞114连接到位于该互连结构上的其它互连结构的第一互连(第二互连124)。
半导体器件100具有:位于第一栓塞114的上部的第二互连(第二互连124),其与第一栓塞114连接,并且由含铜金属制成;以及第二加固绝缘膜(第二SiCN膜119),其位于第一多孔MSQ膜105的上部,从而与第二互连124的侧壁的下部接触并且具有比第一多孔MSQ膜105大的膜密度。第二多孔MSQ膜121位于第二SiCN膜119的上部上,并且第二SiCN膜119具有比第二多孔MSQ膜121大的膜密度。
下面更详细地示出了半导体器件100的结构。
半导体器件100具有如下结构,该结构具有以此顺序层叠在硅衬底(未示出)上的多层膜101、第一SiCN膜103、第一多孔MSQ膜105、第二SiCN膜119以及第二多孔MSQ膜121。多层膜101是具有彼此层叠的绝缘中间层和互连层的膜。
第一多孔MSQ膜105是连续的一体膜,其中掩埋了第一互连108的不接近其底表面的区域和第一栓塞114。第二多孔MSQ膜121是连续的一体膜,其中掩埋了第二互连124的不接近其底表面的区域和第二栓塞130。
第一多孔MSQ膜105和第二多孔MSQ膜121是由低介电常数材料制成的绝缘中间层。用紫外光或者电子束从上表面侧照射第一多孔MSQ膜105和第二多孔MSQ膜121。紫外光的波长可以在例如不小于100nm且不大于400nm的范围中。
第一多孔MSQ膜105和第二多孔MSQ膜121在上部具有比在下部更大的膜密度和更强的机械特性,其中下部是硅衬底一侧。第一多孔MSQ膜105和第二多孔MSQ膜121可以被构造为上部的机械强度是下部的机械强度的两倍或者更大。利用该结构,形成有第一栓塞114且具有低数据比的层的上部能够被进一步加强,并由此实现更稳定的制作。
此外,在第一多孔MSQ膜105和第二多孔MSQ膜121的上部而不是下部中,在多孔MSQ中可以具有减少数量的C-H键,或者在多孔MSQ中可以具有增加数量的梯型Si-O键,或者在多孔MSQ中可以具有降低数量的笼型Si-O键,或者甚至可以同时组合多个上述条件。能够通过例如测量红外吸收的量来检测键的量的变化。
在需要进一步的机械强度的情况中,可以控制后续处理条件,从而容易地降低上层的碳浓度。通过诸如SIMS(二次离子质谱)和XPS(X射线光电子谱)的测量方法能够容易地观察到碳浓度的降低。
在第一多孔MSQ膜105和第二多孔MSQ膜121中,膜的组分和如下特性在膜的上部和下部之间可以不同,诸如膜密度、机械强度、多孔MSQ中的C-H键的量、多孔MSQ中的梯型Si-O键的量以及多孔MSQ中的笼型Si-O键的量。例如,膜的组分和特性可以随着远离第一SiCN膜103而逐渐变化。
在数据比不连续变化的半导体器件100的不连续表面处,不构成给出第一多孔MSQ膜105和第二多孔MSQ膜121的组分和特性的不连续变化的不连续表面。尤其当低数据比区域位于高数据比区域上时,在低数据比区域的底表面所在高度处不存在多孔MSQ膜的组分或者特性不连续的表面。具体地说,分别地,第一多孔MSQ膜105和第二多孔MSQ膜121的组分和特性不连续的表面既不存在于与第一互连108和第一栓塞114的边界表面相同的高度处,也不存在于与第二互连124和第二栓塞130的边界表面相同的高度处。
此外,在至少从与第一互连108的底表面相同的高度到与互连114的底表面相同的高度的范围内、以及从与第二互连124的底表面相同的高度到与第二栓塞130的底表面相同的高度的范围内,分别不存在第一多孔MSQ膜105和第二多孔MSQ膜121的组分或者特性不连续的表面,其中所述范围包括两端。
此外,第一多孔MSQ膜105和第二多孔MSQ膜121的组分或者特性不连续的表面会位于如下区域中,该区域分别在高于第一栓塞114的底表面和等于或者低于第一栓塞114的顶表面的高度之内,以及高于第二栓塞130的底表面和等于或者低于第二栓塞130的顶表面的高度之内。更优选的是,第一多孔MSQ膜105和第二多孔MSQ膜121构造为在整个膜上都不具有组分或者特性不连续的表面,由此实现了第一多孔MSQ膜105和第二多孔MSQ膜121的更大的热循环特性。
第一SiCN膜103和第二SiCN膜119分别与第一互连108和第二互连124的下侧表面接触,并作为支撑第一互连108和第二互连124的膜。第二SiCN膜119起到位于第二多孔MSQ膜121和第一多孔MSQ膜105之间的加固膜的作用,并且在二者之间的边界中的互连结构之间进行加强,在该处,金属膜的数据比急剧增加。
第一互连108、Cu硅化物层111、第一栓塞114以及Cu硅化物层117以此顺序掩埋在第一多孔MSQ膜105中并且以此顺序彼此连接。连接到Cu硅化物层117的第二互连124、Cu硅化物层127、第二栓塞130以及Cu硅化物层133以此顺序掩埋在第二多孔MSQ膜121中并且以此顺序连接。第一栓塞114和第二栓塞130是连接到各互连的导电栓塞。
第一互连108位于从第一多孔MSQ膜105到第一SiCN膜103,并且具有第一Cu互连109和覆盖第一Cu互连109的侧表面和底表面的阻挡金属膜107,第一Cu互连109的顶表面被Cu硅化物层111覆盖。第一互连108在下侧被第一SiCN膜103支撑并且被固定在多层膜101上。
在Cu硅化物层111上,提供第一栓塞114,其电连接到第一互连108。第一栓塞114具有第一Cu通路115和覆盖第一Cu通路115的侧面和底表面的阻挡金属膜113,并且第一Cu通路115的顶表面被Cu硅化物层117覆盖。
第二互连124位于从第二多孔MSQ膜121到第二SiCN膜119,并且具有第二Cu互连125和覆盖第二Cu互连125的侧面和底表面的阻挡金属膜123,第二Cu互连125的顶表面被Cu硅化物层127覆盖。第二互连124在下侧被第二SiCN膜119支撑并且被固定在第一多孔MSQ膜105上。
在硅化物层127上,提供第二栓塞130,其电连接到第二互连124。第二栓塞130具有第二Cu通路131和覆盖第二Cu通路131的侧面和底表面的阻挡金属膜129,并且第二Cu通路131的顶表面被Cu硅化物层133覆盖。
除了第一栓塞114被代替之外,图10A和10B共享半导体器件110的基本构造,图10B示出了具有阻挡金属膜112和第一Cu通路116的第一栓塞118,并且第一栓塞118具有不对准部137,此外,图10B示出了具有阻挡金属膜128和第二Cu通路132的第二栓塞134,其代替第二栓塞130,并且第二栓塞134具有不对准部139。
下文中将描述图10A所示的制造半导体器件100的方法。图1A到9A是制造半导体器件100的工艺的剖面图。
根据本实施例,制造方法包括:
以此顺序形成第一加固绝缘膜(第一SiCN膜103)和第一牺牲层间膜(第一SiO2膜141);
从第一SiO2膜141到第一SiCN膜103形成第一沟槽,然后在第一沟槽中,形成由含铜金属制成的第一互连(第一互连108),并且形成覆盖第一互连108的上部的第一帽金属膜(Cu硅化物层111);
以此顺序在Cu硅化物层111的上部上形成牺牲阻挡介电膜(牺牲SiCN膜143)和第二牺牲层间膜(第二牺牲SiO2膜145);
顺序地选择性地去除第二牺牲SiO2膜145和牺牲SiCN膜143从而形成具有一孔,在该孔的底部暴露出Cu硅化物层111,在该孔中形成导电膜从而形成连接到第一互连108的导电通路(第一栓塞114),并且在第一栓塞114上形成覆盖第一栓塞114的第二帽金属膜(Cu硅化物层117);
在形成Cu硅化物层117的工艺之后,通过顺序地去除第二牺牲SiO2膜145、牺牲SiCN膜143以及第一SiO2膜141来暴露出第一互连108和第一栓塞114;以及
从第一互连108的侧壁到第一栓塞114的侧壁,形成覆盖第一互连108的侧壁、第一互连108的上部以及第一栓塞114的侧壁的绝缘膜(第一多孔MSQ膜105)。
重复上述工艺以形成多层互连结构。
在形成由低介电常数材料制成的第一多孔MSQ膜105的工艺之后,执行致密化工艺以使第一多孔MSQ膜105的上部比其下部更致密。使第一多孔MSQ膜105的上部比其下部更致密的致密化工艺包括将电子束或者紫外光照射到第一多孔MSQ膜105上。
在形成第一多孔MSQ膜105之后,后续工艺包括:以此顺序在第一多孔MSQ膜105的上部上形成第二加固绝缘膜(第二SiCN膜119)和第三牺牲层间膜(SiO2膜;未示出);以及形成从第三牺牲层间膜到第二SiCN膜119的第二沟槽,形成由含铜金属制成的第二互连(第二互连124)并且形成覆盖第二互连124的上部的第三帽金属膜(Cu硅化物层127)。
在本实施例中的制造方法还包括如下工艺:在形成第一多孔MSQ膜105之后,通过机械化学抛光来对第一多孔MSQ膜105进行平坦化;以及然后在第一栓塞114上的Cu硅化物层117处停止抛光工艺。
下文中将更详细地描述用于制造半导体器件100的方法。
首先,如图1A所示,形成大约20nm到50nm的第一SiCN膜103作为第一加固绝缘膜,然后形成大约70nm到200nm层厚的第一SiO2膜141作为第一牺牲层间膜。使用光刻技术处理第一SiCN膜103和第一SiO2膜141以形成互连沟槽。
接着,形成大约10nm到30nm的含Ta金属膜作为阻挡金属膜107,然后通过溅射形成大约50nm到150nm的Cu籽晶膜(未示出)。此外,通过镀的方法来形成大约200nm到600nm的Cu镀膜,从而掩埋互连沟槽以通过CMP(化学机械抛光)在互连沟槽中形成掩埋的第一互连108。
然后,第一Cu互连109的上部被硅化,具体地说,对第一Cu互连109的上部进行SiH4工艺,以选择性地形成大约2nm到15nm的铜硅化物层111,其起到帽金属的作用。在Cu硅化物层111上形成大约20nm到50nm的牺牲SiCN膜143,并且在牺牲SiCN膜143上形成大约70nm到200nm的第二牺牲SiO2膜145。
通过使用光刻技术形成穿透第二牺牲SiO2膜145和牺牲SiCN膜143的通路孔147,并且在第二牺牲SiO2膜145的整个上表面上形成大约10nm到30nm的含Ta金属膜作为阻挡金属膜113(图1A)。通过溅射形成大约50nm到150nm的Cu籽晶膜(未示出)。通过镀的方法自Cu籽晶膜开始生长大约100nm到300nm的Cu镀膜,并掩埋在通路孔147中。
通过CMP去除位于通路孔147的外部的Cu镀膜,以产生第一Cu通路115,由此形成掩埋在通路孔147中的第一栓塞114。第一Cu通路115的上表面被硅化,具体地是执行SiH4处理。这在第一Cu通路115的上部上选择性地形成了大约2nm到15nm的Cu硅化物层117,其起帽金属的作用(见图2A)。
Cu硅化物层117用作刻蚀掩模,并用湿法刻蚀去除第二牺牲SiO2膜145(见图3A)。例如使用缓冲HF(氟酸)作为刻蚀剂。然后,通过干法刻蚀去除牺牲SiCN膜143(见图4A)。通过使用缓冲的HF的湿法刻蚀来去除第一SiO2膜141(见图5A),由此暴露出第一栓塞114和第一互连108的侧面和上表面。
以此方式,去除作为牺牲膜提供的绝缘膜,然后涂布大约70nm到200nm的第一多孔MSQ膜105,从而覆盖硅衬底的整个上表面,并且填充第一互连108之间的间隙,然后烘焙以获得与第一栓塞114和第一互连108相接触的绝缘膜(见图6A)。此后,执行EB处理,其中将EB(电子束)照射到第一多孔MSQ膜105的上表面上,以便增加膜105的强度(图7A)。然后,在第一多孔MSQ膜105的CMP工艺中使用Cu硅化物层117作为CMP掩模,由此对第一多孔MSQ膜105的表面进行平坦化(图8A)。
从第二SiCN膜119的形成工艺(图9A)起重复上述工序,以在牺牲膜中形成阻挡金属膜123、第二Cu互连125、Cu硅化物层127、阻挡金属膜129、第二Cu通路131、以及Cu硅化物层133。接着,去除牺牲膜,并且用第二多孔MSQ膜121填充去除了牺牲膜的区域,以增加强度。这样,在硅衬底(未示出)上形成了图10A所示的多层互连结构,从而获得了半导体器件100。
根据图1B到9B所示的工序来制造图10B所示的半导体器件110。图1B到9B的每个工艺对应于图1A到9A的每个工艺。半导体器件110的基本制造工艺与半导体器件100的基本制造工艺相似,除了如图1B所示,在第一Cu互连109的上部上形成通路孔期间发生不对准,以及代替第一Cu通路115,将第一Cu通路116掩埋在具有不对准部137的通路孔148中。半导体器件110和100之间的基本制造工艺的其它不同在于:代替第二Cu通路131,在第二Cu互连125的上部上形成具有不对准部139的第二Cu通路132。
下文中将描述本实施例的效果。
在本实施例中,从第一互连108的侧表面到第一栓塞114的侧表面连续地且一体地形成第一多孔MSQ膜105。形成第一互连108和第一栓塞114,然后形成第一多孔MSQ膜105。第二多孔MSQ膜121具有与第一多孔MSQ膜105相似的构造。帽金属位于互连和栓塞的上部。此外,第一互连108和第二互连124的每个侧表面的下部分别由第一SiCN膜103和第二SiCN膜119支撑。该构造给出了下面的效果。
首先,在形成第一互连108和第一栓塞114之后掩埋第一多孔MSQ膜105。该顺序消除了在形成工艺之后精细化第一多孔MSQ膜105的工艺,因而既不需要刻蚀也不需要灰化第一多孔MSQ膜105。消除该工艺能够降低对第一互连108和第一栓塞114之间的界面附近的损害。这防止了参考图14在上面描述的损害层的形成,并且确保了制造稳定性。
在形成第一互连108之后,在第一Cu互连109上形成Cu硅化物层111和通路孔147,层叠将在后面的工艺被去除的第一SiO2膜141和牺牲SiCN膜143(图1A和1B)。如图1B和10B所示,即使当在形成通路孔148期间发生不对准,也能控制刻蚀使得在不对准部137处不对准不会在深度方向上前进,由此抑制了构成第一栓塞114的掩埋金属膜113和第一Cu通路115的有缺陷的掩埋特性。在与参考图15在上面提到的构造相比较存在不对准的情况下,该构造能够确保更大的制造稳定性。在形成通路孔147和通路孔148期间,对起到刻蚀停止层作用的牺牲SiCN膜143和第一SiO2膜141进行处理,而不对第一多孔MSQ膜105中的所谓的无底通路进行处理,由此能够以稳定的方式在第一多孔MSQ膜105中制造第一栓塞118,即使当由于发生不对准而存在无底通路。
在本实施例中,第一SiCN膜103和第二SiCN膜119分别形成在第一互连108和第二互连124的下部的侧壁上,从而支撑各互连的下部,并且加固和保护各互连的下部的界面。对于该构造,能够使互连在用于去除第一SiO2膜141的刻蚀工艺期间不剥离。与参考图16B在上面提到的情况相比,这确保了更稳定的制作。在互连的下部的侧壁处形成的SiCN膜固定了互连,并且加固了多孔MSQ膜的底侧以使其机械强度更高,即使在使用具有相对低密度和低机械强度的多孔MSQ膜作为绝缘中间层时。这还能够解决在组装期间的键合工艺。
在本实施例中,在制造工艺期间去除了位于互连层和栓塞层之间的牺牲SiCN膜143,并且第一多孔MSQ膜105是连续且一体的膜。该构造在如下范围内不具有由不同材料制成的膜的层叠结构,所述范围是从第一互连108的底表面所在的高度到第一栓塞114的底表面所在的高度。在第一栓塞114连接到第一互连108之上的构造中,由于形成有第一栓塞114的层的数据比显著地小于形成有第一互连108的层的数据比,所以在它们的边界线区域中数据比极大地且不连续地变化。如参考图14在上面提到的现有构造中,在数据比极大地变化的边界线区域处,在相对致密的阻挡介电膜207和第二低k膜209之间存在界面。在数据比的不连续表面和膜结构的不连续表面处于相同的高度的该构造中,在T/C循环中,剥离经常发生在具有低数据比的栓塞形成层的绝缘中间层,也就是第二低k膜209和阻挡介电膜207之间的界面处。
相反,在本实施例中,分别地,在第一栓塞114和第二栓塞130的底表面的高度处,第一多孔MSQ膜105和第二多孔MSQ膜121与其它膜之间不存在界面。第一多孔MSQ膜105和第二多孔MSQ膜121是这样的膜,其中组分和特性沿着垂直于膜的方向逐渐变化,并且分别在第一栓塞114和第二栓塞130的底表面所在高度处不存在组分或者特性不连续的表面。因此,不会发生由于堆叠的层膜中热膨胀系数之间的差异引起剥离,并且能够得到更大的热循环特性。此外,使该构造具有拥有不同介电常数的无界面膜,从而能够更有效地降低绝缘中间层的介电常数。
在从第一互连108的底表面所在的高度到栓塞114的底表面所在的高度的范围内不存在组分和特性不连续表面,从而注定能够抑制第一多孔MSQ膜105中的低密度区域的剥离或者恶化。在第一栓塞114的底表面之上的高度,第一多孔MSQ膜105比其下部更致密,从而会存在组分和特性不连续的表面,但是,优选地,第一多孔MSQ膜105的组分和特性逐渐地变化,并且不存在不连续表面。这可以进一步提高互连结构的稳定制作。
此外,在本实施例中,第一多孔MSQ膜105在第一多孔MSQ膜105的上表面附近,也就是形成有第二互连124的层的界面附近,非常致密,并且实现了更大的机械强度。第二SiCN膜119位于第一多孔MSQ膜105和第二多孔MSQ膜121之间,并且第二SiCN膜119起到第二互连124的支撑膜的作用。
因而,在垂直于半导体衬底(未示出)的方向上,具有较大数据比的金属膜的区域具有低密度的第二多孔MSQ膜121,从而充分降低相对介电常数,并因而降低互连两端的电容。因此,在形成有第一栓塞114的区域的边界表面,提供第二SiCN膜119作为第二多孔MSQ膜121的下层,从而第二SiCN膜119加固了第二多孔MSQ膜121,以便确保由于形成有多孔MSQ膜而引起强度迅速下降的区域中的足够的强度。借此,连续且一体地提供第二多孔MSQ膜121和第一多孔MSQ膜105的每一个,从而在互连结构中获得比用单一膜覆盖第一栓塞114和第二互连124之间的界面的附近的情况中更大的强度。
如上所述,在本实施例中,去除了第一互连108和第一栓塞114之间的刻蚀停止层以及第二互连124和第二栓塞130之间的刻蚀停止层,并且第一多孔MSQ膜105膜和第二多孔MSQ膜121连续地形成,从而实现各膜的较低密度和较低介电常数。此外,在本实施例中,数据比迅速增加的第一互连114和第二互连124之间的区域被致密化,并且还提供第一SiCN膜103和第二SiCN膜119,以加固第一多孔MSQ膜105和第二多孔MSQ膜121,因此实现了较低的介电常数和更稳定的制作。
此外,在本实施例中,通过单大马士革工艺形成互连和栓塞,并且互连和栓塞的上部具有硅化物层作为帽金属膜,从而降低了构成互连和栓塞的铜或者含铜金属的迁移率。与上面在背景技术中描述的双大马士革工艺、或者在美国专利No.6413852、以及S.Nitta等人的“Successful Dual Damascene Integration of Extreme Low k Materials(k<2.0)Using a Novel Gap Fill Based Integration Scheme”(2004.12),IEDM 2004 Proceedings,IEEE,U.S.相比较,单大马士革工艺能够增加产量并实现更稳定的制作。
此外,在本发明中,起金属帽作用的Cu硅化物层117和Cu硅化物层133分别位于第一栓塞114和第二栓塞130的上部上。这能够抑制铜互连和连接到铜互连的上部的通路栓塞之间的界面附近的所谓的应力引发空洞(SIV)。这还抑制了接触不良或者互连电阻的增加,从而改善了半导体器件的可靠性。
本实施例描述了第一多孔MSQ膜用作低介电常数绝缘膜的情况,但是,本实施例或者下面的实施例可以使用聚有机硅氧烷(polyorganosiloxane)膜、含氢硅氧烷(siloxane hydride)膜、或者它们的多孔膜作为绝缘膜。这些膜的制造方法不受限制,可以使用化学气相淀积方法或者涂覆法(application method)。
聚有机硅氧烷包括例如:
甲基聚硅氧烷(methylpolysiloxane),诸如MSQ(甲基倍半硅氧烷);
甲基含氢聚硅氧烷(methyl hydrogen polysiloxane),诸如MHSQ(甲基含氢倍半硅氧烷);
OSG(有机硅化物玻璃);以及
CDO(掺碳氧化物)。
可以替换地,含氢硅氧烷包括例如HSQ(含氢倍半硅氧烷);以及
梯型氧化物(ladder-oxide),诸如梯型含氢倍半硅氧烷。梯型含氢倍半硅氧烷是具有梯型分子结构的聚合物,就防止互连延迟的目的而言,其优选地具有不大于2.9的介电常数,并且优选地具有较低的膜密度。例如,膜密度优选地不小于1.50g/cm2并且不大于1.58g/cm2,并且633nm的折射率优选地不小于1.38且不大于1.40。作为这种膜材料的具体例子,可以举例示出所谓的“梯型氧化物”或者“L-OxTM”(商标)(下文中称作“L-Ox”)。也可以使用L-Ox的多孔绝缘材料。
作为低介电常数绝缘膜的材料,可以使用有机树脂膜,诸如聚对苯二甲撑(parylene)树脂;
氟树脂,诸如“Cytop”(注册商标);
无氟含芳香基(non-fluoride aromatic-containing)有机树脂,诸如“SiLK”(注册商标);
聚芳醚(PAE);以及
聚苯撑(polyphenylene)。
低介电常数膜的相对介电常数可以不大于3.5,优选地,不大于3.0。低介电常数膜可以是包含Si、O和H作为组成元素或者Si、C、O和H作为组成元素的膜。但是,甚至利用这样的其它膜时,通过采用在上面实施例中描述的构造,能够获得与图1A和1B所示的半导体器件100或者半导体器件110相似的效果。
在本实施例中,描述了如下构造,其中SiCN膜是位于第一多孔MSQ膜105和第二多孔MSQ膜121的正下方的加固绝缘膜,但是,加固绝缘膜也可以是比第一多孔MSQ膜105和第二多孔MSQ膜121更致密且能够加固具有较低密度和强度的区域的任何膜,所述区域是诸如位于互连层和栓塞层之间的边界处的具有低介电常数的绝缘中间层的表面,具体地说,低介电常数的绝缘中间层的底表面附近。例如,在此,SiC膜或者SiON膜可以用来代替SiCN膜。
关于低介电常数膜和加固绝缘膜的组合,绝缘膜可以是上述聚硅氧烷膜、含氢硅氧烷膜、或者它们的多孔膜,加固绝缘膜可以是SiC膜、SiCN膜或者SiON膜。更优选的是,使用多孔SiOC膜和SiC的组合。
在下面的实施例中,主要描述与第一实施例的不同。
(第二实施例)
第一实施例示出了第一多孔MSQ膜105和第二多孔MSQ膜121是实心的构造,但是,该构造可以是这样的,即空气间隙位于绝缘膜中,其中从第一互连108的侧壁到第一栓塞114的侧壁提供绝缘膜,从而覆盖第一互连108的侧壁、第一互连108的上部以及第一栓塞114的侧壁。类似地,从第二互连124的侧壁到第二栓塞130的侧壁提供的绝缘膜可以具有空气间隙。在本实施例中,描述这种构造。
图11A和11B是示出了本实施例的半导体器件的构造的剖面图。图11B示出了在图11A所示的半导体器件150中的互连和通路的连接点处发生不对准的半导体器件160。
图11A和11B所示的半导体器件分别具有图10A和10B所示的半导体器件的基本构造,但是,分别提供第一SiOC膜151和第二SiOC膜153来代替使用第一多孔MSQ膜105和第二多孔MSQ膜121。第一SiOC膜151和第二SiOC膜153分别具有空气间隙155和空气间隙157。空气间隙155和空气间隙157分别位于第一互连108之间和第二互连124之间以及它们的周围。第一SiOC膜151和第二SiOC膜153可以是在膜中不具有组分和密度强度的分布的膜。
下文中将描述用于制造本实施例的半导体器件的方法。在半导体器件150和半导体器件160的制造工艺中,可以分别使用半导体器件100和半导体器件110的制造工艺。分别提供第一SiOC膜151和第二SiOC膜153,来代替提供第一多孔MSQ膜105和第二多孔MSQ膜121的工艺。用于提供第一SiOC膜151和第二SiOC膜153的工艺分别包括用于提供空气间隙155和空气间隙157的工艺。
用于制造半导体器件150的方法使用参考图1A到5A的上述工艺,具体地说,将第一SiCN膜103、第一互连108和第一栓塞114暴露在多层膜101上。
作为低介电常数膜的第一SiOC膜151淀积在第一SiCN膜103上,并且填充在第一互连108之间以形成空气间隙155。此时,从第一SiCN膜103的顶表面到Cu硅化物层117的顶表面的高度应该比第一互连108的宽度大。这使得掩埋第一SiOC膜151的凹槽的宽深比较大,并且还确保了提供空气间隙155。用CVD方法来淀积第一SiOC膜151,并且淀积条件设置在例如不低于350℃并且不高于400℃,并且不小于5Torr且不大于8Torr。因而,在第一SiOC膜151中形成空气间隙155。
接着,Cu硅化物层117用作CMP掩模并且对第一SiOC膜151执行CMP工艺,由此使第一SiOC膜151的表面平坦化(图8A)。根据第二SiCN膜119的形成工艺(图9A),重复上述工序以在第一SiOC膜151上暴露出第二SiCN膜119、第二互连124和第二栓塞130。第二SiOC膜153被掩埋在第二Cu互连125之间和第二栓塞130之间,同时,在第二SiOC膜153中提供了空气间隙157。形成第二SiOC膜153的方法可以与形成第一SiOC膜151的方法相同。执行第二SiOC膜153的平面化以形成图11A所示的多层互连结构,从而产生半导体器件150。
以与半导体器件150基本相似的方式来制造图11B所示的半导体器件160,但是,如图1B所示,在第一Cu互连109上形成通路孔的工艺期间发生的不对准会引起第一Cu互连116掩埋在具有不对准部137的通路孔148中,代替第一Cu通路115。还在第二Cu互连125的上部上形成具有不对准部139的第二Cu通路132,代替第二Cu通路131。
下文中将描述本实施例的效果。
同样,在本实施例中,从第一互连108的侧表面到第一栓塞114的侧表面连续地且一体地提供第一SiOC膜151。在形成第一互连108和第一栓塞114之后形成第一SiOC膜151。向上面那样类似地构造第二SiOC膜153的层。帽金属位于互连和栓塞的上部。此外,第一互连108和第二互连124的下侧表面分别被第一SiCN膜103和第二SiCN膜119支撑。这样的构造给出了与第一实施例相似的效果。
起到绝缘中间层作用的第一SiOC膜151和第二SiOC膜153分别具有空气间隙155和空气间隙157,从而能够满意地降低第一互连108之间和第二互连124之间的互连电容。因此在本实施例中,绝缘中间层所需的相对介电常数不象第一实施例中的情况那样高。这样的膜可以具有较低的孔隙度以及增加的密度,并由此实现了绝缘中间层的更大强度。这可以进一步消除诸如电子束照射或者紫外光照射的强化工艺,因而简化了制造工艺。
在本实施例中描述了具有空气间隙155的绝缘中间层是第一SiOC膜151,且具有空气间隙157的绝缘中间层是第二SiOC膜153的构造。但是,这样的绝缘膜可以是在第一实施例中示出的其它材料,或者可以使用SiO2膜代替低介电常数膜。
此外,在本实施例中,绝缘中间层的相对介电常数可以是例如不大于3.5,优选地不大于3.2,以便理想地降低第一互连108之间和第二互连124之间的互连电容。或者绝缘中间层的相对介电常数可以是例如不小于2.0,优选地不小于2.5,以便进一步强化绝缘中间层并进一步改善热循环特性。
(第三实施例)
上面的实施例描述了作为帽金属膜的Cu硅化物层位于通路栓塞上的构造,但是,帽金属膜可以不位于通路栓塞上。作为例子,将描述第一实施例的构造,但是该实施例的构造可以被应用在第二实施例中。
图12A和12B是示出了本实施例的半导体器件的剖面图。图12B所示的半导体器件172示出了图12A中所示的半导体器件170中的互连和通路的结合处发生不对准的构造。
在基本构造中,图12A和12B中的半导体器件分别与图10A和10B中的半导体器件相似,除了在第一栓塞114、第一栓塞118、第二栓塞130以及第二栓塞134的上部没有Cu硅化物层之外。相反,提供第一栓塞114和第一栓塞118,以与第二SiCN膜119接触,并且第一多孔MSQ膜105的上部被第二SiCN膜119覆盖。
现在,将在下文中描述用于制造半导体器件170和半导体器件172的方法。本实施例的制造方法包括如下工艺:形成绝缘膜(第一多孔MSQ膜105);使用化学机械抛光来平坦化第一多孔MSQ膜105;以及去除通路(第一栓塞114)上的第一多孔MSQ膜105和第二金属帽金属膜(Cu硅化物层117)。
更具体地说,用于制造每个半导体器件170和半导体器件172的方法分别可以使用在第一实施例中描述的用于制造每个半导体器件100和半导体器件110的方法。通过使用Cu硅化物层117作为CMP掩模来对第一多孔MSQ膜105执行CMP工艺,以便使第一多孔MSQ膜105平坦化(图8A),然后通过CMP方法去除Cu硅化物层117。还对第二多孔MSQ膜121进行平坦化,然后通过CMP方法去除Cu硅化物层133。这些Cu硅化物层通过例如使用Cu硅化物层117作为掩模来去除,进行研磨以去除堆叠在Cu硅化物层117上的第一多孔MSQ膜105,然后通过选择浆料来研磨Cu硅化物层,该浆料只研磨Cu硅化物层而不研磨Cu膜。
在与半导体器件170的制造工艺基本相似的图12B所示的半导体器件172的制造工艺中,当在第一Cu互连109的上部上形成通路孔时发生不对准,代替第一Cu通路115,将第一Cu通路116掩埋在具有不对称部137的通路孔148中。而且,代替第二Cu通路131,在第二Cu互连125的上部上形成具有不对准部139的第二Cu通路132。
根据本实施例,没有帽金属位于第一栓塞114和第二栓塞130上。该构造能够有效地降低栓塞和其上的互连之间的接触电阻。在本实施例中,具有第一栓塞114和118的第一多孔MSQ膜105的上部被第二SiC膜119覆盖,从而能够减少Cu向绝缘中间层的扩散。
已经参考一些实施例描述了本发明。显然,对于本领域技术人员来说,这些实施例只是说明性的,许多变化是可能的,并且本发明包含这样的变化。
在上述实施例中,例如,绝缘膜的密度可以通过TEM(透射电子显微镜)观察半导体器件的剖面来确定。
在本实施例中,已经描述了栓塞位于互连层上的双层互连结构,但是,不特别限定层叠的层的数量。甚至在层叠三层或者更多层的情况中,本实施例的构造也可以被应用,以便有效地降低互连电容和实现稳定的制作。
尽管在本实施例中已经描述了通过CVD选择性地生长Cu硅化物层作为帽金属膜,但是也可以选择性地生长氮化硅铜(Cu silicidenitride)层。可以替换地,可以通过选择性地镀CoWP或者CoWB膜来形成帽金属膜,或者可以通过CVD选择性地生长W膜。
(例子)
在本例子中,对于作为低介电常数绝缘中间层的材料的多孔SiOC,研究了淀积之后通过后处理改变膜质量。
形成具有大约200nm厚度的多孔SiOC膜,并且用EB(电子束)照射,并且改善膜的质量。例如,给出100ppm或者更小的氧浓度的气氛下、在0.2mC/cm2和350℃时的EB照射,在介电常数为2.35时,机械强度(模量)直到7Gpa,这表示与EB处理之前相比改善了大约2倍或者更多。
EB照射处理之前和之后的多孔SiOC膜的剖面的TEM(透射电子显微镜)表明EB照射侧具有比相反侧更高的密度。随着与EB照射侧的后面的距离增加,膜具有更高的密度,并且不存在密度不连续地变化的区域。
然后用FTIR光谱仪(傅立叶透射红外光谱仪)来评估膜质量。图13是多孔SiOC膜的FTIR光谱。图13给出了EB照射之前,在约1150cm-1(1100到1200cm-1)处具有可能表示笼型Si-O结构的峰的键、在约1050cm-1(1000到1100cm-1)处具有可能表示梯型Si-O结构的峰的键的吸收光谱。对比地,在上述EB处理之后,反映笼型Si-O结构的1150cm-1附近的峰值强度降低,而反映梯型Si-O结构的1050cm-1附近的峰值强度增加。因而,EB照射能够改变Si-O键状态。
对于具有较大厚度的多孔SiOC膜,如上所述那样对膜进行处理。那么,在深度方向上产生膜结构的分布。具体地说,表面部分的梯型Si-O结构比较深部分的梯型Si-O结构更丰富,而较深部分的笼型Si-O结构比较浅部分的笼型Si-O结构丰富。
EB照射降低了如下键的吸收带的峰值强度,所述键具有3000cm-1附近的峰,该峰源于C-H键。这表明在EB照射面的碳浓度变得比相反面更低。
通过进一步增加EB剂量,能够进一步改善机械强度。在EB照射之后的膜中观察到,与照射之前相比,在EB照射面中,Si-O键增加而C-H键降低。
当使用UV(紫外)线灯代替EB时也能够观察到相似的趋势。
当时用氢化的聚硅氧烷(hydrogenated polysiloxane)代替SiOC作为低介电常数材料时,也能够观察到类似EB照射的效果。
显然,本发明不限于上述实施例,其可以被修改和变化而不偏离本发明的范围和精神。

Claims (20)

1.一种具有互连结构的半导体器件,包括:
由含铜金属制成的第一互连;
第一帽金属膜,其与所述第一互连的上部接触并且覆盖所述第一互连的上部;
导电通路,其位于所述第一帽金属膜的上部上并且连接到所述第一互连;
绝缘膜,其位于从所述第一互连的侧壁到所述通路的侧壁并且形成为覆盖所述第一互连的侧壁、所述第一互连的上部和所述通路的侧壁;以及
加固绝缘膜,其设置在所述绝缘膜之下并且与所述第一互连的侧壁的下部接触,且具有比所述绝缘膜大的膜密度。
2.根据权利要求1的半导体器件,
其中所述互连结构与所述通路的上部接触并且还包含覆盖所述通路的上部的第二帽金属膜。
3.根据权利要求1的半导体器件,
其中所述绝缘膜由低介电常数材料构成,并且所述绝缘膜的上部比所述绝缘膜的下部致密。
4.根据权利要求1的半导体器件,
其中所述绝缘膜由低介电常数材料构成,并且所述绝缘膜的上部具有比其下部大的机械强度。
5.根据权利要求1的半导体器件,
其中所述绝缘膜由包含碳作为组成元素的低介电常数材料构成,并且所述绝缘膜的上部的碳浓度比所述绝缘膜的下部的碳浓度低。
6.根据权利要求3的半导体器件,
其中所述绝缘膜是被电子束或者紫外光照射的膜。
7.根据权利要求6的半导体器件,
其中从聚有机硅氧烷膜、含氢硅氧烷膜、或者所述聚有机硅氧烷膜或所述含氢硅氧烷膜的多孔膜组成的组中选择所述绝缘膜,并且
从SiC膜、SiCN膜或者SiON膜组成的组中选择所述加固绝缘膜。
8.根据权利要求7的半导体器件,
其中在所述低介电常数材料的红外吸收光谱中,具有在1150cm-1附近的其峰值的红外吸收带中的峰值强度I1在所述绝缘膜的上部比在所述绝缘膜的下部小。
9.根据权利要求7的半导体器件,
其中在所述低介电常数材料的红外吸收光谱中,具有在1050cm-1附近的其峰值的红外吸收带中的峰值强度I2在所述绝缘膜的上部比在所述绝缘膜的下部大。
10.根据权利要求7的半导体器件,
其中在所述低介电常数材料的红外吸收光谱中,具有在3000cm-1附近的其峰值的红外吸收带中的峰值强度I3在所述绝缘膜的上部比在所述绝缘膜的下部小。
11.根据权利要求1的半导体器件,其中所述绝缘膜具有位于所述绝缘膜中的空气间隙。
12.根据权利要求1的半导体器件,还包括:
第二互连,其由含铜的金属制成,并且位于所述通路的上部以连接到所述通路;以及
第二加固绝缘膜,其被设置为所述绝缘膜之上的层,从而与所述第二互连的侧壁的下部接触,其具有比所述绝缘膜大的膜密度。
13.根据权利要求1的半导体器件,
其中多个所述互连结构是分层的,并且
在一个所述互连结构中的所述通路连接到位于所述一个互连结构的上部的另一个互连结构中的所述第一互连。
14.一种用于制造半导体器件的方法,包括:
以此顺序形成第一加固绝缘膜和第一牺牲层间膜;
形成从所述第一牺牲层间膜到所述第一加固绝缘膜的第一沟槽,然后在所述第一沟槽中,形成由含铜金属制成的第一互连,并且形成覆盖所述第一互连的上部的第一帽金属膜;
以此顺序在所述第一帽金属膜的上部上形成牺牲阻挡介电膜和第二牺牲层间膜;
顺序地选择性地去除所述第二牺牲层间膜和所述牺牲阻挡介电膜从而形成一孔,所述第一帽金属膜暴露在所述孔的底部,通过在所述孔中形成导电膜来形成连接到所述第一互连的导电通路,并且在所述通路的上部形成覆盖所述通路的第二帽金属膜;
在所述形成所述第二帽金属膜之后,通过顺序地选择性地去除所述第二牺牲层间膜、所述牺牲阻挡介电膜以及所述第一牺牲层间膜来暴露出所述第一互连和所述通路;以及
从所述第一互连的侧壁到所述通路的侧壁,形成覆盖所述第一互连的侧壁、所述第一互连的上部以及连接到所述第一互连的所述通路的侧壁的绝缘膜。
15.根据权利要求14的用于制造半导体器件的方法,
其中所述绝缘膜由低介电常数材料构成,并且
并且该制造方法还包括在所述形成所述绝缘膜之后,使所述绝缘膜的上部比所述绝缘膜的下部致密。
16.根据权利要求15的用于制造半导体器件的方法,
其中所述使所述绝缘膜的上部比所述绝缘膜的下部致密包括向所述绝缘膜上照射电子束或者紫外光。
17.根据权利要求14的用于制造半导体器件的方法,其中所述形成所述绝缘膜包括在所述绝缘膜中提供空气间隙。
18.根据权利要求14的用于制造半导体器件的方法,还包括:
在所述形成所述绝缘膜之后,以此顺序在所述绝缘膜的上部上形成第二加固绝缘膜和第三牺牲层间膜;以及
形成从所述第三牺牲层间膜到所述第二加固绝缘膜的第二沟槽,在所述第二沟槽中形成由含铜金属构成的第二互连,以及形成覆盖所述第二互连的上部的第三帽金属膜。
19.根据权利要求14的用于制造半导体器件的方法,还包括:
在所述形成所述绝缘膜之后,对所述绝缘膜执行机械化学抛光,并且在所述通路上的所述第二帽金属膜处停止抛光。
20.根据权利要求14的用于制造半导体器件的方法,还包括:
在所述形成所述绝缘膜之后,通过对所述绝缘膜执行机械化学抛光,去除所述绝缘膜和所述通路上的所述第二帽金属膜。
CNB2006100678301A 2005-03-11 2006-03-13 半导体装置及其制造方法 Expired - Fee Related CN100411137C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005069874 2005-03-11
JP2005069874A JP5180426B2 (ja) 2005-03-11 2005-03-11 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2008101289140A Division CN101335257B (zh) 2005-03-11 2006-03-13 半导体装置及其制造方法

Publications (2)

Publication Number Publication Date
CN1832132A true CN1832132A (zh) 2006-09-13
CN100411137C CN100411137C (zh) 2008-08-13

Family

ID=36994277

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2006100678301A Expired - Fee Related CN100411137C (zh) 2005-03-11 2006-03-13 半导体装置及其制造方法
CN2008101289140A Expired - Fee Related CN101335257B (zh) 2005-03-11 2006-03-13 半导体装置及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008101289140A Expired - Fee Related CN101335257B (zh) 2005-03-11 2006-03-13 半导体装置及其制造方法

Country Status (3)

Country Link
US (3) US7612453B2 (zh)
JP (1) JP5180426B2 (zh)
CN (2) CN100411137C (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795131B2 (en) 2006-12-15 2010-09-14 Touch Micro-System Technology Inc. Method of fabricating metal interconnects and inter-metal dielectric layer thereof
CN103094136A (zh) * 2011-11-01 2013-05-08 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN103811414A (zh) * 2012-11-14 2014-05-21 台湾积体电路制造股份有限公司 铜蚀刻集成方法
CN104025263A (zh) * 2011-12-30 2014-09-03 英特尔公司 自封闭的非对称互连结构
CN105556644B (zh) * 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070228571A1 (en) * 2006-04-04 2007-10-04 Chen-Hua Yu Interconnect structure having a silicide/germanide cap layer
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7470619B1 (en) * 2006-12-01 2008-12-30 Hrl Laboratories, Llc Interconnect with high aspect ratio plugged vias
JP5154140B2 (ja) 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
US20080228878A1 (en) * 2007-03-15 2008-09-18 Tao Wu Signaling Support for Grouping Data and Voice Users to Share the Radio Resources in Wireless Systems
TWI370532B (en) * 2009-11-12 2012-08-11 Ind Tech Res Inst Chip package structure and method for fabricating the same
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8637400B2 (en) 2011-06-21 2014-01-28 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
JP6009152B2 (ja) * 2011-09-15 2016-10-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN103165461B (zh) * 2011-12-19 2016-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
US9034664B2 (en) * 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
KR101985937B1 (ko) * 2012-07-11 2019-06-05 삼성전자주식회사 반도체 장치 및 그 제조방법
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9373586B2 (en) 2012-11-14 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper etching integration scheme
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
KR102003881B1 (ko) * 2013-02-13 2019-10-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101998788B1 (ko) 2013-04-22 2019-07-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9312204B2 (en) * 2013-09-27 2016-04-12 Intel Corporation Methods of forming parallel wires of different metal materials through double patterning and fill techniques
US9337085B2 (en) 2014-02-12 2016-05-10 Sandisk Technologies Inc. Air gap formation between bit lines with side protection
US9391019B2 (en) * 2014-03-20 2016-07-12 Intel Corporation Scalable interconnect structures with selective via posts
US9385037B2 (en) * 2014-04-16 2016-07-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement comprising metal cap and dielectric layer defining air gap
CN114744002A (zh) * 2015-03-31 2022-07-12 索尼半导体解决方案公司 半导体装置
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US10475796B1 (en) * 2018-06-28 2019-11-12 Micron Technology, Inc. Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry
US10461149B1 (en) 2018-06-28 2019-10-29 Micron Technology, Inc. Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5494859A (en) * 1994-02-04 1996-02-27 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
JPH08306787A (ja) * 1995-03-06 1996-11-22 Sanyo Electric Co Ltd 半導体装置及び半導体装置の製造方法
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
JP2971454B2 (ja) * 1997-08-21 1999-11-08 松下電子工業株式会社 半導体装置とその製造方法
JP3132557B2 (ja) 1998-04-03 2001-02-05 日本電気株式会社 半導体装置の製造方法
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6350679B1 (en) * 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
JP2001274237A (ja) * 2000-03-23 2001-10-05 Sanyo Electric Co Ltd 半導体装置の製造方法
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6500752B2 (en) * 2000-07-21 2002-12-31 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
CN1152424C (zh) 2000-08-02 2004-06-02 联华电子股份有限公司 降低内连线之间的电容的方法
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
JP3654830B2 (ja) * 2000-11-17 2005-06-02 松下電器産業株式会社 半導体装置及びその製造方法
JP2002158213A (ja) * 2000-11-21 2002-05-31 Sharp Corp 半導体装置の製造方法
US6703324B2 (en) * 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
TW480654B (en) * 2001-03-15 2002-03-21 Powerchip Semiconductor Corp Semiconductor device for reducing capacitance effect between metal interconnects
US6984892B2 (en) * 2001-03-28 2006-01-10 Lam Research Corporation Semiconductor structure implementing low-K dielectric materials and supporting stubs
JP2002299437A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法
JP2002353307A (ja) * 2001-05-25 2002-12-06 Toshiba Corp 半導体装置
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
JP2003209111A (ja) * 2002-01-17 2003-07-25 Sony Corp 半導体装置の製造方法
US7022619B2 (en) * 2002-03-27 2006-04-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating electronic device
TW559999B (en) * 2002-05-08 2003-11-01 Nec Corp Semiconductor device having silicon-including metal wiring layer and its manufacturing method
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US20040023552A1 (en) * 2002-07-30 2004-02-05 Wilson Chen Serial ATA cable
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
JP4086673B2 (ja) * 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4527948B2 (ja) * 2003-05-23 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4344841B2 (ja) 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
JP3992654B2 (ja) * 2003-06-26 2007-10-17 沖電気工業株式会社 半導体装置の製造方法
JP4143845B2 (ja) * 2003-11-26 2008-09-03 Jsr株式会社 絶縁膜およびその形成方法、ならびに絶縁膜を有する積層体およびその形成方法
JP4938222B2 (ja) * 2004-02-03 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795131B2 (en) 2006-12-15 2010-09-14 Touch Micro-System Technology Inc. Method of fabricating metal interconnects and inter-metal dielectric layer thereof
CN103094136A (zh) * 2011-11-01 2013-05-08 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN103094136B (zh) * 2011-11-01 2015-04-01 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104025263A (zh) * 2011-12-30 2014-09-03 英特尔公司 自封闭的非对称互连结构
CN103811414A (zh) * 2012-11-14 2014-05-21 台湾积体电路制造股份有限公司 铜蚀刻集成方法
CN103811414B (zh) * 2012-11-14 2016-07-06 台湾积体电路制造股份有限公司 铜蚀刻集成方法
CN105556644B (zh) * 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层

Also Published As

Publication number Publication date
US8242014B2 (en) 2012-08-14
JP5180426B2 (ja) 2013-04-10
US20100032845A1 (en) 2010-02-11
US7936068B2 (en) 2011-05-03
US20120083115A1 (en) 2012-04-05
CN100411137C (zh) 2008-08-13
CN101335257A (zh) 2008-12-31
JP2006253504A (ja) 2006-09-21
CN101335257B (zh) 2012-08-08
US7612453B2 (en) 2009-11-03
US20070052101A1 (en) 2007-03-08

Similar Documents

Publication Publication Date Title
CN1832132A (zh) 半导体装置及其制造方法
CN1835226A (zh) 半导体器件及其制造方法
CN1290186C (zh) 半导体器件及其制造方法
CN1452244A (zh) 半导体器件
CN1518075A (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
CN1096116C (zh) 半导体器件及其制造方法
CN1220731C (zh) 硅基组合物、低介电常数膜、半导体器件以及制造低介电常数膜的方法
CN1536660A (zh) 半导体器件及其制造方法
CN1826687A (zh) 布线结构及其制造方法
CN1542958A (zh) 半导体器件及其制造方法
CN1716589A (zh) 半导体器件
CN1670953A (zh) 半导体装置
CN1956173A (zh) 半导体器件以及其制造方法
CN1135618C (zh) 半导体装置及其制造方法
CN1738008A (zh) 半导体器件
CN1519926A (zh) 半导体器件及其制造方法
CN1701418A (zh) 半导体器件的制造方法、半导体晶片及半导体器件
CN1819179A (zh) 半导体器件及其制造方法
CN1842903A (zh) 半导体装置及制造方法
CN1698194A (zh) 电子装置及其制造方法
CN1893034A (zh) 半导体器件
CN1444279A (zh) 半导体器件及其制作方法
CN1280890C (zh) 半导体器件及其制造方法
CN1670954A (zh) 半导体器件及其制造方法
CN1662620A (zh) 在芯片制造过程中密封多孔材料的方法及该方法所使用的化合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ACER COMPUTER (CHINA) CO., LTD.

Free format text: FORMER OWNER: BEIDA FANGZHENG SCIENCE + TECHNOLOGY COMPUTER SYSTEM CO., LTD., SHANGHAI

Effective date: 20101101

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: 200120 36/F, SHANGHAI INTERNATIONAL BUILDING, NO.360, PUDONG SOUTH ROAD, PUDONG NEW DISTRICT, SHANGHAI TO: 200001 3/F, NO.168, XIZANG MIDDLE ROAD, HUANGPU DISTRICT, SHANGHAI

TR01 Transfer of patent right

Effective date of registration: 20101105

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080813

Termination date: 20140313