CN1510748A - 具有多层互连结构的半导体器件及其制造方法 - Google Patents

具有多层互连结构的半导体器件及其制造方法 Download PDF

Info

Publication number
CN1510748A
CN1510748A CNA2003101223969A CN200310122396A CN1510748A CN 1510748 A CN1510748 A CN 1510748A CN A2003101223969 A CNA2003101223969 A CN A2003101223969A CN 200310122396 A CN200310122396 A CN 200310122396A CN 1510748 A CN1510748 A CN 1510748A
Authority
CN
China
Prior art keywords
film
tungsten
hole
nitride film
interlayer dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2003101223969A
Other languages
English (en)
Inventor
������ɽ����
高山稔雄
生川邦幸
水谷宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of CN1510748A publication Critical patent/CN1510748A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种多层互连结构,它包括具有铜互连图案的第一互连层和具有铝互连层并且通过中间的层间绝缘膜形成在所述第一互连层上的第二互连层,其中一钨栓形成在所述层间绝缘膜中的通孔中从而使所述第一互连层和所述第二互连层电连接。所述通孔具有1.25或更大的深度/直径比,并且在钨栓的外壁和通孔的内壁之间形成有一层导电氮化物薄膜,整个该导电氮化物薄膜由导电氮化物形成。

Description

具有多层互连结构的半导体器件及其制造方法
相关申请的交叉参考
本申请基于在2002年12月20日申请的日本在先申请No.2002-371134,其全文在这里被引用作为参考。
技术领域
本发明总体上涉及半导体器件,更具体地说涉及具有互连结构的半导体器件及其制造方法。
背景技术
传统上,过去是根据所谓的成比例缩小法则通过缩小半导体器件来试图提高半导体器件的操作速度。
其间,最近的这种高度小型化的半导体器件和集成电路通常使用多层互连结构来使形成在基底上的许多半导体元件相互连接。在这种多层互连结构中,应该注意的是,互连图案的总延伸范围已经达到很大的长度,并且出现了由多层互连结构引起的严重信号延迟的问题。
因此,正在研究如何解决在多层互连结构中引起的信号延迟的问题,例如通过使用无机绝缘薄膜或具有较小的特定介电常数的有机绝缘薄膜作为构成多层互连结构的层间绝缘膜的绝缘膜,来代替传统上使用的SiO2体系的绝缘膜,还通过采用具有大原子量和低阻抗的铜用于互连图案,来代替传统上使用的铝。但是在采用铜用于互连图案时,引起了难以通过已经在传统的多层互连结构中成功使用的干法蚀刻来对互连图案形成图案的问题。因此,已经通过所谓的镶嵌工艺或者双镶嵌工艺来形成铜互连同案。
但是,当形成铜互连图案时,在镶嵌工艺领域中仍然有各种问题亟待解决,例如大量工序的问题。另外,还有例如应力迁移、电迁移等的问题。
鉴于前述状况,在当前采用铜用于布线图案的超细半导体集成电路中,仅对需要严格抑制信号延迟的下层部分采用镶嵌工艺形成的铜互连图案,而对不太需要严格抑制信号延迟的上层部分中继续采用铝多层互连结构。
当在采用了铜互连图案的多层互连结构上形成具有铝互连图案的多层互连结构的情况下,需要采用导电栓将下层铜互连图案和上层铝互连图案电连接。通常来讲,能够通过CVD工艺形成并且因此能够以优异的阶梯覆盖率(step coverage)来填充微小通孔的钨已经广泛用于这种导电栓。
图1显示出通过形成在层间绝缘膜中的钨栓来连接下层铜互连图案和上层铝互连图案的连接结构10。应当指出,图1的连接结构10是由本发明的发明人通过研究作出的,并构成本发明的基础。
参照图1,在传统的互连结构10内的层间绝缘膜11中形成具有由阻挡金属膜11A所覆盖的侧壁和底表面的布线槽11G,并且该布线槽11G填充有由镶嵌工艺或者双镶嵌工艺形成的铜互连图案11Cu。与镶嵌工艺有关的CMP工艺的结果是,层间绝缘膜11和铜互连图案11Cu具有同一顶部主表面,并利用可由SiN等形成的阻挡膜12N而在这种顶部主表面上形成下一个层间绝缘膜12。
在层间绝缘膜12中,形成有贯穿阻挡膜12N的通孔12V,从而将铜互连图案11C暴露出来,并且通孔12V的侧壁表面和底表面由阻挡金属膜12A所覆盖,在该阻挡金属膜12A上还覆盖着由TiN形成的阻挡金属膜12B,其中所述阻挡金属膜12A是通过连续层叠的TaN薄膜12a和Ta薄膜12b而形成的。在这样由阻挡金属膜12A和12B覆盖的通孔12V中,形成钨栓12W,从而通过CVD工艺和在CVD工艺之后进行的CMP工艺而填充通孔12V内的空间。
另外,在其中如此形成有钨栓12W的层间绝缘膜12上,通过Ti的粘合膜13A和TiN的阻挡金属膜13B而形成由铝基合金例如铝或铝铜形成的铝互连图案13。
在所示的实施例中,照常在铝互连图案13的表面上形成TiN的另一个阻挡金属膜13C。
另外,已经提出也通过这种钨栓来连接上层的铜互连图案和下层的铜互连图案。
图2A-2E显示了形成图1的连接结构的过程。
参考图2A,通过等离子体增强的CVD工艺,在其中通过未示出的镶嵌工艺而嵌入铜互连图案11Cu的层间绝缘膜11上沉积SiN薄膜12N。然后,在图2B的步骤中,通过等离子体增强的CVD工艺等而在SiN薄膜12N上形成层间绝缘膜12。在图2B的步骤中,还在层间绝缘膜12中形成贯穿SiN薄膜12N的通孔12V,从而暴露出铜互连图案11Cu。
然后在图2C的步骤中,通过使用高频率等离子体以大约15nm的深度对在图2B的步骤中暴露出来的铜互连图案11Cu进行干法蚀刻,从而稍微加大了在通孔12V的上部处的通孔直径,并且通过反应溅射工艺在图2B的结构上沉积TaN膜12a和Ta膜12b以便包括该通孔12V。由此形成阻挡金属膜12A。另外,在步骤2C的步骤中,也通过反应溅射工艺在阻挡金属膜12A上形成TiN薄膜12B作为下一个阻挡金属膜。
在一典型实施例中,形成的TaN薄膜12a和Ta薄膜12b的厚度分别为20nm和30nm,而所形成的TiN薄膜12B的厚度是50nm。在这种结构中,阻挡金属膜12A和12B形成TaN/Ta/TiN层叠结构。或者,通过在阻挡金属膜12A中重复地层叠TaN薄膜12a和Ta薄膜12b而形成TaN/Ta/TaN/Ta/TiN结构。在这种情况下,所形成的TaN薄膜的厚度可以是10nm,所形成的Ta薄膜的厚度可以是15nm。
另外,在图2D的步骤中在图2C的结构上沉积钨膜12,从而通过CVD工艺并以WF6作为气源来填充通孔12V。通过CMP工艺从层间绝缘膜12中连续的除去钨膜12以及阻挡金属膜12B和12A,从而获得图2E的结构。
通过在如此获得的图2E的结构上形成阻挡金属膜13A和13B并且进一步形成铝互连图案13,从而获得图1所示的结构。
发明内容
在构成本发明的基础的研究中,本发明的发明人已经发现,在图1的接触结构小型化并且通孔12V的深宽比(深度/直径比)超过1.25的情况中,会引起如图3A和3B所示的覆盖通孔12V侧壁表面的Ta膜12b中的腐蚀12X。而且,还发现在铜互连图案11Cu中也易于发生腐蚀11X。还要指出,图3A显示了通孔栓的示意性剖视图,图3B显示了通孔栓的实际界面的SEM照片。需要指出,图3B的照片中显示了通孔的深宽比为1.4。
当因为腐蚀而在通孔栓的侧壁表面中或者在铜互连图案与通孔栓接触的接触部分中导致这种缺陷时,不仅提高了接触电阻,而且损害了对电迁移或者应力迁移的抵抗性,并且严重降低了半导体器件的产出率和可靠性。
因此,本发明的总体目的是提供一种新的和有用的多层互连结构和其制造方法,以及具有这种多层互连结构的半导体器件。
本发明的另一个更为具体的目的在于,在通过在铜互连层和上层互连层之间夹着的层间绝缘膜中形成的微小接触孔而使铜互连层和上层互连层彼此连接的多层互连结构中,消除在围绕着形成在前述微小接触孔中的钨栓的阻挡金属膜中所产生的腐蚀问题或者在铜互连层中产生的腐蚀问题。
本发明的另一个目的是提供一种多层互连结构,该结构包括:
包括有铜互连图案的第一互连层;
形成在所述第一互连层上的层间绝缘膜;
形成在所述层间绝缘膜上的第二互连层;
一通孔,它形成在所述层间绝缘膜中从而暴露出所述铜互连图案;以及
一钨栓,它形成在所述通孔中从而使所述第一互连层和所述第二互连层电连接,
所述通孔具有1.25或更大的深度/直径比,
其中在所述钨栓的外壁和所述通孔的内壁之间形成有导电氮化物薄膜,从而所述导电氮化物薄膜由与所述钨栓的所述外壁接触的内壁和与所述通孔的所述内壁接触的外壁限定。
本发明还提供一种具有这种多层互连结构的半导体器件。
本发明的另一个目的在于提供一种形成多层互连结构的方法,该方法包括以下步骤:
在包括有铜互连图案的第一互连层上形成一层间绝缘膜;
在所述层间绝缘膜中形成一通孔,从而暴露出所述铜互连图案;
将在其上承载有所述第一互连层和所述层间绝缘薄膜的基底送入到反应溅射设备中,并且通过反应溅射工艺在所述层间绝缘膜上形成氮化物薄膜,从而所述氮化物薄膜覆盖所述通孔的内壁表面;
在形成所述氮化物薄膜的所述步骤之后在所述层间绝缘膜上形成一钨栓,从而所述钨栓填充了所述通孔;并且
在形成所述钨栓的所述步骤之后在所述层间绝缘膜上形成一第二互连层,
其中在形成所述氮化物薄膜的所述步骤之后但是在形成所述钨栓的所述步骤之前提供以下步骤:
使所述基底与设在所述反应溅射设备中的溅射靶隔开;并且
在形成所述氮化物薄膜的所述步骤之后,在所述基底与所述溅射靶隔开的状态中在所述反应溅射设备中清洁所述溅射靶的表面。
根据本发明覆盖着钨栓的整个阻挡金属膜都由氮化物薄膜形成。因此,即使在通孔的深宽比已经增加并且在通孔中由导电氮化物薄膜形成的阻挡金属膜的阶梯覆盖(step coverage)退化时金属膜例如Ta也不会暴露出。由此,即使在通过采用高反应性的氟化物原料例如WF6等来沉积钨膜的情况中在阻挡金属膜中也不会出现任何腐蚀,并且有效抑制了在该接触结构中出现缺陷。为了通过反应溅射工艺形成不含有金属膜的阻挡金属膜,本发明对溅射靶进行了清洁,这个过程必须在使形成有氮化物薄膜的基底与溅射靶隔绝开的状态中在氮化物阻挡金属膜例如TaN的反应溅射过程之后进行以便抑制颗粒形成。因此,在清洁过程中在氮化物阻挡金属膜上不会出现任何金属膜沉积,并且即使在随后通过在采用氟化物气体源例如WF6的同时进行CVD工艺来用钨膜填充形成有氮化物阻挡金属膜的通孔时在阻挡金属膜中也不会出现任何腐蚀。具体地说,通过采用单晶片处理设备,从而可以在清洁过程中与清洁过程同时通过将所要处理的基底转送到下一个处理舱来进行下一个处理,并且提高了形成该多层互连结构的产出率。
另外,根据本发明在通过使用氟化物气体源例如WF6等来进行CVD工艺以用钨膜填充形成有阻挡金属膜的通孔时通过同时向沉积工艺提供氢气或者通过在沉积之前利用含氢气体的等离子体来处理基础结构,从而有效抑制了在氟化物气体源和铜互连图案之间的反应。由此有效抑制了铜互连图案的腐蚀问题。
从下面的详细说明中并且结合附图将了解本发明的其它目的和其它特征。
附图说明
图1显示出采用了具有TaN/Ta/TiN层叠结构的阻挡金属膜的传统多层互连结构的结构;
图2A-2E显示出图1的多层互连结构的形成过程;
图3A和3B显示出传统的问题;
图4显示出根据本发明第一实施方案的多层互连结构的结构;
图5A-5D显示出图4的多层互连结构的形成过程;
图6显示出本发明所使用的反应溅射设备的示意性结构;
图7A和7B分别显示出一般所使用的和在具有图6的反应溅射设备的本发明第一实施方案中所使用的溅射方法的实施例;
图8显示出与本发明的溅射方法相对应的溅射过程;
图9显示出根据本发明第二实施方案的钨膜的成膜过程的概略图;
图10A和10B显示出根据本发明第二实施方案的钨膜的沉积过程;
图11显示出图10A的工艺所使用的ALD工艺方法的实施例;
图12A-12D显示出在通过ALD工艺形成钨成核层的工艺条件在不同时间变化的情况下通孔表面被阻挡金属膜和钨成核层阶梯覆盖的状态;
图13A和13B显示出与通过传统技术形成的多层互连结构的通路电阻(chain resistance)的分布相比的在本发明多层互连结构中的通路电阻的分布;
图14显示出在钨膜沉积之前进行的根据本发明第二实施方案的变型的预处理等离子工艺的方法;
图15显示出在本发明第三实施方案中所使用的组合型基底处理设备的结构;
图16A-16L显示出根据本发明第四实施方案的半导体器件的制造过程;
图17显示出根据本发明第五实施方案的半导体器件的制造过程。
具体实施方式
[原理]
本发明的发明人已经研究了在图3A和3B中所示的缺陷11X和12X的形成机理,该研究结果构成本发明的基础,本发明人已经发现缺陷12X是在通过使用WF6作为气体源进行CVD工艺情况下在用于形成W层13的图2D的步骤中形成的。更具体地说,在WF6气体和Ta膜12b之间产生反应,并且由于该反应所以形成空腔形式的缺陷12X。另外,发现在通孔12V的深宽比随着半导体器件缩小程度的增加而加大的情况中由于覆盖着在通孔12V中的Ta膜12b的TiN膜12B的阶梯覆盖较差所以引起在WF6气体和Ta膜12b之间的上述反应。TaNTa膜的这种暴露尤其会在通孔12V的底部处出现。
另外发现,由于钨气体源例如WF6通过如此形成在阻挡金属中的空腔与铜互连图案11Cu进行反应,所以还引起在铜互连图案11Cu中出现缺陷11X。
在传统的阻挡金属膜12A中,应该指出的是,Ta膜12b实际上不会有助于膜12A作为阻挡金属的性能。Ta膜12b主要是在对在TaN膜22a的反应溅射工艺中所使用的Ta靶进行清洁的过程中形成的。进行该清洁过程是为了避免出现颗粒形成的问题,这个问题是在通过反应溅射过程中继续TaN膜沉积时产生的。
因此,本发明根据上面的发现提出在通过采用反应溅射方法在深宽比为1.25或更大的微小通孔中形成阻挡金属的情况中通过在溅射靶的清洁过程中使所要处理的基底隔离来抑制在阻挡金属膜中形成金属膜或金属部件例如Ta膜,所述清洁过程是在氮化物膜沉积之后进行的。
由于本发明,所以覆盖微小通孔的阻挡金属膜仅仅由导电氮化物膜形成,并且有效避免了在通过用CVD气源进行钨CVD工艺来填充通孔时产生的阻挡金属膜出现腐蚀反应的问题。在本发明中,应该指出的是,导电氮化物膜不必是化学计量的氮化物膜,而可以是包含有能有效防止与钨气体源反应的足够量氮的薄膜。
根据本发明,覆盖钨栓的整个阻挡金属由氮化物膜形成。因此,金属膜例如Ta膜不可能由于通孔深宽比增加以及构成阻挡金属膜的导电氮化物膜的阶梯覆盖的相应变差而暴露出。因此,即使在使用高反应性氟化物原料例如WF6来沉积钨膜时在阻挡金属膜中也不会出现任何腐蚀,并且有效防止了在接触结构中出现缺陷。
为了通过反应溅射方法形成阻挡金属膜从而该阻挡金属膜不含有金属膜,本发明在氮化物阻挡金属膜例如TaN的反应溅射沉积之后在形成有氮化物膜的基底与溅射靶隔开的状态中对溅射靶进行清洁处理以便抑制颗粒形成。因此,消除了在清洁处理过程中金属膜在氮化物阻挡金属膜上的沉积,并且即使在通过CVD工艺同时采用氟化物气源例如WF6将如此形成有氮化物阻挡金属膜的通孔填充钨膜的情况中在阻挡金属中也不会出现任何腐蚀。在采用单晶片加工系统的情况中,可以在清洁处理过程中将基底转送给下一个处理室,并且可以与清洁处理同时进行下一个过程。由此,显著改善了形成多层互连结构的产出率。
另外,根据本发明,可以在通过氟化物气体源例如WF6的CVD工艺来填充形成有阻挡金属膜的通孔的情况中通过在进行沉积过程同时提供氢气或者通过在沉积之前利用包含氢的气体的等离子体来处理该基底结构,从而抑制在氟化物气体源和铜互连图案之间的反应。由此,也有效抑制了铜互连图案的腐蚀问题。
[第一实施方案]
图4显示出根据本发明第一实施方案的多层互连结构。
参照图4,将布线槽21G形成在层间绝缘膜21中,并且通过阻挡金属膜21A覆盖该布线槽21G。另外,通过采用镶嵌工艺使该布线槽形成铜互连图案21Cu。这里,应该指出的是,层间绝缘膜21形成在在没有示出的硅基底上,并且通过SiN阻挡膜22N在层间绝缘膜21上形成一层间绝缘膜22。另外,使铜互连图案21Cu暴露出的通孔22V形成在层间绝缘膜22中,从而以1.25或更大例如2.4的深宽比穿过阻挡膜22N。
在一个实施例中,通过进行CMP工艺将利用等离子CVD工艺形成的厚度为1100nm的SiO2薄膜磨光成厚度为730nm,从而形成层间绝缘膜。由此,可以形成深宽比为1.4的通孔22V。另外,通过等离子CVD工艺将阻挡膜22N形成为膜厚为70nm,并且使铜互连图案21Cu形成为450nm的厚度。
在当前实施方案中,通孔22V的内壁表面和底面连续覆盖有厚度为25nm的TaN阻挡金属膜22a,同时TaN阻挡金属膜22a由厚度为75nm的TiN膜22B覆盖。因此,在当前实施方案中在通孔22V中的阻挡金属膜22A中不含有任何金属膜或金属部分。
在通孔22V中,应该指出的是,TiN膜22B内的空间填充有钨栓22W,其中利用CMP工艺通过进行磨光加工使该钨栓22W具有与层间绝缘膜22的表面一致的表面。
通过Ti粘合膜23A和TiN阻挡膜23B在如此形成有钨栓22W的层间绝缘膜22上设置铝或铝基合金的互连层23。在所示的实施例中,另一个TiN阻挡膜23C形成在前面的铝互连层23上。
图5A-5D显示出图4的多层互连结构的形成过程。
参照图5A,在层间绝缘膜22中形成有通孔22V,从而暴露出铜互连图案21Cu,并且在暴露出的铜互连图案21Cu中利用RF等离子以大约25nm的深度进行干法蚀刻工艺之后,在图5B中的步骤中将图5A的结构送入到在图6中所示的反应溅射设备中。
参照图6,该反应溅射设备100包括一处理舱101,它由未示出的抽真空系统抽空并且包含有用于保持基底102的平台103,其中该处理舱101还包括由金属Ta形成的溅射靶104,从而该靶104面对着所要处理的基底102。
因此,通过在抽真空之后将惰性气体例如Ar注入到该处理舱101中并且通过从DC电源105向靶104施加DC偏压并且从AC电源106向所要处理的基底102施加AC偏压,从而在处理舱101中在靶104和基底102之间形成等离子体,并且将在等离子体107作用下从靶104中溅射出的颗粒沉积在基底102的表面上。
通过在这种溅射处理时在处理舱101中注入氮气,从而使TaN膜沉积在所要处理的基底102表面上。这样沉积的TaN容易具有表示为TaNx的非化学计量(非整比)组成。
另一方面,在将氮气注入进该处理舱101的状态中继续该溅射处理的情况中,在溅射靶104的表面上形成一层厚TaN膜,并且因此会出现一个问题,即在如此形成有这种厚TaN膜的溅射靶104受到溅射时会形成颗粒。正是这些颗粒成为形成在基底表面上的缺陷的原因。
因此,在本领域中一般做法是,如在图7A中所示一样在图6的反应溅射设备100中通过在TaN膜沉积之后中断向处理舱101提供氮气在前面在图5B的过程中沉积的TaN膜上进行Ta膜沉积,以避免在该反应溅射设备中出现颗粒形成的问题。这里,应该指出的是,图7A显示出反应溅射设备100所采用的一般溅射方法的实施例。
参照图7A,在将所要处理的基底送入到处理舱101之后以20SCCM的流速将Ar气输入进处理舱101,并且分别以24kW和300W的功率向溅射靶104和所要处理的基底103施加DC偏压和AC偏压。由此形成等离子107。
在图7A的方法中,例如在基底102的表面上进行等离子107的形成和TaN膜的沉积的同时以80SCCM的流速将氮气注入到处理舱101中。
另一方面,为了避免前面所述的颗粒形成的问题,在图10A的方法中在经过预定时间之后中断氮气的供应,因此将Ta膜沉积在TaN膜上。因此就形成了在前面参照图1所述的传统多层互连结构中所使用的Ta膜。
与此相反,本发明在图5B的步骤中采用了图7B的方法,而且通过在TaN膜22A沉积在图5A的结构上并且在该状态中在Ar等离子体氛围中溅射Ta靶之后从处理舱中将基底102取出,从而抑制了Ta膜沉积在TaN膜22A上,并且同时避免了在反应溅射设备100中出现颗粒形成的问题。因此,在本发明中,在TaN膜22A沉积之后将所要处理的基底拿到溅射设备外面,并且通过Ar等离子体氛围在Ta靶104表面上进行清洁处理。
图7B显示出在本发明中供图6的反应溅射设备100使用的溅射方法的一个实施例。
参照图7B,将图5A的结构送进图6的处理舱101作为在当前实施方案中的基底102,并且分别以20SCCM和80SCCM的流速将Ar气和氮气注入到处理舱101中。接着,分别以24kW和300W的功率给靶104和基底102施加DC偏压和AC偏压。这样,在图5A的结构上形成厚度大约为20nm的TaN膜作为阻挡金属膜22A,从而阻挡金属膜22A连续覆盖了层间绝缘膜22的表面以及通孔22V的侧壁表面和底面。
在当前实施方案中,以在图7B中用箭头所示的定时中断DC偏压,还有AC偏压,并且同时中断向处理舱101供应Ar气和氮气。这样,在处理舱101中的等离子体107消失,并且将基底102拿出到处理舱101外面。
在以箭头的定时这样取出基底102之后,只向处理舱101供应Ar气。因此,在该状态中通过向溅射靶104施加DC偏压来对靶104进行清洁。在该靶清洁过程中,中断向平台103施加AC偏压。
图8显示出在图7B的靶清洁过程中的反应溅射设备100的状态。
参照图8,在清洁过程中将通常由不锈钢形成的闸门108插入在平台103和溅射靶104之间,以便消除从靶104溅射出的颗粒在平台103上的沉积。对于这种闸门108而言,可以采用在溅射设备中通常所提供的闸门。
再参照图7B,通过这种清洁过程除去了形成在Ta靶104表面上的TaN膜。另一方面,优选的是通过在清洁过程的最后阶段中短时间注入氮气来在靶104的表面上形成薄TaN膜。通过这样做,可以抑制在接下来的溅射处理中富Ta膜沉积在基底表面上。
在如图5B所示这样形成TaN阻挡金属膜22A之后,在图5C的步骤中通过反应溅射工艺利用Ti靶在图5B的结构上形成TiN阻挡金属膜22B。另外,在图5D的步骤中通过进行CVD处理同时采用WF6作为钨气源在TiN膜22B上形成钨膜22W,从而该钨膜22W填充了通孔22V。在下一个实施方案中将对图5D的钨膜22W的沉积过程的细节进行说明。
另外,在图5D的结构中,利用CMP工艺对沉积在层间绝缘膜2上的钨膜22W、TiN阻挡金属膜22B和TaN阻挡金属膜22A顺序抛光。另外,通过形成粘合膜23A、阻挡金属膜23B和23C还有铝互连图案23,从而获得在前面参照图4所述的多层互连结构。
根据当前实施方案,通孔22V的侧壁表面和底面在用于通过使用腐蚀性气体WF6作为气源来沉积钨膜22W的图5D的步骤中由TaN膜22A和TiN膜22B连续覆盖。另外,由于阻挡金属膜22A没有金属这个事实,所以即使在通孔22V的深宽比增加并且TiN膜22B在通孔22V处的阶梯覆盖由于半导体器件的缩小而变差的情况中,也不会出现在前面参照图3A和3B所述的缺陷形成。
如上所述,形成阻挡金属膜22A的TaN膜可以不必具有化学计量(整比)组分。只要该薄膜含有能够抑制与WF6反应的足够量氮,则具有非化学计量组分的薄膜也可以用于本发明。
[第二实施方案]
图9显示出在前面所述的图5D中的W膜22W的沉积工艺所采用的工作压力顺序。另外,图10A和10B显示出与图9的顺序相对应的图5D的工艺的详细工艺流程。
本发明的发明人在构成本发明基础的研究结果中已经发现,当在供应氢气的同时进行图5D的钨膜22W的成膜过程时可以成功抑制在钨膜沉积时在阻挡金属膜22A或铜互连图案21Cu中出现缺陷。
参照图9,在当前实施方案中首先在含有氢气的氛围中将图5C的结构加热至预定的温度(第1步),并且如在图10A中所示一样在持续供应氢气的同时通过ALD(原子层沉积)工艺以大约8nm的膜厚在图5C的层22B下面在TiN上形成钨成核层(钝化膜)(第2步)。
接下来,在第3步中,如在图10B中所示一样,在持续供应氢气的同时在钨成核层23W1上沉积钨膜23W,从而该钨膜23W填充了该通孔22V。
通过这样在TiN膜22B上形成钨成核层23W1,从而降低了在形成钨膜23W时的保温时间(incubation time),并且提高了成膜过程的生产率。
图11显示出图9的第2步的工艺顺序,即在图10A的工艺中在形成钨成核层23W1时的工艺顺序。
参照图11,当前实施方案在其中将工作压力设定为1kPa(7.5乇)并且将基底温度设定为350℃的状态中分别以2000SCCM和900SCCM的流速连续将Ar气体和氮气作为载体气体供应给未示出的CVD设备。在当前实施方案中,应该指出的是,除了这些载体气体之外还以1200SCCM的流速连续供应氢气。
在图11的工艺顺序中,在该状态中向基底表面交替提供WF6气体和SiH4气体。通过供应WF6气体,从而WF6分子被化学吸收在TiN膜22B的表面上,从而形成WF6单分子层。然后通过SiH4气体使这样被吸收的WF6分子分解,因此在TiN膜22B上一个原子层接着一个原子层地生长出钨膜。例如,在每个循环中可以以30SCCM的流速只是供应5秒的WF6气体。在每个循环中,在WF6气体供应阶段和SiH4气体的供应阶段之间设有如在图中由箭头所示的间隔,并且在该间隔期间通过连续供应的Ar气体和氮气清洗(清扫)在基底表面处留在处理空间中的多余处理气体。
图12A和12B显示出对于通过当前实施方案的ALD工艺以8nm的膜厚在分别具有1.38和1.55的深宽比的通孔内壁上形成钨成核层23W1的情况而言的阶梯覆盖情况。在图12A和12B中的任一个中,应该指出的是,阻挡金属膜22A由TaN膜形成,并且没有形成任何Ta膜。
参照图12A和12B,明亮的钨成核层23W1紧密地附着在TiN膜上,并且确信没有形成任何缺陷例如空腔。
另一方面,图12C和12D显示出其中在通过ALD工艺形成钨成核层23W1时不供应氢气的情况,换句话说是其中通过采用一般适用于小深宽比的通孔的传统ALD工艺来在微小通孔侧壁上形成钨成核层23W1的情况的结果。这里,应该指出的是,  图12C表示其中通孔22V(孔径为0.65μm)的深宽比为1.38的情况,而图12D显示出其中通孔22V(孔径为0.58μm)的深宽比为1.55的情况。在图15C和15D的任一种情况中,阻挡金属膜22A由没有包含Ta膜的TaN膜形成。
参照图12C和12D,可以看出在这些情况中的任一种中如用箭头所表示的一样在通孔侧壁表面和钨成核层23W1之间形成有空腔或缺陷。
应该指出的是,在图12C和12D中所示的缺陷是一般在通孔22V的深宽比为1.25或更大时形成的缺陷。因此,不可能通过钨栓在不产生缺陷的情况下填充这种微小通孔。
在当前实施方案中,即使通孔的深宽比为1.25或更大也能够通过利用金属膜或不含有金属膜或金属层的TaN膜形成阻挡金属膜22A并且通过在供应氢气的同时形成钨膜22W1和22W,从而用钨栓填充该通孔且不会产生缺陷。具体地说,通过在图11的ALD工艺中将氢气的流速提高至1200SCCM确保可以用钨栓在不产生缺陷的情况下填充深宽比为3.0的通孔。
因此,本发明是一种在用钨栓填充微小通孔时通常需要的技术。本发明在钨栓下面的互连图案是铜互连图案的情况中尤为有效,所述图案容易被氟化物原料例如WF6腐蚀。
图13A为一柱状图,显示出对于其中通过第一和第二实施方案的方法在硅晶片上形成了大量通孔触点的情况的通路电阻(chainresistance)分布。另一方面,图13B显示出用普通工艺即其中采用TaN膜和Ta膜的层叠结构作为阻挡金属膜并且在没有提供氢气的情况下形成钨栓的工艺形成的通孔触点的通路电阻分布。
参照图13A和13B,可以看出本发明明显降低了通孔栓的通路电阻,并且将在传统工艺中的大约35%的不合格触点降低至零。一般来说,应该指出的是,具有非常高的通路电阻的不合格通孔栓,如在图13B中所示的通孔栓,沿着晶片的圆周部分形成。
因此,根据本发明,可以在形成钨通孔触点时实现100%的产出率。
在当前的实施方案中,在TaN阻挡金属膜22A上形成TiN膜22B作为钨膜成形的基础。因为阻挡金属膜22A由不与氟化物反应的氮化物膜形成,所以可以消除在当前实施方案中的TiN膜22B。在该情况中,钨膜23W或23W1直接形成在金属膜22A上。在当前实施方案中还可以通过TiN膜22B形成整个阻挡金属膜22。
虽然当前实施方案在钨膜23W1或23W沉积时向基底表面供应了氢气,但是还可以在钨膜沉积之前用含氢的等离子体处理图8C的结构的表面。
图14显示出在钨成核层23W1形成之前对图5C的结构进行的等离子处理的实施例。
参照图14,在该实施方案中将图5C的结构放入到等离子体CVD设备的处理容器中,并且将基底温度设定为350℃。另外,以225SCCM的流速注入NH3作为处理气体,并且将处理容器内的压力设定为530Pa(4乇)。通过在该状态中提供340W的高频能量,从而激发出等离子体,并且用NH3等离子对TiN膜22B的表面进行大约30秒的处理。
通过这种表面处理,也可以抑制前面所述的在通孔和钨栓之间出现缺陷。
[第三实施方案]
图15显示出根据本发明第三实施方案的形成多层互连结构的方法,该方法采用簇式(cluster-type)基底处理设备200。
参照图15,该簇式基底处理设备200包括一真空输送舱210,其上连接有一负载锁定(load-lock)舱200A、一溅射舱200B(该舱又在其中容纳有装配有Ta靶104并且进行如前面参照图6所述的TaN沉积的反应溅射设备100)、一溅射舱200C(该舱又在其中容纳有一进行TiN膜沉积的反应溅射设备)以及用于进行钨膜23W1或23W沉积的CVD舱200D。
因此将处于图5A的状态的基底放入到负载锁定舱200A中,并且通过前面参照图7B所述的方法进行TaN膜22A的沉积。
在图7B的方法中,在TaN膜22A沉积之后对Ta靶104进行清洁。因此,在当前实施方案中,在TaN膜22A沉积之后立即将所要处理的基底从处理舱200B转移到处理舱200C,并且进行TiN膜22B的沉积。因此,TiN膜22B的沉积是与Ta靶104的清洁过程同时进行的。
另外,将处于图5C状态中并且在处理舱200C中完成了TiN膜22B沉积工艺的基底送给CVD舱200D,用于沉积钨成核层23W1和钨膜23W。当然,在图15的簇式处理设备200中可以在不同处理舱中通过ALD工艺进行钨成核层23W1的成形并且通过通常CVD工艺进行钨膜23W的成形。
由于使用了图15的簇式基底处理设备200,所以在处理舱200B中对Ta靶104进行清洁时将该基底发送给下一个处理舱200C,并且消除了在清洁过程中对基底的污染。还有,可以提高工艺生产率。
[第四实施方案]
接着将参照图16A-16L对其中在具有铜互连图案的多层互连结构上形成通过双镶嵌工艺形成的本发明多层互连结构的根据本发明第四实施方案的半导体器件的制造工艺进行说明。
参照图16A,在硅基底(未示出)上隔着SiN膜302在绝缘膜301上形成由SiO2等形成的层间绝缘膜303,并且在层间绝缘膜303中形成与所要求的互连图案相对应的光致抗蚀剂图案R1。
接下来,在图16B的步骤中,用光致抗蚀剂图案R1作为掩模,对层间绝缘膜303刻图,并在层间绝缘膜303上形成对应于所需互连图案的布线槽。另外,用Ta阻挡金属膜304覆盖这样刻图3的层间绝缘膜303,并用电镀工艺等形成铜层305以填充所述布线槽。
另外,在图16C的工艺中,通过CMP工艺将铜层305和底下的阻挡金属膜304磨掉直到暴露出层间绝缘膜303的表面。另外,在这样形成的结构上隔着SiN阻挡膜305形成接下来的由SiO2等形成的层间绝缘膜306。
在图16C的步骤中,利用SiN阻挡膜307在层间绝缘膜306上形成由SiO2等形成的下一层层间绝缘膜308,并且在该层间绝缘膜308中形成与所要求的接触孔相对应的光致抗蚀剂图案R2。
接下来,在图16D的步骤中,在采用光致抗蚀剂图案R2作为掩膜的同时顺序使层间绝缘膜308、阻挡膜307以及层间绝缘膜306形成图案,并且形成接触孔308C以便暴露出位于接触孔308C的底部处的SiN阻挡膜305。另外,通过施加非光敏树脂膜,用树脂膜填充接触孔308C。另外,通过使层间绝缘膜308上的树脂膜溶解,在接触孔308C中留下树脂保护部分308R。
另外,在图16D的步骤中,在层间绝缘膜308上形成与要在层间绝缘膜308中形成的布线槽相对应的光致抗蚀剂图案P3。
接着,在图16E的步骤中,在通过树脂保护部分308R保护接触孔308C的内壁表面的状态中使用树脂图案R3作为掩膜使层间绝缘膜308成图案,直到暴露出SiN阻挡膜307,并且在层间绝缘膜308中形成所要求的布线槽308G。
另外,在使层间绝缘膜308成图案之后在图16E的步骤中通过磨光工艺除去树脂保护部分308R。
另外,在图16F的步骤中,将层间绝缘膜308用作自对准掩膜,并且分别从布线槽308G的底部还有从接触孔308C的底部除去SiN阻挡膜307和305。另外,用Ta阻挡金属膜309覆盖如此获得的结构的表面,并且通过电解电镀工艺等形成一铜层以便填充所述的接触孔308C和沟道308G。
接下来,在图16G的步骤中,通过CMP工艺除去图16G的铜层310还有在下面的Ta阻挡金属膜309直到暴露出层间绝缘膜308的表面,并且在如此获得的结构中形成SiN阻挡膜311和SiO2等的层间绝缘膜312。
另外,在图16G的步骤中,在层间绝缘膜312上形成与在层间绝缘膜312中所要形成的通孔相对应的光致抗蚀剂图案R4。
另外,在图16H的步骤中,在使用光致抗蚀图案R4作为掩膜的同时使层间绝缘膜312和下面的SiN阻挡膜311成图案,并且在该层间绝缘膜312中形成所要求的通孔312V。
在当前实施方案中,将这样形成有通孔312V的图16H的结构放入到在前面参照图6所述的反应溅射设备100的处理舱101中。由此,如前面实施方案所述一样,在层间绝缘膜312上形成不包含金属Ta膜的TaN膜的阻挡金属层313,从而连续覆盖通孔312V的侧壁表面还有底面。
另外,在图16I的步骤中,还通过反应溅射在TaN阻挡金属膜313上形成一TiN阻挡金属膜314,并且在图16J的步骤中,通过CVD工艺在图16I的结构上形成钨膜315,从而该钨膜315填充了通孔312V。在图16J的步骤中,钨膜315优选是通过首先利用前面所述的ALD工艺形成薄钨成核层315a然后利用通常的CVD工艺沉积钨膜315来沉积的。
接下来,在图16K的步骤中,通过CMP工艺磨掉钨膜315和TiN膜314以及位于钨膜315下面的TaN膜313直到暴露出中间层绝缘膜312的表面。由此,在通孔312V中形成钨通孔栓315W。
另外,在图16K的步骤中,利用TiN阻挡金属膜316a在中间绝缘膜312上形成铝或铝铜合金导电薄膜316b,并且在该导电薄膜316b上形成另一层TiN阻挡金属膜316c,其中TiN阻挡金属膜315a和316c形成互连层316。
在图16K的状态中,在互连层316上形成有与所要形成的互连图案相对应的光致抗蚀剂图案R5,并且在图16L的步骤中,在使用光致抗蚀图案R5作为掩膜的同时通过干法蚀刻工艺等使互连层316成图案,并且在钨栓315W上形成互连图案316A和316B。
另外,在图16K的步骤中,将中间层绝缘薄膜317例如SiO2沉积在中间层绝缘薄膜312上以便覆盖中间层图案316A和316B,并且在中间层绝缘薄膜317的表面上形成钝化膜318例如SiN。
在当前实施方案中,在靶清洁处理期间,通过将基底从反应溅射设备100中取出例如将该基底转移至下一个处理,从而也抑制在图16I的步骤中形成TaN阻挡金属膜313时在TaN阻挡金属膜313上形成Ta金属膜。由此,成功抑制了在阻挡金属膜313中出现缺陷。
另外,在图16J的沉积钨膜315的步骤中,尤其在通过采用ALD工艺在TiN阻挡金属膜314上沉积成核层315a的步骤中,可以通过在所要处理的基底表面上提供氢气来抑制与钨栓315W接触的铜互连图案的腐蚀。
[第五实施方案]
图17显示出具有根据本发明第五实施方案形成的多层互连结构的半导体器件的结构。
参照图17,通过STI(浅沟道隔离,shallow trench isolation)结构402在硅基底401上形成器件区域401A,并且利用栅极绝缘薄膜403A在器件区域401A中在硅基底401上形成栅电极403。
在栅电极403的两个侧壁表面上形成有侧壁绝缘薄膜,并且在栅电极403的两个侧面处在硅基底401中形成有LDD(轻掺杂漏极)区401a和401b。另外,侧壁绝缘薄膜的外侧处在硅基底401中形成有形成源极区或漏极区的扩散区401c和401d。另外,利用SiN薄膜404均匀覆盖除了形成有栅电极403和侧壁绝缘薄膜的部分之外的硅基底401的表面。
在SiN薄膜404上由SiO2等构成的层间绝缘膜405形成为覆盖着栅电极403还有侧壁绝缘薄膜,并且在层间绝缘膜405中形成有使扩散区401c和401d暴露出的接触孔405A和405B。
接触孔405A和405B的侧壁表面还有底面由其中层叠有TaN膜和TiN膜的阻挡金属膜406覆盖,并且通过阻挡金属膜用钨栓407填充接触孔405A和405B。
在层间绝缘膜405上,通过前面结合实施例所述的镶嵌工艺或双镶嵌工艺连续形成铜布线结构408、409和410,其中铜互连图案埋在层间绝缘膜中。在铜布线结构410上,钨导电栓411在通孔中形成在层间绝缘膜411中,该通孔具有由其中层叠了TaN膜和TiN膜的导电氮化物金属膜412连续覆盖的侧壁表面和底面。
另外,在层间绝缘膜411上形成有具有用一对TiN阻挡金属膜夹着铝或铝基合金的结构的互连图案414A和414B,并且在层间绝缘膜411上形成有层间绝缘膜415以便覆盖互连图案414A和414B。
另外,通过SiN等的钝化膜416覆盖层间绝缘膜415的表面。
在当前实施方案中,通过明显不含金属膜或金属部分的氮化物膜来形成阻挡金属膜406或412可以有效抑制缺陷的出现,该缺陷容易在用钨膜填充通孔时在阻挡金属膜中产生。
在当前实施方案中,也能够通过至少在利用ALD工艺等形成成核层部分的过程中同时提供氢气来抑制在形成钨栓407或钨栓413时在钨栓下面的铜互连图案的腐蚀或阻挡金属膜的腐蚀。
在上面已经对采用包含Ta的阻挡金属膜作为构成元件的实施例进行了说明。但是,本发明并不限于使用了Ta的这种情况,而是还可以适用于在使用包含其它金属元素例如Ti作为组成元素的阻挡金属膜的同时形成钨栓的情况。即使在这种情况中,优选只在通孔深宽比增加时通过使用氮化物膜来形成阻挡金属膜。虽然本发明已经对其中设在钨栓下面的导电图案为铜互连图案的情况进行了说明,但是本发明在该导电图案由其它互连图案例如Al等形成的情况中也是有效的。
另外,本发明并不限于在前面所述的这些优选实施方案,而是在不脱离本发明的精神和范围的情况下可以作出各种变化和改进。

Claims (18)

1.一种多层互连结构,它包括:
包括有铜互连图案的第一互连层;
形成在所述第一互连层上的层间绝缘膜;
形成在所述层间绝缘膜上的第二互连层;
一通孔,它形成在所述层间绝缘膜中从而暴露出所述铜互连图案;以及
一钨栓,它形成在所述通孔中从而使所述第一互连层和所述第二互连层电连接,
所述通孔具有1.25或更大的深度/直径比,
其中在所述钨栓的外壁和所述通孔的内壁之间形成有导电氮化物薄膜,从而所述导电氮化物薄膜由与所述钨栓的所述外壁接触的内壁和与所述通孔的所述内壁接触的外壁限定。
2.如权利要求1所述的多层互连结构,其中所述导电氮化物薄膜包括TaN膜。
3.如权利要求1所述的多层互连结构,其中所述导电氮化物薄膜由第一氮化物薄膜和层叠在所述第一氮化物薄膜里面的第二氮化物薄膜形成。
4.如权利要求3所述的多层互连结构,其中所述第一氮化物薄膜由TaN膜形成,而所述第二氮化物薄膜由TiN膜形成。
5.如权利要求1所述的多层互连结构,其中所述氮化物薄膜具有显示出对用于形成所述钨栓的钨的氟化物气体源具有抗腐蚀性的组分。
6.如权利要求1所述的多层互连结构,其中所述第二互连层包含一铝互连图案。
7.一种形成多层互连结构的方法,该方法包括以下步骤:
在包括有铜互连图案的第一互连层上形成一层间绝缘膜;
在所述层间绝缘膜中形成一通孔,从而暴露出所述铜互连图案;
将在其上承载有所述第一互连层和所述层间绝缘薄膜的基底放入到反应溅射设备中,并且通过反应溅射工艺在所述层间绝缘膜上形成氮化物薄膜,从而所述氮化物薄膜覆盖所述通孔的内壁表面;
在形成所述氮化物薄膜的所述步骤之后在所述层间绝缘膜上形成一钨栓,从而所述钨栓填充了所述通孔;并且
在形成所述钨栓的所述步骤之后在所述层间绝缘膜上形成一第二互连层,
其中在形成所述氮化物薄膜的所述步骤之后但是在形成所述钨栓的所述步骤之前提供以下步骤:
使所述基底与设在所述反应溅射设备中的溅射靶隔开;并且
在形成所述氮化物薄膜的所述步骤之后,在所述基底与所述溅射靶隔开的状态中在所述反应溅射设备中清洁所述溅射靶的表面。
8.如权利要求7所述的方法,其中所述清洁步骤如此进行,从而除去在所述溅射靶上的氮化物薄膜,并且暴露出构成所述溅射靶的金属的表面。
9.如权利要求8所述的方法,其中在所述金属的表面在所述溅射靶表面处暴露出之后通过进行氮化物薄膜的反应溅射工艺来结束所述清洁步骤。
10.如权利要求7所述的方法,其中通过从所述反应溅射设备中将所述基底取出来进行隔离所述基底的所述步骤。
11.如权利要求10所述的方法,其中所述溅射设备与耦接到所述溅射设备上的真空输送舱以及连接在所述真空输送舱上的另一个处理舱一起形成单晶片处理设备,其中,从所述溅射设备中将所述基底取出的所述步骤包括从所述另一个处理舱通过所述真空输送舱输送所述基底的步骤。
12.如权利要求11所述的方法,其中所述另一个处理舱为用于形成钨膜的CVD舱。
13.如权利要求7所述的方法,其中隔离所述基底的所述步骤包括将所述反应溅射设备内的闸门插到所述基底和所述溅射靶之间的步骤。
14.如权利要求7所述的方法,其中所述引入所述氮化物薄膜的所述步骤包括在将所述基底引入到所述反应溅射设备中之后但是在激发出等离子体之前将氮气注入到所述基底的表面上的步骤。
15.如权利要求7所述的方法,其中形成所述钨栓的所述步骤是通过CVD工艺采用钨的氟化物气体源来进行的,从而通过所述氮化物薄膜用钨膜填充所述通孔,并且其中用所述钨膜填充所述通孔的所述步骤在向所述基底的表面提供氢气的同时进行。
16.如权利要求7所述的方法,其中形成所述钨栓的所述步骤包括以下步骤:通过利用中间吹洗工艺交替地将钨的气体源和使所述氟化物气体源分解的反应气体提供给所述通孔表面来在覆盖所述通孔的所述内壁表面的所述氮化物薄膜上形成钨的钝化膜;通过CVD工艺将钨膜沉积在所述钝化膜上,其中在向所述基底的表面提供氢气的同时至少进行形成所述钝化膜的所述步骤。
17.如权利要求7所述的方法,其中形成所述钨栓的所述步骤包括在所述钨膜沉积之前用含氢气体的等离子来处理所述通孔的表面的步骤。
18.一种半导体器件,它包括:
一基底;以及
形成在所述基底上的多层互连结构,
所述多层互连结构包括:
包括有铜互连图案的第一互连层;
形成在所述第一互连层上的层间绝缘膜;
形成在所述层间绝缘膜上的第二互连层;
一通孔,它形成在所述层间绝缘膜中从而暴露出所述铜互连图案;以及
一钨栓,它形成在所述通孔中从而使所述第一互连层和所述第二互连层电连接,
所述通孔具有1.25或更大的深度/直径比,
其中在所述钨栓的外壁和所述通孔的内壁之间形成有导电氮化物薄膜,从而所述导电氮化物薄膜由与所述钨栓的所述外壁接触的内壁和与所述通孔的所述内壁接触的外壁限定。
CNA2003101223969A 2002-12-20 2003-12-19 具有多层互连结构的半导体器件及其制造方法 Pending CN1510748A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002371134A JP2004207281A (ja) 2002-12-20 2002-12-20 多層配線構造およびその形成方法、半導体装置
JP371134/2002 2002-12-20

Publications (1)

Publication Number Publication Date
CN1510748A true CN1510748A (zh) 2004-07-07

Family

ID=32376352

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2003101223969A Pending CN1510748A (zh) 2002-12-20 2003-12-19 具有多层互连结构的半导体器件及其制造方法

Country Status (4)

Country Link
US (2) US7145241B2 (zh)
EP (1) EP1432025A3 (zh)
JP (1) JP2004207281A (zh)
CN (1) CN1510748A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100424867C (zh) * 2004-12-03 2008-10-08 台湾积体电路制造股份有限公司 集成电路的内连线结构
CN102437142A (zh) * 2011-08-17 2012-05-02 上海华力微电子有限公司 一种降低通孔电阻的金属互联结构及其形成方法
CN103125013A (zh) * 2010-09-27 2013-05-29 诺发系统公司 用于在穿孔中选择性沉积钨的系统和方法
CN103578963A (zh) * 2012-08-02 2014-02-12 中国科学院微电子研究所 半导体器件及其制造方法
CN104821309A (zh) * 2014-01-31 2015-08-05 瑞萨电子株式会社 半导体装置及其制造方法
CN109103139A (zh) * 2018-08-14 2018-12-28 上海华虹宏力半导体制造有限公司 半导体通孔的制造方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7713865B2 (en) * 2005-06-24 2010-05-11 International Business Machines Corporation Preventing damage to metal using clustered processing and at least partially sacrificial encapsulation
US20080054466A1 (en) * 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
JP5117112B2 (ja) * 2007-05-31 2013-01-09 ローム株式会社 半導体装置
JP5214913B2 (ja) 2007-05-31 2013-06-19 ローム株式会社 半導体装置
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
KR20100051211A (ko) * 2008-11-07 2010-05-17 주식회사 동부하이텍 이미지 센서의 금속배선 형성방법
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20140248767A1 (en) 2013-03-01 2014-09-04 Micron Technology, Inc. Methods Of Fabricating Integrated Circuitry
US9817063B2 (en) * 2016-02-19 2017-11-14 Globalfoundries Inc. Interconnect reliability structures
US10366955B2 (en) 2017-01-25 2019-07-30 Samsung Electronics Co., Ltd. Semiconductor device including conductive structure having nucleation structure and method of forming the same
US10796996B2 (en) * 2017-03-10 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
JP6806252B2 (ja) * 2017-07-13 2021-01-06 富士電機株式会社 半導体装置
KR102403731B1 (ko) * 2017-11-01 2022-05-30 삼성전자주식회사 가변 저항 메모리 소자
KR102521222B1 (ko) * 2017-11-15 2023-04-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
JP6640391B2 (ja) * 2019-01-22 2020-02-05 ルネサスエレクトロニクス株式会社 半導体装置
CN110707210A (zh) * 2019-09-26 2020-01-17 上海华力微电子有限公司 Rram阻变结构下电极的工艺方法
US11227794B2 (en) 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2864141B2 (ja) * 1990-03-15 1999-03-03 富士通株式会社 半導体装置の製造方法
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH06287741A (ja) 1993-04-02 1994-10-11 Sumitomo Electric Ind Ltd 耐摩耗性導電薄膜および耐摩耗性部材
JP3421136B2 (ja) 1993-07-30 2003-06-30 株式会社リコー 不揮発性半導体メモリ装置の製造方法
JPH08148563A (ja) 1994-11-22 1996-06-07 Nec Corp 半導体装置の多層配線構造体の形成方法
KR100440418B1 (ko) * 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JPH118753A (ja) 1997-06-18 1999-01-12 Nec Corp 電子透かし挿入装置
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6372633B1 (en) 1998-07-08 2002-04-16 Applied Materials, Inc. Method and apparatus for forming metal interconnects
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
JP3329380B2 (ja) 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
JP2002184776A (ja) * 2000-12-15 2002-06-28 Sharp Corp 半導体装置及びその製造方法
JP2002184951A (ja) * 2000-12-15 2002-06-28 Mitsubishi Electric Corp 容量素子を有する半導体装置およびその製造方法
US7087997B2 (en) * 2001-03-12 2006-08-08 International Business Machines Corporation Copper to aluminum interlayer interconnect using stud and via liner
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004140198A (ja) * 2002-10-18 2004-05-13 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
US6713407B1 (en) * 2002-10-29 2004-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Method of forming a metal nitride layer over exposed copper

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100424867C (zh) * 2004-12-03 2008-10-08 台湾积体电路制造股份有限公司 集成电路的内连线结构
CN103125013A (zh) * 2010-09-27 2013-05-29 诺发系统公司 用于在穿孔中选择性沉积钨的系统和方法
CN103125013B (zh) * 2010-09-27 2015-09-30 诺发系统公司 用于在穿孔中选择性沉积钨的系统和方法
CN102437142A (zh) * 2011-08-17 2012-05-02 上海华力微电子有限公司 一种降低通孔电阻的金属互联结构及其形成方法
CN103578963A (zh) * 2012-08-02 2014-02-12 中国科学院微电子研究所 半导体器件及其制造方法
CN104821309A (zh) * 2014-01-31 2015-08-05 瑞萨电子株式会社 半导体装置及其制造方法
CN104821309B (zh) * 2014-01-31 2019-01-15 瑞萨电子株式会社 半导体装置及其制造方法
CN109103139A (zh) * 2018-08-14 2018-12-28 上海华虹宏力半导体制造有限公司 半导体通孔的制造方法

Also Published As

Publication number Publication date
US7145241B2 (en) 2006-12-05
US20070026673A1 (en) 2007-02-01
JP2004207281A (ja) 2004-07-22
EP1432025A3 (en) 2009-03-25
EP1432025A2 (en) 2004-06-23
US20040124537A1 (en) 2004-07-01

Similar Documents

Publication Publication Date Title
CN1510748A (zh) 具有多层互连结构的半导体器件及其制造方法
CN1518075A (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
CN1173406C (zh) 具有电容器保护层的半导体存储器件及其制备方法
CN1231970C (zh) 半导体器件
CN1542958A (zh) 半导体器件及其制造方法
CN1135618C (zh) 半导体装置及其制造方法
CN1446374A (zh) 低介电氮化硅膜及其制造方法和半导体器件及其制造工艺
CN1458689A (zh) 半导体器件
CN1499633A (zh) 半导体器件及其制造方法
CN1956173A (zh) 半导体器件以及其制造方法
CN1893080A (zh) 具有mim电容器的半导体装置及其制造方法
CN1848407A (zh) 半导体器件的制造方法以及半导体器件
CN1835226A (zh) 半导体器件及其制造方法
CN1893070A (zh) 有利于提高抗水性和抗氧化性的半导体器件
CN101075577A (zh) 半导体装置的制造方法
CN1426106A (zh) 半导体装置
CN1701418A (zh) 半导体器件的制造方法、半导体晶片及半导体器件
CN1961418A (zh) 改善低k电介质对导电材料粘附性的方法
CN1777693A (zh) 成膜方法
CN1842903A (zh) 半导体装置及制造方法
CN1210799C (zh) 半导体器件及其制造方法
CN1519926A (zh) 半导体器件及其制造方法
CN1444279A (zh) 半导体器件及其制作方法
CN1650417A (zh) 半导体器件及其制造方法
CN101047183A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication