CN103125013B - 用于在穿孔中选择性沉积钨的系统和方法 - Google Patents

用于在穿孔中选择性沉积钨的系统和方法 Download PDF

Info

Publication number
CN103125013B
CN103125013B CN201180046456.6A CN201180046456A CN103125013B CN 103125013 B CN103125013 B CN 103125013B CN 201180046456 A CN201180046456 A CN 201180046456A CN 103125013 B CN103125013 B CN 103125013B
Authority
CN
China
Prior art keywords
metal
coring
tungsten
deposition
cvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180046456.6A
Other languages
English (en)
Other versions
CN103125013A (zh
Inventor
高举文
拉杰库马尔·亚卡拉朱
迈克尔·达内克
雷威
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103125013A publication Critical patent/CN103125013A/zh
Application granted granted Critical
Publication of CN103125013B publication Critical patent/CN103125013B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于处理基板的方法,其包括:提供基板,该基板包括金属层、设置在金属层上的介电层、以及形成在该介电层中的穿孔和沟槽中的至少一种;在第一沉积周期内,使用化学气相沉积法(CVD)沉积金属,其中第一沉积周期比金属沉积在金属层所需要的第一核化周期要长;在第二核化延迟周期前,停止第一沉积周期,其中第二核化周期为金属沉积在介电层上所必须的;执行沉积以及停止步骤N次,其中N为大于或等于1的整数;在执行步骤后,在比第二核化延迟周期长的第二沉积周期内,使用CVD沉积该金属。

Description

用于在穿孔中选择性沉积钨的系统和方法
相关申请的交叉引用
本申请要求了2011年09月23日提交的美国申请号为13/242,160的优先权,以及2010年09月27日提交的美国临时申请第61/386,791号的权益。上述申请的整个公开内容在此全部引用作为参考
技术领域
本申请涉及使用选择性钨沉积,由下至上地填充穿孔的系统和方法。
背景技术
此处提供的背景说明,是为了概括地介绍本发明的内容,现在被称作发明人的作品,在某种程度上在背景部分中被描述了,与本申请的说明书的其他方面一样在提交时并不作为现有技术,既非明示也非默认为是与本发明相对的现有技术。
半导体基板通常包含诸如用导电材料填充以允许导电层互连的穿孔之类的特征。例如,一些可包含设置在钨层之上的夹层介电层(ILD:interlayer dielectric)。穿孔可限定于该ILD中,从而允许连接于该钨层。该穿孔需要诸如钨等导电材料进行填充,从而允许连接至该钨层。通常,具有低电阻的连接对于降低能耗与热量是很重要的。
可采用共形填充法填充该穿孔。该方法在该穿孔的双侧面上生长钨膜。由于所述侧面之间的尺寸收缩,该钨晶粒的生长受到限制。结果,该膜的电阻率受到限制。同时,在该穿孔的中部产生缝隙,该缝隙限制钨的填充量并且损害穿孔电阻。
发明内容
本部分提供本发明的概括性简介,并且不是完整公开所有范围或其所有特征。
一种用于处理基板的方法包括:提供基板,该基板包括金属层、设置在该金属层上的介电层、以及形成在该介电层中的穿孔和沟槽中的至少一种;在第一沉积周期内,使用化学气相沉积法(CVD:chemical vapordeposition)来沉积金属,其中该第一沉积周期长于将金属沉积在金属层之上所需的第一核化周期;在第二核化延迟周期前,停止该第一沉积周期,其中第二核化周期是金属沉积在介电层上所需要的;执行该沉积和停止步骤N次,其中N是大于或等于1的整数;以及在执行步骤之后,在比该第二核化延迟周期长的第二沉积周期内,使用CVD来沉积该金属。
在其他特征中,所述金属包含钨。所述金属层包含钨。所述方法包括在该第一沉积周期前沉积核化层。该核化层包含无氟钨层以及钨/氮化钨层中的一种。该核化层具有介于2埃到30埃之间的厚度。
在其他特征中,该方法包括,在停止步骤之后,并在所述N次中的另一次与该第二核化沉积周期两者中的至少一者之前,执行生长中断处理,以中断该金属在该介电层上的生长。该生长中断处理包括在浸泡周期内执行氨浸泡。可选的是,该生长中断处理包括在浸泡周期内执行氟浸泡。
在其他特征中,该方法包括在第一沉积周期之前沉积核化层。该方法包括在该第一沉积周期之前执行溅射蚀刻处理,以在接头底部的该穿孔中至少部分地去除该核化层。
一种用以处理基板的方法包括:提供基板,该基板包括金属层、设置在该金属层上的介电层、以及形成在该介电层中的穿孔和沟槽中的至少一种;使用物理气相沉积(PVD:physical vapor deposition)来沉积金属;在第二沉积周期内,使用化学气相沉积(CVD:chemical vapor deposition)来沉积金属,其中该第二沉积周期长于金属沉积在该金属层上所需要的第一核化周期;在第二核化延迟周期之前,停止该第二沉积周期,其中该第二核化延迟周期是该金属沉积在该介电层上所必须的;执行该CVD沉积步骤以及该停止步骤N次,其中N是大于或等于1的整数;以及在该执行步骤之后,在比第二核化延迟周期长的第三沉积周期内,使用CVD沉积该金属。
在其他特征中,所述金属包含钨。所述金属层包含钨。所述方法包括在该第一沉积周期前沉积核化层。该核化层包含无氟钨层和钨/氮化钨层中的一种。该核化层具有介于2埃到30埃之间的厚度。
在其他特征中,该方法包括:在停止步骤之后,并在该N次中的另一次和该第三沉积周期两者中的至少一者之前,执行生长中断处理,以中断该金属在该介电层上的生长。该生长中断处理包括在浸泡周期内执行氨浸泡。可选的是,该生长中断处理包含浸泡周期内执行氟浸泡。
在其他特征中,该PVD包括定向PVD。可选的是,该PVD包括不定向PVD。所述方法包括在第一沉积周期前沉积核化层。所述方法包括在第二沉积周期以及第三沉积周期之前,执行溅射蚀刻处理以从该穿孔的侧壁蚀刻该金属。所述方法包括在第一沉积周期前沉积核化层。所述方法包括在该第二沉积周期以及第三沉积周期之前,执行溅射蚀刻处理以从该基板的场域,该沟槽与该穿孔的侧壁来蚀刻该金属。
更多的适用范围将在此提供的说明书中呈现。本发明内容部分的说明以及具体实施方式仅用来解释本发明,而并不是对发明的范围加以限制。
附图说明
从具体实施方式和相应的附图中可以更加完整地理解本发明,其中:
图1为说明在不同基底材料上的沉积时间与钨厚度的函数关系图表;
图2A-2B为说明根据本发明使用一种填充方法在穿孔中进行钨沉积的剖视图;
图2C为说明在图2A-2B中的穿孔中沉积钨的方法的流程图;
图3A-3C为说明根据本发明使用另一种填充方法在穿孔中进行钨沉积的剖视图;
图3D为说明在图3A-3C中的穿孔中沉积钨的方法的流程图;
图4说明了使用共形以及由下至上填充方法的电阻与钨厚度之间的关系;
图5-6说明了共形以及由下至上填充方法的标准穿孔电阻;
图7说明了在有和没有无氟钨(FFW)核化层两种情况下,沉积厚度与时间(或选择性)的函数关系;
图8说明了在有和没有无氟钨(FFW)核化层两种情况下,电阻与厚度的函数关系;
图9A-9C为说明根据本发明使用另一种填充方法在穿孔中进行钨沉积的剖视图;
图9D为说明在图9A-9C中的穿孔中进行钨沉积的方法的流程图;
图10A-10C为说明根据本发明使用另一种填充方法在穿孔中进行钨沉积的剖视图;
图10D为说明在图10A-10C中的穿孔中进行钨沉积的方法的流程图;
图11A-11C为说明根据本发明使用另一种填充方法在穿孔中进行钨沉积的剖视图;
图11D为说明在图11A-11C中的穿孔中进行钨沉积的方法的流程图。
具体实施方式
以下说明实质上仅为示例性的,并非对本发明、本发明的应用或用途的限制。为了清楚地说明,附图中相同的标记将用于表示类似元件。在本文中,“A、B以及C中的至少一个”等语句,应使用非排他逻辑“或”解释为逻辑(A或B或C)的意思。应该知道的是,在不改变本发明的原理的情况下,方法的步骤可以按照不同的次序执行。
本发明利用诸如但不限于化学气相沉积钨(CVD-W:chemicalvapor deposition tungsten)之类的金属选择性生长,以使用由下至上的方法而至少部分地填充穿孔。该选择性生长之后,是在沟槽和/或场域中无选择性生长。
诸如但不限于CVD-W之类的金属选择性生长,与夹层介电层(ILD:interlayer dielectric)相比,在金属基板上(诸如钨(W)、铜(Cu)以及其他材料)具有不同核化延迟。通常来说,在金属基板上的核化延迟是短于在ILD上的核化延迟的。可使用该核化延迟差异,以允许在金属基板上选择性生长。本发明利用该选择性,以允许钨从接头底部(通常为金属)生长,同时限制从介电层侧壁生长。使用该方法,导致穿孔由下至上的填充,同时消除缝隙。
在本发明的一些实施例中,在选择性CVD-W生长之前可使用核化层。核化层可包含无氟钨(FFW:fluorine-free tungsten)层,低温脉冲核化层钨-氮化钨层(PNL-W/WN:pulsed nucleation layer tungsten-tungsten nitride)或其他合适的核化层。核化层可足够薄以使它不会损害选择性。仅例如,该FFW层可具有2-30埃的厚度。
在要求额外厚度的一些实施例中,可使用生长中断处理以中断ILD上的CVD-W生长。仅例如,该生长中断处理可包含氨(NH3)浸泡以中断在该ILD上的生长。也可使用氟处理。在该生长中断处理后,开始钨的沉积。此方式可重复进行,直至达到所需厚度。
为了提高选择性并维持低电阻率,在CVD-W核化期间可使用大的H2与WF6的比率。这在CVD模式或脉冲核化层(PNL:pulsed nucleationlayer)模式中都可获得,其中当WF6脉冲时H2不间断地流动。此方法也可在沟槽(barrier)第一方式中使用。使用本文描述的该方法,允许由下至上填充,同时最大化钨晶粒,并消除与共形生长有关的缝隙。该方法可在Novellus AltusDirectFillTM系统(无氟钨,预洗+氮化钨(WN)或预洗+PNL)中以最低限度的硬件变化而执行。
为了进一步说明,非限制性的具体实施例将在下文中描述。现参考图1,显示了对于不同的基底材料,沉积时间与钨(W)厚度的函数关系。可理解的是,钨开始在FFW/W上的生长是快于在FFW/ILD上的。也就是说,与FFW/W相比,CVD-W的核化延迟长于FFW/ILD。本发明描述了利用该核化延迟以选择性生长CVD钨,并允许由下至上填充穿孔的系统和方法。可以理解的是,在FFW/ILD上开始钨生长之前,本文描述的该选择性生长方法允许在FFW/W上有大约150埃的钨生长。
在一些实施例中,CVD-W生长开始并持续预定的选择性期间,该预定的选择性期间短于允许FFW/ILD生产的预定周期。然后,CVD-W生长终止,且执行该生长中断处理以中断在FFW/ILD上的生长。然后,CVD-W生长在FFW/W上重新开始,并持续短于或等于该预定选择性周期的周期。接着,CVD-W可持续到无选择性生长。在一些实施例中,无选择性生长指的是CVD-W生长的周期长于该预定选择性周期(无中断),但可使用其他无选择性生长方法。可选的是,生长可使用该生长中断处理而再次中断。该方式可重复进行,直至到达所需厚度。
现在参考图2A,显示了根据本发明使用一种填充方法在穿孔中沉积钨。基板20包括钨层24。该钨层24可包含低电阻率钨(LRW:lowresistivity tungsten)。夹层介电层(ILD:interlayer dielectric)22设置在该钨层24之上,从而来限定场域26、沟槽28和/或穿孔30。核化层32可沉积于该场域26、沟槽28和/或穿孔30之上。仅例如,核化层32可包含具有2-30埃的厚度的无氟钨(FFW:fluorine free tungsten)。仅例如,该FFW可具有的厚度。
在沉积该核化层32之后,使用选择性填充方法而在该穿孔30中沉积CVD-W38。也就是说,使用CVD-W来生长钨,并在ILD上生长之前终止。执行生长中断处理,然后该CVD-W生长可再次开始。可使用一次或多次CVD-W以及生长中断步骤以获取所需厚度。当达到所需厚度时,可开始无选择性CVD-W生长。无选择性CVD-W生长可包含周期长于预定选择性周期的CVD-W生长,但也可使用其他方法。
现在参考图2C,其显示了在图2A和图2B的穿孔中沉积钨的一种方法50。在步骤52提供基板,该基板包含ILD以及钨区域,其限定了场域、沟槽和/或穿孔。在步骤56中,核化层32沉积于场域26、沟槽28和/或穿孔30之上。
在步骤58中,开始选择性CVD-W生长。在步骤60中,该方法判断是否已达到所需厚度。如未达到,则该方法使用生长中断处理以中断在FFW/ILD上的生长。并回到步骤58。当步骤60为真时,则该方法在步骤64开始无选择性CVD-W生长。在一些实施例中,该无选择性CVD-W生长填充穿孔以及沟槽区域,并可能延伸至场域上方以产生覆盖层并允许后续处理。例如,后续处理可包括化学机械研磨(CMP:chemical mechanicalpolishing)。
现在参考图3A-3C,示出了与图2A-2C所示的方法类似的另一种方法。在沉积核化层32之后,使用按箭头70所表示的溅射蚀刻处理,以移除钨层24上的穿孔30中的核化层32。然后,在执行完选择性CVD-W生长后紧接着执行无选择性CVD-W生长。
现在参考图3D,显示在图3A-3C的穿孔中沉积钨的一种方法80。在步骤82中提供基板。该基板包含ILD和钨区域,其限定了场域、沟槽和/或穿孔。在步骤86中,沉积核化层32。在步骤90中,在沉积核化层32之后,方法80蚀刻在钨层24上的穿孔30的核化层32。在步骤92中,执行选择性CVD-W生长。
在步骤94中,如果在穿孔30的CVD-W不具有足够的厚度,则CVD-W生长在步骤96中使用生长中断处理中断,从而中断FFW/ILD上的生长且该方法在步骤92继续。当达到足够厚度时,在步骤98中执行无选择性CVD-W生长。
现在参考图4,显示了使用共形方法以及由下至上方法的电阻与钨厚度的关系。可以理解的是,与该共形方法相比,该由下至上的CVD-W方法具有改进的晶粒结构。仅例如,当填充穿孔(例如30nm的穿孔)时,由下至上的CVD-W晶粒尺寸可以跟特征尺寸(在本示例中为30nm)一样大小。该共形方法晶粒被限制在特征的一半大小(或在此示例中为15nm)。由于更大尺寸的晶粒填充相同的特征,该由下至上方法可导致低穿孔电阻。
现在参考图5、图6,其显示了共形方法和由下至上方法的标准穿孔电阻。可以理解的是,与该共形方法相比,该由下至上方法就有较低的标准穿孔电阻。
现在参考图7,显示了有和没有该FFW核化层时,沉积厚度与时间(或选择性)的函数关系。当在钨层直接执行该CVD-W生长时(如图3A-3D),提供额外的选择性。
现在参考图8,显示了有和没有该FFW核化层时,电阻与厚度的函数关系。当在蚀刻该FFW核化层之后直接在钨层上执行CVD-W生长时(如图3A-3D所示),则提供更低的电阻。
现在参考图9A-9C,显示了依据本发明使用另一种方法在穿孔中沉积钨。基板200具有限定了场域206、沟槽208和/或穿孔210的钨层204以及夹层介电层(ILD:interlayer dielectric)202。PVD-W220以及222可使用定向物理气相沉积(PVD:physical vapor deposition)方法而沉积于该场域206之上。同样地,PVD-W224可沉积与沟槽208之上以及PVD-W226可沉积于穿孔210中。
在沉积PVD-W220、222、224以及226之后,CVD钨234使用选择性方法而沉积于PVD-W220、222、224以及226之上。当达到足够厚度时,开始并持续无选择性CVD-W生长,直至该无选择性CVD-W生长延伸至该场域上方以允许后续处理。
例如,后续处理可包括化学机械研磨(CMP:chemical mechanicalpolishing)。仅例如,在穿孔210中的PVD-W226的厚度可为沟槽中PVD-W224的厚度可为PVD-W220以及222的厚度可为200也可以使用其他厚度。
现在参考图9D,显示了在图9A-9C中的穿孔中沉积钨的方法。在步骤250中提供基板。该基板包含ILD与钨区域,其限定了场域、沟槽和/或穿孔。
在步骤256中,使用定向PVD-W处理从而沉积钨于场域、沟槽和/或穿孔上。在步骤260中,在PVD-W上开始选择性CVD-W生长。在步骤262中,该方法判断是否已达到所需厚度。如果未达到的话,则该方法在步骤264中中断在ILD上的生长,并且该方法回到步骤260。当在步骤262中达到所需厚度时,则该方法在步骤266中开始无选择性CVD-W生长。在一些实施例中,无选择性CVD-W生长填充穿孔以及沟槽区域并可延伸至场域之上,从而允许后续处理,例如,后续处理可包括化学机械研磨(CMP:chemical mechanical polishing)。
现在参考图10A-10C,显示了根据本发明使用另一种方法在穿孔中沉积钨。基板300具有限定了场域306、沟槽308和/或穿孔310的钨层304以及夹层介电层302(ILD:interlayer dielectric)。PVD-W320以及322可使用非定向物理气相沉积(PVD:physical vapor deposition)方法而沉积于场域306之上。同样地,PVD-W324可沉积在沟槽308之上以及PVD-W326可沉积于穿孔310中。PVD-W321、323以及325可沉积于侧壁之上。可以理解的是,相较于场域306、沟槽308与穿孔310上的PVD-W320、322、324以及326,在侧壁的PVD-W层321、323以及325的厚度较薄。可执行回蚀刻处理。由于PVD-W在侧壁上最薄,因此首先去除该PVD-W。
在回蚀刻处理后,钨334使用选择性CVD方法,而沉积于层320’,322’,324’以及326’(蚀刻之后)之上。也就是说,该钨使用一个或多个选择性CVD-W生长以及生长中断步骤而生长。然后,开始并可持续无选择性CVD-W生长,直到该无选择性CVD-W延伸至场域之上以允许后续处理。例如,后续处理可包括化学机械研磨(CMP:chemical mechanicalpolishing)。
现在参考图10D,显示了在图10A-10C的穿孔中沉积钨的方法。在步骤350中,提供基板。该基板包含ILD与钨区域,其限定诸如场域、沟槽和/或穿孔的特征。在步骤356中,PVD-W沉积于诸如场域、沟槽和/或穿孔的特征之上。在步骤360中,采用蚀刻处理来移除PVD-W。蚀刻处理要持续足够长的时间,从而使该PVD-W能够从侧壁去除。在步骤362中,开始选择性CVD生长。
在步骤364中,该方法判断是否已达到所需厚度。如果没达到的话,该方法在步骤366中中断在ILD上的生产,并且该方法回到步骤362。当在步骤364中达到所需厚度时,该方法在步骤370中开始无选择性CVD-W生长。在一些实施例中,无选择性CVD-W生长填充穿孔及沟槽区域并可延伸至场域之上,从而能进行后续处理。例如,后续处理可包括化学机械研磨(CMP:chemical mechanical polishing)。
现在参考图11A-11C,显示了根据本发明使用另一种填充方法在穿孔中沉积钨。基板400具有限定了场域406、沟槽408和/或穿孔410的钨层404及夹层介电层(ILD:interlayer dielectric)402。PVD-W420以及422可使用定向物理气相沉积(PVD:physical vapor deposition)方法而沉积于场域406之上。同样,PVD-W424可沉积于沟槽408之上以及PVD-W426可沉积于穿孔410中。PVD-W421、423以及425可沉积于侧壁之上。在场域、侧壁以及沟槽区域中使用具有选择性的回蚀刻处理,从而在420’-425’去除PVD-W。例如,可使用氟基团或氟气。
CVD-W434沉积于穿孔426中。也就是说,钨使用一个或多个选择性CVD-W生长以及生长中断步骤而生长。然后,开始并可持续无选择性CVD-W生长,直到该无选择性CVD-W延伸至场域之上从而允许后续处理。例如,后续处理可包括化学机械研磨(CMP:chemical mechanicalpolishing)。
现在参考图11D,显示了在图11A-11C的穿孔中沉积钨的方法。在步骤450中,提供基板,该基板包含ILD与钨层,其限定穿孔、沟槽和/或场域。在步骤456中,沉积PVD-W。在步骤460中,选择性蚀刻处理从侧壁、沟槽以及场域移除PVD-W,但不从穿孔410(在426’)中完全去除。在步骤462中,开始选择性CVD生长。
在步骤464中,该方法判断是否已达到所需厚度。如果没有达到的话,该方法在步骤466中中断ILD表面上的生长,并且该方法回到步骤462。当在步骤464中达到所需厚度时,该方法在步骤470中开始无选择性CVD-W生长。在一些实施例中,无选择性CVD-W生长填充穿孔及沟槽区域并可延伸至场域之上,从而允许后续处理。例如,后续处理可包括化学机械研磨(CMP:chemical mechanical polishing)。
本发明的广义教导可以不同形式实施。因此,尽管本发明包括一些特定实施方式,但本发明的保护范围并未限制于此,相反的,在对本发明的附图、说明书和权利要求的研究后,所有对本发明的其他修改对本领域技术人员而言将是显而易见的。

Claims (18)

1.一种处理基板的方法,其包括:
提供基板,该基板包括金属层、设置在该金属层上的介电层、以及形成在该介电层中的穿孔和沟槽中的至少一种;
在第一沉积周期内,使用化学气相沉积(CVD)沉积金属,其中该第一沉积周期要长于该金属沉积于该金属层之上所必须的第一核化延迟周期;
在第二核化延迟周期前,停止该第一沉积周期,其中该第二核化延迟周期为该金属沉积于该介电层之上所必须的;
执行生长中断处理,从而中断该金属在该介电层上的生长;
执行该沉积与该停止N次,其中N是大于或等于1的整数;以及
在该执行之后,在长于该第二核化延迟周期的第二沉积周期内,使用CVD来沉积该金属。
2.如权利要求1所述的方法,其中该金属包含钨。
3.如权利要求1所述的方法,其中该金属层包含钨。
4.如权利要求1所述的方法,其进一步包括在该第一沉积周期之前沉积核化层。
5.如权利要求4所述的方法,其中该核化层包含无氟钨层以及钨/氮化钨层中的一种。
6.如权利要求4所述的方法,其中该核化层具有介于2埃到30埃之间的厚度。
7.如权利要求1所述的方法,其中该生长中断处理包含在浸泡周期内执行氨浸泡。
8.如权利要求1所述的方法,其中该生长中断处理包含在浸泡周期内执行氟浸泡。
9.如权利要求4所述的方法,其进一步包括在该第一沉积周期之前执行溅射蚀刻处理,从而去除在接头底部的该穿孔中的该核化层以将该金属层暴露出来。
10.一种处理基板的方法,其包括
提供基板,该基板包括金属层、设置于该金属层上的介电层、以及形成在该介电层中的穿孔和沟槽中的至少一种;
在第一沉积周期内,使用物理气相沉积(PVD)沉积金属;
在第二沉积周期内,使用化学气相沉积(CVD)沉积该金属,其中该第二沉积周期长于该金属沉积于该金属层上所必须的第一核化延迟周期;
在第二核化延迟周期前,停止该第二沉积周期,其中该第二核化延迟周期为该金属沉积于该介电层之上所必须的;
执行生长中断处理,以中断该金属在该介电层上的生长;
执行该CVD沉积以及该停止N次,其中N是大于或等于1的整数;以及
在该执行之后,在长于该第二核化延迟周期的第三沉积周期内,使用CVD沉积该金属。
11.如权利要求10所述的方法,其中该金属包含钨。
12.如权利要求10所述的方法,其中该金属层包含钨。
13.如权利要求10所述的方法,其中该生长中断处理包含在浸泡周期内执行氨浸泡。
14.如权利要求10所述的方法,其中该生长中断处理包含在浸泡周期内执行氟浸泡。
15.如权利要求10所述的方法,其中该PVD包含定向PVD。
16.如权利要求10所述的方法,其中该PVD包含不定向PVD。
17.如权利要求16所述的方法,其进一步包括在该第二沉积周期以及该第三沉积周期之前,执行溅射蚀刻处理以从该穿孔的侧壁蚀刻该金属。
18.如权利要求16所述的方法,其进一步包括在该第二沉积周期以及该第三沉积周期之前,执行溅射蚀刻处理以从该基板的场域、该沟槽和该穿孔的侧壁蚀刻该金属。
CN201180046456.6A 2010-09-27 2011-09-26 用于在穿孔中选择性沉积钨的系统和方法 Active CN103125013B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US38679110P 2010-09-27 2010-09-27
US61/386,791 2010-09-27
US13/242,160 US8778797B2 (en) 2010-09-27 2011-09-23 Systems and methods for selective tungsten deposition in vias
US13/242,160 2011-09-23
PCT/US2011/053203 WO2012047571A2 (en) 2010-09-27 2011-09-26 Systems and methods for selective tungsten deposition in vias

Publications (2)

Publication Number Publication Date
CN103125013A CN103125013A (zh) 2013-05-29
CN103125013B true CN103125013B (zh) 2015-09-30

Family

ID=45871081

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180046456.6A Active CN103125013B (zh) 2010-09-27 2011-09-26 用于在穿孔中选择性沉积钨的系统和方法

Country Status (6)

Country Link
US (1) US8778797B2 (zh)
KR (1) KR101863392B1 (zh)
CN (1) CN103125013B (zh)
SG (1) SG188655A1 (zh)
TW (1) TWI571524B (zh)
WO (1) WO2012047571A2 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9640482B1 (en) * 2016-04-13 2017-05-02 United Microelectronics Corp. Semiconductor device with a contact plug and method of fabricating the same
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US20200251340A1 (en) * 2019-02-04 2020-08-06 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
CN112349594B (zh) * 2019-08-09 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220068709A1 (en) * 2020-08-25 2022-03-03 Applied Materials, Inc. Low Resistivity Tungsten Film And Method Of Manufacture
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260232A (en) * 1991-04-05 1993-11-09 Sony Corporation Refractory metal plug forming method
US5966607A (en) * 1997-12-31 1999-10-12 National Semicoinductor Corporation Metal salicide process employing ion metal plasma deposition
CN1239823A (zh) * 1998-06-24 1999-12-29 世大积体电路股份有限公司 插塞的制造方法
CN1510748A (zh) * 2002-12-20 2004-07-07 ��ʿͨ��ʽ���� 具有多层互连结构的半导体器件及其制造方法
CN1595620A (zh) * 2003-09-10 2005-03-16 台湾积体电路制造股份有限公司 介电层的改质方法、改质后的介电层与其在镶嵌式金属制程的应用
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3339269B2 (ja) * 1995-09-14 2002-10-28 ソニー株式会社 コンタクトプラグの形成方法
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7498256B2 (en) * 2006-08-21 2009-03-03 International Business Machines Corporation Copper contact via structure using hybrid barrier layer
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260232A (en) * 1991-04-05 1993-11-09 Sony Corporation Refractory metal plug forming method
US5966607A (en) * 1997-12-31 1999-10-12 National Semicoinductor Corporation Metal salicide process employing ion metal plasma deposition
CN1239823A (zh) * 1998-06-24 1999-12-29 世大积体电路股份有限公司 插塞的制造方法
CN1510748A (zh) * 2002-12-20 2004-07-07 ��ʿͨ��ʽ���� 具有多层互连结构的半导体器件及其制造方法
CN1595620A (zh) * 2003-09-10 2005-03-16 台湾积体电路制造股份有限公司 介电层的改质方法、改质后的介电层与其在镶嵌式金属制程的应用
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积

Also Published As

Publication number Publication date
SG188655A1 (en) 2013-04-30
TW201221682A (en) 2012-06-01
WO2012047571A3 (en) 2012-08-09
US20120077342A1 (en) 2012-03-29
KR101863392B1 (ko) 2018-05-31
KR20130100157A (ko) 2013-09-09
WO2012047571A2 (en) 2012-04-12
TWI571524B (zh) 2017-02-21
CN103125013A (zh) 2013-05-29
US8778797B2 (en) 2014-07-15

Similar Documents

Publication Publication Date Title
CN103125013B (zh) 用于在穿孔中选择性沉积钨的系统和方法
US11959167B2 (en) Selective cobalt deposition on copper surfaces
KR101820002B1 (ko) 전기화학적 도금 방법들
TWI234846B (en) Method of forming multi layer conductive line in semiconductor device
US9209074B2 (en) Cobalt deposition on barrier surfaces
US7338908B1 (en) Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US7737028B2 (en) Selective ruthenium deposition on copper materials
US7964496B2 (en) Schemes for forming barrier layers for copper in interconnect structures
US10008448B2 (en) Dielectric/metal barrier integration to prevent copper diffusion
CN106611742A (zh) 接触孔的形成方法
JP2006210921A (ja) 半導体素子の金属配線の形成方法
KR101069630B1 (ko) 흡착억제제를 이용한 반도체 소자의 금속배선 형성방법
US8652966B2 (en) Semiconductor device manufacturing method and semiconductor device
TWI251898B (en) Damascene process for fabricating interconnect layers in an integrated circuit
KR20010096408A (ko) 금속 배선 형성방법
KR100538633B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20030040177A1 (en) Method for forming metal interconnections using electroless plating
JP2002026017A (ja) 半導体素子の金属配線形成方法
KR20060073189A (ko) 반도체 소자의 구리배선 형성방법
US20090261477A1 (en) Semiconductor device and method of manufacturing the same
KR20090113621A (ko) 증착 및 식각을 통한 반도체 소자의 금속배선 형성방법
TW201727829A (zh) 用於銅金屬化之方法及用於形成鈷或鎳矽化物之方法
TW588416B (en) Metal layer planarization method for preventing pattern density effect
CN104952722A (zh) 金属淀积方法及去除沟槽尖角的方法
CN103956334B (zh) 集成电路中rdl和tsv金属层一次成型方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant