JP2004200203A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2004200203A
JP2004200203A JP2002363396A JP2002363396A JP2004200203A JP 2004200203 A JP2004200203 A JP 2004200203A JP 2002363396 A JP2002363396 A JP 2002363396A JP 2002363396 A JP2002363396 A JP 2002363396A JP 2004200203 A JP2004200203 A JP 2004200203A
Authority
JP
Japan
Prior art keywords
film
dielectric constant
porous
wiring groove
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002363396A
Other languages
English (en)
Inventor
Shigehiko Kaji
成彦 梶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc filed Critical Semiconductor Leading Edge Technologies Inc
Priority to JP2002363396A priority Critical patent/JP2004200203A/ja
Priority to TW092134787A priority patent/TW200421543A/zh
Priority to KR1020030091037A priority patent/KR20040055596A/ko
Priority to CNA2003101206605A priority patent/CN1508868A/zh
Priority to US10/735,815 priority patent/US20040150075A1/en
Publication of JP2004200203A publication Critical patent/JP2004200203A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】層間絶縁膜の実効誘電率の増加を最小限に抑えながら、多孔性の低誘電率膜と銅配線を用いた多層配線を形成する。
【解決手段】シリコン基板1上にポーラスMSQ(2)を形成し、その上にSiCマスク3を形成する。このSiCマスク3をマスクとしたプラズマエッチングにより、ポーラスMSQ(2)に配線溝5を形成する。配線溝5の側面を含むシリコン基板1全面にフッ素化ポリ(キシリレン)膜6を形成し、配線溝5の側面以外に形成された不要なフッ素化ポリ(キシリレン)膜6を除去する。配線溝5内にバリアメタル膜及びシード層を形成し、金属を堆積する。
【選択図】 図2

Description

【0001】
【発明が属する技術分野】
本発明は、半導体集積回路における配線構造に係り、特に多孔性の低誘電率膜からなる層間絶縁膜と銅配線とを用いた多層配線構造に関する。
【0002】
【従来の技術】
半導体集積回路の微細化に伴い、メタル配線の信号遅延が深刻な問題となっている。
この問題を解決するため、配線材料に銅(Cu)を用いて配線抵抗を低減し、層間絶縁膜に低誘電率膜を用いて静電容量を低減することが必要不可欠となっている。
特に、次世代の半導体集積回路では、より一層の層間容量低減のため、絶縁膜中に複数の空孔を有する、いわゆる多孔性の低誘電率膜(以下「ポーラスLow−k膜」という。)の使用が検討されている。
そして、ポーラスLow−k膜への金属拡散を防止するため、配線用溝の表面にCVD酸化膜を形成する方法が提案されている(例えば、特許文献1参照)。
【0003】
【特許文献1】
特開平9−298241号公報 (第5頁、第1図)
【0004】
【発明が解決しようとする課題】
次世代の65nmノードの半導体集積回路では、配線間の距離が一層短くなる。これに伴い、配線間のポーラスLow−k膜の幅に対して、配線用溝の側面に形成された上記CVD酸化膜の膜厚が相対的に大きくなる。すなわち、配線用溝側面に形成された物質の比誘電率が、線間容量に与える影響が大きくなる。
しかしながら、上記CVD酸化膜の比誘電率kは4.1〜4.3程度であるので、層間絶縁膜であるポーラスLow−k膜の実効誘電率keffが高くなってしまい、所望の実効誘電率が得られないという問題があった。
【0005】
本発明は、上記従来の課題を解決するためになされたもので、層間絶縁膜の実効誘電率の増加を最小限に抑えながら、多孔性の低誘電率膜と銅配線を用いた多層配線を形成することを目的とする。
【0006】
【課題を解決する為の手段】
この発明に係る半導体装置は、基板上に形成された多孔性の低誘電率膜と、
前記低誘電率膜内に形成された配線溝と、
前記配線溝の側面のみを覆い、比誘電率が3以下である絶縁膜と、
前記配線溝内に形成された導電体膜と、
を備えたことを特徴とするものである。
【0007】
この発明に係る半導体装置において、前記絶縁膜は、MSQ、HSQ、フッ素化ポリ(アリレン)膜、アモルファスフッ化カーボンの何れかであることが好適である。
【0008】
この発明に係る半導体装置において、前記低誘電率膜は、ポーラスMSQ、ポーラスHSQ、メチル基と水素基の両方を含有するハイブリッド膜、カーボンを主成分とするポーラス有機膜、の何れかであることが好適である。
【0009】
この発明に係る半導体装置の製造方法は、基板上に多孔性の低誘電率膜を形成する工程と、
前記低誘電率膜内に配線溝を形成する工程と、
前記配線溝の側面を含む前記基板の全面に、比誘電率が3以下である絶縁膜を形成する工程と、
前記配線溝の側面以外に形成された不要な前記絶縁膜を除去する工程と、
前記配線溝内に導電体膜を形成する工程と、
を含むことを特徴とするものである。
【0010】
この発明に係る製造方法において、前記絶縁膜は、MSQ、HSQ、フッ素化ポリ(アリレン)膜、アモルファスフッ化カーボンの何れかであることが好適である。
【0011】
この発明に係る製造方法において、前記低誘電率膜は、ポーラスMSQ、ポーラスHSQ、メチル基と水素基の両方を含有するハイブリッド膜、カーボンを主成分とするポーラス有機膜の何れかであることが好適である。
【0012】
【発明の実施の形態】
以下、図面を参照して本発明の実施の形態について説明する。図中、同一または相当する部分には同一の符号を付してその説明を簡略化ないし省略することがある。
【0013】
先ず、本発明の実施の形態による半導体装置について説明する。
図1は、本発明の実施の形態による半導体装置を説明するための図である。
図1に示すように、シリコン基板等の基板1上に、空孔21を有する多孔性低誘電率膜(以下「ポーラスLow−k膜」ともいう。)2としてのポーラスMSQが形成されている。このポーラスLow−k膜2は、ポーラスMSQの他に、例えば、ポーラスHSQ、メチル基と水素基の両方を含有するハイブリッド膜、カーボンを主成分とするポーラス有機膜がある。また、ポーラスMSQ(2)上にハードマスク3としてのSiCマスクが形成され、ポーラスMSQ(2)内に配線埋め込み用の溝又は孔(以下「配線溝」という。)5が形成されている。この配線溝5の側面上には、比誘電率kが3以下、より好適には2.5以下である絶縁膜7が形成されている。この絶縁膜7は、例えば、MSQ、HSQ、又は、フッ素化ポリ(キシリレン)膜等のフッ素化ポリ(アリレン)膜、アモルファスフッ化カーボンである。配線溝5内には、バリアメタル膜及びシード層10、金属11としてのCuが導電体膜として形成されている。
【0014】
次に、上記半導体装置の製造方法について説明する。
図2は、本実施の形態による半導体装置の製造方法を説明するための図である。詳細には、図2(a)はポーラスMSQ上にSiCマスクを形成した後の状態を示す図であり、図2(b)はポーラスMSQ内に配線溝を形成した後の状態を示す図であり、図2(c)は基板全面に低誘電率膜を形成した状態を示す図であり、図2(d)は不要な低誘電率膜をエッチングした後の状態を示す図である。なお、図2では、図1に示すバリアメタル膜及びシード層10並びに金属(Cu)11の形成についての図示を省略している。
【0015】
先ず、図2(a)に示すように、シリコン基板1上に、複数の空孔21を有するポーラスMSQ(2)を形成する。ポーラスMSQ(2)の空孔21の大きさは、例えば数Å〜数百Å程度である。次に、ポーラスMSQ(2)上に、SiCマスク3を形成する。
【0016】
次に、図2(b)に示すように、SiCマスク3をマスクとして、ポーラスMSQ(2)をプラズマエッチングする。ここで、本実施の形態では、プラズマエッチング装置として、シリコン基板1を上面に載置する下部電極と、それに対向する上部電極とを備えた2周波励起平行平板型RIE(reactive ion etching)装置を用いた(図示省略)。
ポーラスMSQ(2)のプラズマエッチングについて詳述すると、先ず、上部電極に対向する下部電極上にシリコン基板1を配置する。シリコン基板1の温度は、熱交換器等を用いて約25℃に保っておく。次に、チャンバ内にプロセスガスとしてC/N/Arをそれぞれ10/225/1400sccmの流量で導入して、排気機構を用いてチャンバ内の圧力を150mTorrに保つ。そして、上部電極に周波数60MHz、出力1000WのRF電力(高周波電力)を印加し、下部電極に周波数13.56MHz、出力1400WのRF電力を印加すると、チャンバ内にプラズマ4が発生する。このプラズマ4でポーラスMSQ(2)を異方性エッチングすることにより、ポーラスMSQ(2)内に配線溝5が形成される。エッチング終了後は、配線溝5の側面が、ポーラスMSQ(2)の空孔21により凹凸形状となる。
【0017】
次に、図2(c)に示すように、配線溝5の側面を含むシリコン基板1全面に、比誘電率が3以下である絶縁膜(以下「低誘電率膜」という。)6を形成する。以下、低誘電率膜6として、比誘電率が2.2程度で空孔を有しないフッ素化ポリ(キシリレン)膜[CF−C−CF]nを形成する場合について説明する。
先ず、原料収納容器においてフッ素が結合されたキシリレン化合物を加熱・気化させ、これにより得られた原料ガスを5sccmの流量で加熱反応機構に供給する。そして、この加熱反応機構において、600℃の温度で原料ガスを活性化させることにより前駆体を形成する。次に、この前駆体を、20mTorr程度に保たれた成膜チャンバ内の静電チャック上で、マイナス30℃に保たれたシリコン基板1の表面に導く。これにより、シリコン基板1表面で前駆体の重合反応が起こり、シリコン基板1上にフッ素化ポリ(キシリレン)膜6が10nm程度の膜厚で形成される。その後、このフッ素化ポリ(キシリレン)膜6が形成されたシリコン基板1を縦型炉に移載し、大気圧のN雰囲気下、400℃で60分間熱処理を行うことにより、該フッ素化ポリ(キシリレン)膜6を安定化した。
【0018】
次に、図2(d)に示すように、上述したエッチング装置を用いて、配線溝5の側面以外に形成された不要なフッ素化ポリ(キシリレン)膜6を除去する。
このフッ素化ポリ(キシリレン)膜6のプラズマエッチングについて詳述すると、先ず、下部電極上に配置したシリコン基板1を熱交換器等により約25℃に保っておく。次に、チャンバ内にプロセスガスとしてN/Hをそれぞれ150/250sccmの流量で導入して、排気機構を用いてチャンバ内の圧力を300mTorrに保つ。そして、上部電極に周波数60MHz、出力1500WのRF電力(高周波電力)を印加し、下部電極に周波数13.56MHz、出力600WのRF電力を印加すると、チャンバ内にプラズマ7が発生する。このプラズマ7でフッ素化ポリ(キシリレン)膜6を異方性エッチングすることにより、配線溝5の側面上にのみ低誘電率膜6を残して、それ以外の不要なフッ素化ポリ(キシリレン)膜6が除去される。
なお、上述したN/Hガスを用いたプラズマエッチングに代えて、Arガスを用いたスパッタエッチングを行って、不要なフッ素化ポリ(キシリレン)膜6を除去してもよい。
以上のようにして、ポーラスMSQ(2)内に形成された配線溝5の側面のみを覆うフッ素化ポリ(キシリレン)膜6が形成される。
【0019】
最後に、図示しないが、配線溝5内に導電体膜を形成する。詳細には、バリアメタル膜及びシード層(10)を順次形成した後、Cu等の金属(11)を堆積させ、不要な金属をCMPにより除去して平坦化する。これにより、図1に示す半導体装置が得られる。
【0020】
以上説明したように、本実施の形態では、ポーラスMSQ(2)内に配線溝5を形成した後、この配線溝5の側面にフッ素化ポリ(キシリレン)膜6を形成し、その後、配線溝5内に導電体膜を形成した。本実施の形態によれば、導電体膜を形成する際、配線溝5側面の空孔21はフッ素化ポリ(キシリレン)膜6により覆われており、凹凸形状は緩和されている。従って、配線溝5内にカバレージ良く且つ高い密着性で導電体膜を形成することができる。
【0021】
また、本実施の形態では、配線溝5側面を比誘電率が3以下である低誘電率膜6で覆うことにより、層間絶縁膜2の実効誘電率の増加を抑えるようにした。従って、実効誘電率の増加を最小に抑えながら、配線材料に銅を用い、層間絶縁膜にポーラスLow−k膜を用いた多層配線(Cu/Low−k多層配線)を形成することができる。よって、半導体装置の微細化が可能となり、半導体装置の信頼性を向上させることができる。
【0022】
なお、本実施の形態では、フッ素化ポリ(キシリレン)膜6の膜厚を10nm程度としたが、これに限られず、配線溝5としての溝や孔の径や、不要なフッ素化ポリ(キシリレン)膜6の膜厚を除去する際(図2(d)参照)の膜減り量等を考慮して適宜設定すればよい。
【0023】
また、本実施の形態では、低誘電率膜6として比誘電率kが2.2程度のフッ素化ポリ(キシリレン)膜を形成したが、所望の実効誘電率に基づいて、比誘電率kが2.8程度のMSQ系のCVD膜を形成してもよい。このMSQ系のCVD膜としては、例えば、トリメチルシランやテトラメチルシランを原料ガスとし、平行平板型のプラズマCVD装置を用いて形成される膜がある。
【0024】
また、低誘電率膜6として空孔を全く有しない膜を用いることが、導電体膜の密着性向上の目的からは望ましい。但し、導電材料がポーラスMSQ(2)内に拡散するのを防止できれば、空孔を有し且つその空隙率が低い膜を低誘電率膜6として適用することができる。この場合、空孔を有しない膜と比べて、実効誘電率の増加を防止する効果が向上する。
【0025】
また、配線溝5としての溝と孔をそれぞれ別の工程で形成する場合に、この溝及び孔を形成した後にそれらの側面にフッ素化ポリ(キシリレン)膜6を同時に形成してもよく、溝又は孔を形成する毎にそれぞれフッ素化ポリ(キシリレン)膜6を形成してもよい。生産性の観点からは前者の方が望ましい。
【0026】
【発明の効果】
本発明によれば、層間絶縁膜の実効誘電率の増加を最小限に抑えながら、多孔性の低誘電率膜と銅配線を用いた多層配線を形成することができる。
【図面の簡単な説明】
【図1】本発明の実施の形態による半導体装置を説明するための断面図である。
【図2】本発明の実施の形態による半導体装置の製造方法を説明するための断面図である。
【符号の説明】
1 基板(シリコン基板)
2 多孔性低誘電率膜(ポーラスMSQ)
3 ハードマスク(SiCマスク)
4 プラズマ
5 配線溝
6 プラズマ
7 低誘電率膜[フッ素化ポリ(キシリレン)膜]
10 バリアメタル膜、シード層
11 金属(Cu)
21 空孔

Claims (6)

  1. 基板上に形成された多孔性の低誘電率膜と、
    前記低誘電率膜内に形成された配線溝と、
    前記配線溝の側面のみを覆い、比誘電率が3以下である絶縁膜と、
    前記配線溝内に形成された導電体膜と、
    を備えたことを特徴とする半導体装置。
  2. 請求項1に記載の半導体装置において、
    前記絶縁膜は、MSQ、HSQ、フッ素化ポリ(アリレン)膜、アモルファスフッ化カーボンの何れかであることを特徴とする半導体装置。
  3. 請求項1又は2に記載の半導体装置において、
    前記低誘電率膜は、ポーラスMSQ、ポーラスHSQ、メチル基と水素基の両方を含有するハイブリッド膜、カーボンを主成分とするポーラス有機膜の何れかであることを特徴とする半導体装置
  4. 基板上に多孔性の低誘電率膜を形成する工程と、
    前記低誘電率膜内に配線溝を形成する工程と、
    前記配線溝の側面を含む前記基板の全面に、比誘電率が3以下である絶縁膜を形成する工程と、
    前記配線溝の側面以外に形成された不要な前記絶縁膜を除去する工程と、
    前記配線溝内に導電体膜を形成する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  5. 請求項4に記載の製造方法において、
    前記絶縁膜は、MSQ、HSQ、フッ素化ポリ(アリレン)膜、アモルファスフッ化カーボンの何れかであることを特徴とする半導体装置の製造方法。
  6. 請求項4又は5に記載の製造方法において、
    前記低誘電率膜は、ポーラスMSQ、ポーラスHSQ、メチル基と水素基の両方を含有するハイブリッド膜、カーボンを主成分とするポーラス有機膜の何れかであることを特徴とする半導体装置の製造方法。
JP2002363396A 2002-12-16 2002-12-16 半導体装置及びその製造方法 Pending JP2004200203A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002363396A JP2004200203A (ja) 2002-12-16 2002-12-16 半導体装置及びその製造方法
TW092134787A TW200421543A (en) 2002-12-16 2003-12-10 Semiconductor device and method for manufacturing the semiconductor device
KR1020030091037A KR20040055596A (ko) 2002-12-16 2003-12-15 반도체 장치 및 그 제조 방법
CNA2003101206605A CN1508868A (zh) 2002-12-16 2003-12-16 半导体器件及其制造方法
US10/735,815 US20040150075A1 (en) 2002-12-16 2003-12-16 Semiconductor device with cupper wiring and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002363396A JP2004200203A (ja) 2002-12-16 2002-12-16 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JP2004200203A true JP2004200203A (ja) 2004-07-15

Family

ID=32761550

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002363396A Pending JP2004200203A (ja) 2002-12-16 2002-12-16 半導体装置及びその製造方法

Country Status (5)

Country Link
US (1) US20040150075A1 (ja)
JP (1) JP2004200203A (ja)
KR (1) KR20040055596A (ja)
CN (1) CN1508868A (ja)
TW (1) TW200421543A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004107434A1 (ja) * 2003-05-29 2004-12-09 Nec Corporation 配線構造およびその製造方法
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
JP2008010630A (ja) * 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060022342A1 (en) * 2001-10-16 2006-02-02 Canon Kabushiki Kaisha Semiconductor device and method for manufacturing the same
JP2008535212A (ja) * 2005-03-22 2008-08-28 エヌエックスピー ビー ヴィ 集積回路ダイ上への導電性配線部構造の形成方法、導電性配線部および集積回路ダイ
US20060240660A1 (en) * 2005-04-20 2006-10-26 Jin-Sheng Yang Semiconductor stucture and method of manufacturing the same
KR20070087856A (ko) * 2005-12-29 2007-08-29 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법
CN103779267B (zh) * 2012-10-25 2017-03-01 中芯国际集成电路制造(上海)有限公司 一种半导体结构的形成方法
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR102014724B1 (ko) * 2013-01-23 2019-08-27 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9054052B2 (en) * 2013-05-28 2015-06-09 Global Foundries Inc. Methods for integration of pore stuffing material
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5942802A (en) * 1995-10-09 1999-08-24 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of producing the same
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004107434A1 (ja) * 2003-05-29 2004-12-09 Nec Corporation 配線構造およびその製造方法
US7701060B2 (en) 2003-05-29 2010-04-20 Nec Corporation Wiring structure and method for manufacturing the same
US8592303B2 (en) 2003-05-29 2013-11-26 Renesas Electronics Corporation Wiring structure and method for manufacturing the same
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
JP2008010630A (ja) * 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20040150075A1 (en) 2004-08-05
TW200421543A (en) 2004-10-16
CN1508868A (zh) 2004-06-30
KR20040055596A (ko) 2004-06-26

Similar Documents

Publication Publication Date Title
US7799693B2 (en) Method for manufacturing a semiconductor device
JP2008529296A (ja) 半導体デバイスの製造方法
JP4194508B2 (ja) 半導体装置の製造方法
US20030001278A1 (en) Method for manufacturing a semiconductor device and a semiconductor device
US6350685B1 (en) Method for manufacturing semiconductor devices
US7022582B2 (en) Microelectronic process and structure
JP2004200203A (ja) 半導体装置及びその製造方法
WO2000025361A1 (en) Semiconductor device and manufacture thereof
JP2003163266A (ja) 半導体装置の製造方法および半導体装置
JP2002231808A (ja) 半導体装置及びその製造方法
WO2000054328A1 (fr) Systeme de fabrication de dispositif semi-conducteur
KR100571643B1 (ko) 반도체 소자의 제조방법
JP2001077192A (ja) 半導体装置およびその製造方法
JP2005005697A (ja) 半導体装置の製造方法
JP2004363447A (ja) 半導体装置およびその製造方法
JP3717073B2 (ja) 半導体装置の製造方法
US6472330B1 (en) Method for forming an interlayer insulating film, and semiconductor device
US6627533B2 (en) Method of manufacturing an insulation film in a semiconductor device
KR100463178B1 (ko) 반도체 소자의 금속배선 적층구조 형성 방법
JP2003209169A (ja) 半導体装置の製造方法
EP1460685A1 (en) Semiconductor device and method of manufacturing the same
KR101185853B1 (ko) 반도체 소자의 금속배선 형성방법
JP4006720B2 (ja) 半導体装置及びその製造方法
JP2002184858A (ja) 半導体素子の製造方法
JP2009117673A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050128

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050531