CN102439402A - 智能温度测量装置 - Google Patents

智能温度测量装置 Download PDF

Info

Publication number
CN102439402A
CN102439402A CN2010800202681A CN201080020268A CN102439402A CN 102439402 A CN102439402 A CN 102439402A CN 2010800202681 A CN2010800202681 A CN 2010800202681A CN 201080020268 A CN201080020268 A CN 201080020268A CN 102439402 A CN102439402 A CN 102439402A
Authority
CN
China
Prior art keywords
measuring equipment
temperature measuring
temperature
unique identifying
electronic circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800202681A
Other languages
English (en)
Other versions
CN102439402B (zh
Inventor
R·K·阿加瓦尔
R·康纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN102439402A publication Critical patent/CN102439402A/zh
Application granted granted Critical
Publication of CN102439402B publication Critical patent/CN102439402B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/02Means for indicating or recording specially adapted for thermometers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Remote Sensing (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Temperature (AREA)

Abstract

提供一种具有集成的智能芯片或电子电路的温度测量装置。该智能芯片或电子电路,包括存储于其中的针对不同温度测量装置的至少一唯一标识号或数据。该电子电路进一步包括存储于其中的温度测量装置的校正数据。温度控制系统的模块控制器被配置为在允许数据在温度测量装置和温度控制器之间进行传输前验证热电偶组件的唯一标识号。图形用户界面允许操作者输入唯一标识号或数据来验证温度测量装置并且当输入的号码或数据与存储在电子电路中的唯一标识号或数据不相同或不匹配时显示错误消息。

Description

智能温度测量装置
技术领域
本发明涉及一种温度测量装置,以及更具体地涉及一种被配置为提高了在半导体处理设备中温度控制的准确性的温度测量装置。
背景技术
温度控制的半导体处理室被用于沉积各种材料层到一个或多个衬底表面。在处理室内处理衬底时,一个或多个衬底或工件,例如硅晶片,被放置在处理室中的工件支承体上。衬底和工件支承体都被加热到期望温度。在典型的处理步骤中,反应气体被引入到处理室中并且通过每个被加热的衬底上方,通过化学气相沉积(CVD)或原子层沉积(ALD)过程在衬底表面上沉积反应气体中的反应材料的薄层。通过接下来的步骤,这些层被形成为集成电路,并且根据衬底的大小和电路的复杂性,从被处理的衬底中切割出成千上万甚至上百万的集成器件。
必须仔细控制各种过程参数以确保生成的沉积层的高质量。一个这样的重要参数是在每个处理步骤期间的衬底温度。例如,在CVD期间,沉积气体在特定温度反应以在衬底上沉积薄层。如果跨衬底表面的温度显著不同,沉积层就会不均匀。因此,在衬底处理期间,衬底温度在期望温度保持稳定和一致是重要的。类似地,在其它的热处理期间,跨衬底的温度的不一致或不稳定会影响衬底表面上的生成的结构的一致性。温度控制很重要的其他过程包括但不限于,氧化,氮化,掺杂扩散,溅射沉积,光蚀刻,干蚀刻,等离子处理,以及高温退火。
在接近和紧邻被处理的衬底的不同位置处测量温度的方法和系统是已知的。典型地,在接近被处理的衬底的不同位置放置热电偶组件,并且这些热电偶组件可操作地与控制器连接以帮助提供跨整个衬底表面的更一致的温度。例如,授权给VanBilsen的美国专利号6,121,061主要教导了多个在围绕衬底的不同点进行温度测量的温度传感器,包括一接近衬底前边缘的热电偶组件,另一接近后边缘的热电偶组件,一在侧面的热电偶组件,以及另一在接近衬底中心的衬底下方的热电偶组件。
通常与因为故障或日常维护导致的热电偶组件替换相关联的问题是每个热电偶组件之间的差异。各个热电偶组件之间的差异可以归因于许多因素,包括但不限于,使用的材料或生产热电偶组件的方法。每个热电偶组件之间的差异会导致不同的温度测量结果,或者相对于之前的热电偶组件在相同温度的不同温度测量结果,这反过来会影响沉积过程,如果所测量的温度与反应室中的实际温度实质上不相同的话。由于温度控制系统是基于与系统连接的每个热电偶组件所测量的校正温度,因此连续的热电偶组件之间的任何校正差异将引起温度控制方案的变化,其会导致衬底上的层的不一致的沉积。
因此,需要一种温度测量装置,其包括预校正参数使得沉积工具可以根据温度测量装置的预校正参数自动地被调整。也需要一种温度测量装置,在其中预校正参数集成地包含在温度测量装置中。
发明内容
在本发明的一个方面,提供一种热电偶组件。该热电偶组件包括至少一个用于测量温度的热电偶接头。该热电偶组件还包括在其上存储有校正数据和唯一标识号的电子电路。该热电偶接头可操作地连接到电子电路。
在本发明的另一个方面,提供一种温度控制系统。该温度控制系统包括至少一个加热元件和一种温度控制器。每个加热元件可操作地连接到温度控制器,并且温度控制器控制加热元件的输出。该温度控制系统进一步包括可操作地连接到温度控制器的温度测量装置。该温度测量装置包括集成在其中的电子电路。
在本发明的又一个方面,提供一种用于对半导体处理工具的温度测量装置进行验证的方法。该方法包括提供一种具有集成于其中的电子电路的温度测量装置,其中电子电路包括存储于其上的至少一唯一标识号或数据。该方法也包括提供一种可操作地连接到温度测量装置的模块控制器。该方法进一步包括提供一种可操作地连接到模块控制器和温度测量装置的温度控制器。该方法还包括在温度测量装置和温度控制器或模块控制器之间传输数据前验证存储在所述温度测量装置上的唯一标识号或数据。
在本发明的进一步的方面,提供一种用于对半导体处理工具的温度测量装置进行验证的方法。该方法包括提供一种可操作地连接到温度控制器的模块控制器。该方法进一步包括将温度测量装置连接到模块控制器,其中模块控制器关于存储在与温度测量装置集成的电子电路上的唯一标识号对温度测量装置进行询问。该方法还包括输入标识号到图形用户界面(GUI)中。该GUI可操作地连接到模块控制器。该方法还包括将存储在温度测量装置的电子电路上的唯一标识号与输入到GUI中的标识号进行比较。该方法包括如果存储在温度测量装置的电子电路上的唯一标识号等于输入到GUI中的标识号,就允许温度测量装置与温度控制器之间的数据传输。该方法进一步包括如果存储在温度测量装置的电子电路上的唯一标识号不等于输入到GUI中的标识号,就阻止温度测量装置与温度控制器之间的数据传输。
对于本领域技术人员而言,通过接下来的对用图示表示和描述的本发明实施例的描述,本发明的优点会更加显而易见。如将被认识到的,本发明能够有其它的和不同的实施例,以及它的细节在不同的方面能够进行修改。因此,附图和描述实际上是例证性的而不是限制性的。
附图的若干视图的简要描述
图1是半导体处理工具的反应器的实施例的侧边横截面视图;
图2是示例的温度控制系统的示意图;
图3是示例的温度测量装置的立体图;以及
图4示出了温度控制系统的电子示意图。
优选实施例的详细描述
参照图1,示出的是一种化学气相沉积(“CVD”)反应器10的示例性实施例。虽然举例说明的实施例是单个衬底、水平流动、冷壁反应器,但本领域技术人员应当明白,这里描述的热电偶技术可以用在其它类型的半导体处理反应器中,也可以用在其它需要精确温度传感器的应用中。反应器10包括限定反应空间14的反应室12,位于反应室12相对各面上的加热元件16,和衬底支承结构18。反应室12是细长的部件,具有用于允许将反应气体引入到反应空间14的入口20和供反应气体和处理副产品通过其离开反应空间14的出口22。在实施例中,反应室12由透明石英形成,其被配置为允许来自加热元件16的基本上所有的辐射能量通过反应室12的壁。加热元件16向反应室12提供辐射能量,而反应室12的壁没有明显的吸收这些辐射能量。加热元件16被配置为提供具有由被处理的衬底以及衬底支承结构18的各部分吸收的波长的辐射热。
在实施例中,如图1所示,衬底支承结构18包括在沉积过程中衬底40被放置于其上的衬底支持体24。衬底支承结构18还包括被配置为支承衬底支持体24的支承部件26。支承部件26连接到通过管30向下延伸的轴28,管从反应室12的下壁延伸。电动机(未示出)被配置为使轴28旋转,从而使衬底支持体24和衬底40在沉积过程中以同样的方式旋转。基座环25围绕衬底40和衬底支持体24的边缘放置以抵消来自边缘的热损失。温度测量装置32,例如热电偶组件、高温计等,被放置在基座环25内以测量衬底40和衬底支持体24周围的预定位置处的局部温度。
参照图2,示出了在反应室内的温度控制系统34的实施例。示出的用于化学气相沉积反应器10的温度控制系统34包括邻近衬底40和衬底支持体24放置的多个温度测量装置32,用于测量预定位置处的温度。温度测量装置32可操作地连接到温度控制器36。温度控制器36可操作地连接到加热元件16以控制反应室12内的加热方案。
在图2的示意图中,示出的实施例的温度控制系统34包括多个围绕衬底40放置的温度测量装置32,在其中温度测量装置32包括:与衬底支持体24的下表面邻近的中心温度传感器32a,前边缘温度传感器32b,后边缘温度传感器32c,以及至少一个侧面边缘温度传感器32d。相对于反应空间14内的反应气体的流动方向A,前和后边缘温度传感器32b、32c邻近衬底40的前和后边缘。温度测量装置32被配置为测量局部区域的温度以及传输温度测量数据到温度控制器36。温度控制器36被配置为响应于温度测量装置32提供的数据有选择地调整从加热元件16发出的能量,以维持跨整个被处理的衬底40的基本一致的温度分布。本领域技术人员应当明白的是温度控制系统34可以包括任意数量的温度测量装置32,温度测量装置32被放置在反应室12内或外部的不同位置,用于向温度控制器36提供被处理的衬底40的温度或邻近衬底40的位置的数据。
温度测量装置32可以包括热电偶组件,高温计,温度计,或能够测量半导体制造仪器内的温度的任何其它温度测量装置。在实施例中,每个温度测量装置32与其它的都是相同的。在另一实施例中,至少一个温度测量装置32与其它的不同。在实施例中,每个温度测量装置32都是热电偶组件,例如在序列号为12/140,809的美国专利申请中描述的热电偶组件。尽管示出的温度控制系统34包括四个温度测量装置32,但本领域技术人员应当明白的是,温度控制系统34可以包括任意数量和类型的温度测量装置32。
参照图3,示出的是智能热电偶组件132的示例性实施例。尽管对本发明的温度测量装置的优选实施例在热电偶组件的上下文中进行了讨论,但本领域技术人员要意识到这里提出的主要原理和优点可以应用到可用于测量半导体处理反应器或半导体制造仪器内的温度的其它温度测量装置中。在实施例中,热电偶组件132包括护套150,支承部件152,电线对154,接头156,盖子158,电子电路160,插头162。该护套150是细长的管状部件,具有形成一封闭端的测量端164。护套150的相对端形成盖子158连接于其上的开口端。在实施例中,护套150由石英组成,然而,本领域技术人员应当明白的是,护套150可以由任意足够经受得住温度变化且相对于引入到反应室中的气体基本无活性的材料组成。
在图3所示的实施例中,支承部件152被放置在护套150中并且延伸护套150的长度的至少一部分。支承部件152被配置为容纳电线对154于其中。在实施例中,支承部件152由陶瓷材料形成。在实施例中,电线对154从邻近护套150测量端164的支承部件152延伸到电子电路160。电线154由不同金属形成,并且每个电线的端部熔合到另一电线的端部以形成提供在其间的热电偶的接头156。描述的实施例示出的是邻近护套150测量端164的接头156,但是本领域技术人员应当明白的是,热电偶组件132可以包括被放置在沿着护套150的长度的任何位置的多个接头。
在实施例中,如图3所示,电线154可操作地将接头156连接到电子电路160和插头162。插头162提供热电偶组件132和温度控制器36之间的接口,如图4所示。插头162提供热电偶组件132和温度控制器36之间的物理连接,但是本领域技术人员应当明白的是,热电偶组件132可以替换地包括无线发送器(未示出),该无线发送器可操作地将热电偶组件132连接到温度控制器136而不需要在它们之间的物理连接。
如图3-4所描述的,接头156可操作地连接到电子电路160。描述的实施例示出的是位于盖子158和插头162之间的电子电路160,但是需要本领域技术人员明白的是,电子电路160可以位于接头和热电偶组件132所连接的接口之间的任意位置。在实施例中,电子电路160集成到盖子158中。在另一实施例中,电子电路160集成到插头162中。需要本领域技术人员明白的是,在维持对接头156的可操作连接的同时,电子电路160可以位于热电偶组件132上的任意位置。
在热电偶组件132的组装过程中,使用来自美国国家标准和技术协会(NIST)的可追溯校正装置来校正热电偶组件132,以验证热电偶组件132的精确性。在热电偶组件132的组装过程中,还为每个热电偶组件132提供该热电偶组件专用的唯一标识号或数据,例如序号等。校正数据和唯一标识号被存储在电子电路160上以及通过并且在智能热电偶组件132中传送。本领域技术人员应当明白的是,除了校正数据和唯一标识号,其它数据或标识符也可以存储在电子电路160中。在实施例中,电子电路160包括热电偶组件132的校正数据和唯一标识号存储于其中的数据存储装置。在实施例中,电子电路160包括校正数据和唯一标识号存储于其中的电可擦除可编程只读存储器(EEPROM)。在另一实施例中,电子电路160包括校正数据和唯一标识号存储于其中的闪存。本领域技术人员应当明白的是,任意其它的热电偶组件的校正数据和唯一标识号存储于其中的数据存储装置可以与电子电路160结合。本领域技术人员应当明白的是,校正数据和唯一标识号可以存储在电子电路160的相同存储装置或不同存储装置中。
智能热电偶组件132被配置为通过提供对热电偶组件的校正和提供预校正设置以减少或消除一旦被安装后校正热电偶组件所需要的时间和精力,来提高温度控制系统34的精确性和性能。智能热电偶组件132还被配置为确保温度测量装置的正确类型与温度控制系统134一起使用,从而允许热电偶组件与温度控制系统134之间的正确通信。智能热电偶组件132还将减小不正确或不合适的校正数据导致错误的可能性,因为相对于安装在反应器10中后进行匆忙的校正(图1),热电偶组件是使用校正装置进行预校正的。
图4示出了示例说明的示意性的温度控制系统34,其具有智能热电偶组件132和温度控制系统34的温度控制器36之间的可操作连接。如前所述,热电偶接头156可操作地连接到集成在热电偶组件132内的电子电路160。当安装热电偶组件132时,通过模块控制器166将热电偶组件132可操作地连接到温度控制器36。图形用户界面(GUI)168也可操作地连接到模块控制器166。GUI 168提供用户与模块控制器之间的接口,由此信息可以在GUI 168和模块控制器166之间传输。模块控制器166被配置为在数据或温度测量结果从热电偶组件132被传输到温度控制器36之前验证连接到温度控制器36的热电偶组件132。换句话说,模块控制器166充当了热电偶组件132与温度控制器36之间的开关,使得来自接头156的温度测量结果在模块控制器166验证热电偶组件132前不被传输到温度控制器。
在操作中,将热电偶组件132安装到反应器10的操作者可操作地将热电偶组件132连接到模块控制器166。一旦热电偶组件132被可操作地连接,模块控制器166向电子电路160传输信号,以向电子电路160询问存储于其上的唯一标识号或数据。如果热电偶组件132被安装在不包含集成于其上的电子电路160的反应器10上时,模块控制器166接收不到从热电偶组件返回的信号或数据,并且于是向GUI 168传输信号以向操作者提供错误消息指示热电偶组件132与温度控制系统34不匹配。如果热电偶组件132包含集成于其上的电子电路160,电子电路160将信号传输到包含特定热电偶组件132的唯一标识号或数据的模块控制器166。一旦模块控制器166接收到来自电子电路160的唯一标识号或数据,模块控制器166向操作者询问输入与特定热电偶组件132相对应的相同唯一标识号或数据,用于通过GUI确认和验证特定热电偶组件132的唯一标识号或数据。一旦操作者输入标识号或数据,标识号或数据就被传输到模块控制器166。模块控制器166将热电偶组件132的唯一标识号与操作者输入的标识号或数据进行比较。如果标识号或数据与热电偶组件132的唯一标识号或数据不相等,模块控制器166向GUI 168传输信号,用以命令GUI 168向操作者显示错误消息以表明操作者输入的标识号或数据与特定热电偶组件132的唯一标识号或数据不对应。当操作者向GUI 168输入的标识号或数据与特定热电偶组件132的唯一标识号或数据不对应时,模块控制器166还阻止数据在热电偶组件132与温度控制器36之间的传输。
以上解释的对热电偶组件132的验证程序可以在热电偶组件132被安装并且被可操作地连接到模块控制器166之后的任意时间进行。在实施例中,验证过程在热电偶组件132可操作地连接到模块控制器166之后立即被执行。在另一实施例中,验证过程在对反应器10进行操作前就立即被执行,使得对反应器10内的衬底40的处理仅仅在热电偶组件132被验证后就可以开始。在反应器里进行处理前立即验证热电偶组件132,确保了另一热电偶组件132没有接通,因为热电偶组件132的接通可能产生由于不同热电偶组件的不同校正数据而导致的没有价值的处理结果。在又一实施例中,在进行处理的过程中,例如,处理随机衬底对之间,验证程序可以在规则间隔进行。本领域技术人员应当明白的是,热电偶组件的验证程序可以在任意时间进行,以确保在处理中使用正确的热电偶组件132以保证最优处理结果。
如果操作者向GUI 168中输入与特定热电偶组件132的唯一标识号或数据相对应的标识号或数据,模块控制器166将热电偶组件132的唯一标识号与操作者输入的标识号或数据进行比较,以确认码或数据是否相等并且验证热电偶组件132。一旦热电偶组件132被验证,模块控制器166就向电子电路160传输信号以询问校正数据存储于其上的电子电路160。于是,电子电路160直接地或通过模块控制器166向温度控制器36传输校正数据。温度控制器36接收校正数据并且相应地调节温度控制系统34。一旦模块控制器166验证了热电偶组件132并且电子电路160上的校正数据被传输到温度控制系统34,数据和信息就可以自由地在热电偶组件132和温度控制器36之间传输。
对具有集成电子电路160的温度测量装置的验证,通过在安装之前确保对温度测量装置进行正确地校正,减少了温度测量装置出错的可能性。对具有集成电子电路160的温度测量装置的验证,通过确认仅仅具有温度测量装置的校正数据和唯一标识号或数据存储于其上的电子电路的温度测量装置被用在半导体处理工具中,也减少了温度测量装置出错的可能性。通过集成校正数据到温度测量装置组件,这种验证过程进一步减少了操作者安装或替换温度测量装置所需要的时间量。
上面描述的智能温度测量装置涉及将热电偶组件作为测量装置,但是本领域技术人员应当明白的是,用于验证和确认温度测量装置的技术可以与任意其它温度测量装置结合,包括高温计、温度计等。
尽管已经描述了本发明的优选实施例,但本领域技术人员应当理解,本发明并非仅限于此并且可以进行修改而不脱离本发明。本发明的范围由附加的权利要求以及字面地或等同地落入权利要求的意图范围内的所有装置,过程和方法来限定。

Claims (20)

1.一种热电偶组件,包括:
用于测量温度的至少一个热电偶接头;以及
其上存储校正数据和唯一标识号的电子电路,其中所述至少一个热电偶接头可操作地连接到所述电子电路。
2.如权利要求1所述的热电偶组件,其特征在于,所述电子电路包括电可擦除可编程只读存储器(EEPROM)。
3.如权利要求2所述的热电偶组件,其特征在于,至少一个所述唯一标识号被存储在所述EEPROM上。
4.如权利要求1所述的热电偶组件,其特征在于,所述电子电路包括闪存。
5.如权利要求2所述的热电偶组件,其特征在于,至少一个所述唯一标识号被存储在所述闪存上。
6.如权利要求1所述的热电偶组件,其特征在于,多个接头可操作地连接到所述电子电路。
7.一种温度控制系统,包括:
至少一个加热元件;
温度控制器,其中所述至少一个加热元件中的每一个可操作地连接到所述温度控制器并且所述温度控制器控制所述至少一个加热元件的输出;以及
可操作地连接到所述温度控制器的温度测量装置,所述温度测量装置具有集成电子电路。
8.如权利要求7所述的温度控制系统,其特征在于,所述温度测量装置与所述温度控制器无线通信。
9.如权利要求7所述的温度控制系统,其特征在于,进一步包括存储在所述电子电路上的校正数据和唯一标识号。
10.如权利要求7所述的温度控制系统,其特征在于,进一步包括可操作地连接到所述温度测量装置和所述温度控制器的模块控制器。
11.如权利要求10所述的温度控制系统,其特征在于,在数据在所述温度测量装置与所述温度控制器之间可传输之前,所述模块控制器验证所述温度测量装置的唯一标识号。
12.如权利要求11所述的温度控制系统,其特征在于,图形用户界面(GUI)可操作地连接到所述模块控制器。
13.一种验证用于半导体处理工具的温度测量装置的方法,所述方法包括;
提供具有集成于其中的电子电路的温度测量装置,其中所述电子电路包括存储于其上的至少一唯一标识号或数据;
提供可操作地连接到所述温度测量装置的模块控制器;
提供可操作地连接到所述模块控制器和所述温度测量装置的温度控制器;以及
在所述温度测量装置和所述温度控制器之间传输数据前验证存储在所述温度测量装置上的所述唯一标识号或数据。
14.如权利要求13所述的方法,其特征在于,验证所述唯一标识号或数据包括:将与所述温度测量装置相对应的所述唯一标识号或数据输入到可操作地连接到所述模块控制器的图形用户界面。
15.如权利要求14所述的方法,其特征在于,验证所述唯一标识号或数据包括:所述模块控制器将所述唯一标识号与所述操作者输入的所述唯一标识号或数据进行比较。
16.如权利要求15所述的方法,其特征在于,如果所述温度测量装置的所述唯一标识号与所述操作者输入的所述唯一标识号不相等,验证所述唯一标识号或数据则阻止数据在所述温度测量装置与所述温度控制器之间传输。
17.如权利要求15所述的方法,其特征在于,如果所述温度测量装置的所述唯一标识号与所述操作者输入的所述唯一标识号相等,验证所述唯一标识号或数据则允许数据在所述温度测量装置与所述温度控制器之间传输。
18.一种验证用于半导体处理工具的温度测量装置的方法,所述方法包括;
提供可操作地连接到温度控制器的模块控制器;
将温度测量装置连接到所述模块控制器,其中所述模块控制器关于存储在与所述温度测量装置集成的电子电路上的唯一标识号向所述温度测量装置进行询问;
将标识号输入到图形用户界面(GUI)中,所述GUI可操作地连接到所述模块控制器;
将存储在所述温度测量装置的所述电子电路上的所述唯一标识号与输入到所述GUI中的所述标识号进行比较;
如果存储在所述温度测量装置的所述电子电路上的所述唯一标识号等于输入到所述GUI中的所述标识号,则允许所述温度测量装置与所述温度控制器之间的数据传输;
如果存储在所述温度测量装置的所述电子电路上的所述唯一标识号不等于输入到所述GUI中的所述标识号,则阻止所述温度测量装置与所述温度控制器之间的数据传输。
19.如权利要求18所述的方法,其特征在于,进一步包括:如果存储在所述温度测量装置的所述电子电路上的所述唯一标识号不等于输入到所述GUI中的所述标识号,则在所述GUI上显示错误消息。
20.如权利要求18所述的方法,其特征在于,进一步包括:如果存储在所述温度测量装置的所述电子电路上的所述唯一标识号等于输入到所述GUI中的所述标识号,则将存储在所述电子电路上的所述温度测量装置的校正数据下载到所述温度控制器。
CN201080020268.1A 2009-05-06 2010-04-30 智能温度测量装置 Active CN102439402B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/436,306 2009-05-06
US12/436,306 US9297705B2 (en) 2009-05-06 2009-05-06 Smart temperature measuring device
PCT/US2010/033248 WO2010129430A1 (en) 2009-05-06 2010-04-30 Smart temperature measuring device

Publications (2)

Publication Number Publication Date
CN102439402A true CN102439402A (zh) 2012-05-02
CN102439402B CN102439402B (zh) 2016-01-20

Family

ID=43050381

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080020268.1A Active CN102439402B (zh) 2009-05-06 2010-04-30 智能温度测量装置

Country Status (4)

Country Link
US (1) US9297705B2 (zh)
CN (1) CN102439402B (zh)
TW (1) TWI506256B (zh)
WO (1) WO2010129430A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8702306B2 (en) * 2007-09-21 2014-04-22 Siemens Industry, Inc. Systems, devices, and/or methods for managing a thermocouple module
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012003614B3 (de) * 2012-02-23 2013-05-29 Testo Ag Temperaturmessgerät, Temperaturmessgerät-Set und Verfahren zur Konfiguration eines mit einem Thermoelement betreibbaren Temperaturmessgeräts
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015106805A1 (de) * 2015-04-30 2016-11-03 Anton Paar Optotec Gmbh Temperaturkalibration für Messgerät
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
EP3414541B1 (en) * 2016-02-08 2020-09-30 Watlow Electric Manufacturing Company Temperature sensing system for rotatable wafer support assembly
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN106020287B (zh) * 2016-06-07 2018-08-21 中国南方航空工业(集团)有限公司 燃气轮机用温度限制装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
DE102020110070A1 (de) * 2020-04-09 2021-10-14 Ipetronik Gmbh & Co. Kg Elektrische Verbindung zum Anschließen eines Thermoelementes
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0989676A (ja) * 1995-09-21 1997-04-04 Casio Comput Co Ltd 電子体温計
CN1212363A (zh) * 1997-09-15 1999-03-31 西门子公司 通过测量气体温度测量和控制半导体晶片的温度
JP2003035574A (ja) * 2001-07-23 2003-02-07 Mitsubishi Heavy Ind Ltd 応答型センサ及び応用計測システム
US20050101843A1 (en) * 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
CN101156056A (zh) * 2005-04-01 2008-04-02 朗姆研究公司 用于半导体应用的精确温度测量
US20080291964A1 (en) * 2007-05-22 2008-11-27 Goodrich Control Systems Limited Temperature Sensing

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) 1874-09-01 Improvement in processes of brightening tobacco
US2059480A (en) 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2563931A (en) 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2660061A (en) 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US3011006A (en) 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
US3038951A (en) 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3263502A (en) 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (fr) 1964-06-30 1965-08-13 Realisations Electr Et Electro Prise de raccordement pour thermocouples
US3588192A (en) 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
US3647716A (en) * 1970-04-03 1972-03-07 Westvaco Corp Transport reactor with a venturi tube connection to a combustion chamber for producing activated carbon
CA1002299A (en) 1971-06-24 1976-12-28 William H. Trembley Installation tool
FR2181175A5 (zh) 1972-04-20 1973-11-30 Commissariat Energie Atomique
JPS5132766B2 (zh) 1972-07-25 1976-09-14
US4217463A (en) 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5611329A (en) 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4355912A (en) 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
US4454370A (en) 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US4527005A (en) 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4590326A (en) * 1984-06-14 1986-05-20 Texaco Inc. Multi-element thermocouple
US4692556A (en) 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
JPS61234789A (ja) 1985-04-10 1986-10-20 Mitsui Toatsu Chem Inc L―トリプトファンよりインドールの分離回収方法
US4721534A (en) 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4721533A (en) 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4749416A (en) 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4830515A (en) 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
JPH0523079Y2 (zh) 1988-11-19 1993-06-14
JPH02185038A (ja) 1989-01-11 1990-07-19 Nec Corp 熱処理装置
US4934831A (en) 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5360269A (en) 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US5061083A (en) 1989-06-19 1991-10-29 The United States Of America As Represented By The Department Of Energy Temperature monitoring device and thermocouple assembly therefor
EP0454846B1 (en) 1989-11-22 1996-09-11 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
LU87693A1 (fr) 1990-03-07 1991-10-08 Wurth Paul Sa Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve
JPH0464025A (ja) 1990-07-02 1992-02-28 Matsushita Electric Ind Co Ltd 調理器用温度センサー
JP2780866B2 (ja) 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5228114A (en) * 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5071258A (en) 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
CN2101223U (zh) 1991-06-10 1992-04-08 李国飞 热电偶温度计保护套管
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JPH05118928A (ja) 1991-10-25 1993-05-14 Tokyo Electron Ltd 接触式の温度測定方法
US5193912A (en) 1991-11-18 1993-03-16 Saunders Roger I Probe for sensing and measuring temperature
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US6438502B1 (en) * 1992-10-07 2002-08-20 Dallas Semiconductor Corporation Environmental condition sensor device and method
US6235858B1 (en) 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
DE4244189C2 (de) 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Anlegetemperaturfühler
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
JPH07209093A (ja) 1994-01-20 1995-08-11 Tokyo Electron Ltd 温度計
US5474618A (en) 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5669713A (en) * 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
IL115833A (en) * 1994-11-25 1998-10-27 Zeneca Ltd Acids 6, 6 - Dihalo - 3, 3 - Dimethyl - 5 - Hydroxy - 7, 7, 7 Triplooroheptanoics and their alkaline esters useful as an intermediate product Insecticides
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5663899A (en) * 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5791782A (en) 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
US5697706A (en) 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100212132B1 (ko) 1996-04-24 1999-08-02 윤종용 횡형 확산로의 프로파일 열전대
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0818671A3 (en) 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5611265A (en) 1996-09-13 1997-03-18 Ronci; Fernando F. Combination charbroiler and fryer with spinning food basket
US5857777A (en) * 1996-09-25 1999-01-12 Claud S. Gordon Company Smart temperature sensing device
US5953710A (en) 1996-10-09 1999-09-14 Fleming; Stephen S. Children's credit or debit card system
JP3740587B2 (ja) 1996-11-25 2006-02-01 山里産業株式会社 熱電対
US5753835A (en) 1996-12-12 1998-05-19 Caterpillar Inc. Receptacle for holding a sensing device
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3492135B2 (ja) 1997-02-13 2004-02-03 三菱重工業株式会社 熱流束計
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
JP3124506B2 (ja) 1997-03-14 2001-01-15 白光株式会社 ヒータ・センサ複合体
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US6104011A (en) 1997-09-04 2000-08-15 Watlow Electric Manufacturing Company Sheathed thermocouple with internal coiled wires
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5914472A (en) 1997-09-23 1999-06-22 At&T Corp Credit card spending authorization control system
WO1999018496A1 (en) * 1997-10-07 1999-04-15 Electronics Development Corporation Transducer assembly with smart connector
JPH11118615A (ja) 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko 伸縮性を有する被測定物用温度センサ
JP2001522142A (ja) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
KR100551980B1 (ko) 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치
US6325858B1 (en) 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
JPH11183265A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
JPH11183264A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
US6193414B1 (en) 1998-01-06 2001-02-27 Alfiero Balzano Dual protected instant temperature detector
US6091062A (en) 1998-01-27 2000-07-18 Kinetrix, Inc. Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly
JPH11281497A (ja) 1998-03-27 1999-10-15 Kawasaki Steel Corp 金属体内部の温度を測定するシース熱電対の取付け方法
US6422462B1 (en) 1998-03-30 2002-07-23 Morris E. Cohen Apparatus and methods for improved credit cards and credit card transactions
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11287715A (ja) 1998-04-02 1999-10-19 Canon Inc 熱電対
JP2002515648A (ja) * 1998-05-11 2002-05-28 セミトゥール・インコーポレイテッド 加熱反応炉の温度制御システム
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6257758B1 (en) 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
US6462671B2 (en) * 1998-10-20 2002-10-08 Brendyl Trent Bushner Remote securities based data reception and order system
KR100317238B1 (ko) 1998-11-03 2002-02-19 윤종용 가열로 온도검출용 스파이크 열전대 소자_
US6721713B1 (en) 1999-05-27 2004-04-13 Andersen Consulting Llp Business alliance identification in a web architecture framework
JP3701148B2 (ja) * 1999-07-28 2005-09-28 株式会社日立製作所 コンテンツの配信方法
DE19936942A1 (de) 1999-08-05 2001-02-08 Bosch Gmbh Robert Brennstoffeinspritzventil
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6293700B1 (en) * 1999-09-24 2001-09-25 Fluke Corporation Calibrated isothermal assembly for a thermocouple thermometer
MXPA02003794A (es) * 1999-10-13 2002-12-13 Texaco Development Corp Tubo protector de termopar reforzado con zafiro.
US6342691B1 (en) 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US20020009119A1 (en) * 2000-02-11 2002-01-24 Matthew William T. Environmental heat stress monitor
US7627531B2 (en) 2000-03-07 2009-12-01 American Express Travel Related Services Company, Inc. System for facilitating a transaction
JP2004507074A (ja) 2000-04-06 2004-03-04 エーエスエム アメリカ インコーポレイテッド ガラス質材料用バリアコーティング
JP3497450B2 (ja) * 2000-07-06 2004-02-16 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
EP1251551A1 (en) 2000-08-30 2002-10-23 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
KR20020086763A (ko) 2001-05-10 2002-11-20 주식회사 엘지이아이 플라즈마를 이용한 연속중합장치용 열전대
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030002562A1 (en) * 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
JP3775262B2 (ja) * 2001-08-09 2006-05-17 ヤマハ株式会社 電子楽器及び電子楽器システム
US6658895B2 (en) 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
US7577585B2 (en) 2001-12-07 2009-08-18 American Express Travel Related Services Company, Inc. Method and system for completing transactions involving partial shipments
SE0104252D0 (sv) * 2001-12-17 2001-12-17 Sintercast Ab New device
US6580050B1 (en) * 2002-01-16 2003-06-17 Pace, Incorporated Soldering station with built-in self-calibration function
JP4157914B2 (ja) 2002-03-20 2008-10-01 坂野 數仁 温度測定装置及び温度測定方法
US20030231698A1 (en) * 2002-03-29 2003-12-18 Takatomo Yamaguchi Apparatus and method for fabricating a semiconductor device and a heat treatment apparatus
US20030192435A1 (en) 2002-04-11 2003-10-16 Mcnair John Duncan Cooking appliance
KR20030092305A (ko) 2002-05-29 2003-12-06 삼성전자주식회사 고온 언도우프 막 증착 설비의 챔버 외벽에 대한 온도측정장치
JP4231953B2 (ja) 2002-09-24 2009-03-04 ペガサスネット株式会社 耳孔式saw体温計及び該体温計による体温管理システム
JP3671951B2 (ja) * 2002-10-08 2005-07-13 住友電気工業株式会社 測温装置及びそれを用いたセラミックスヒータ
US6676290B1 (en) 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
US7223014B2 (en) * 2003-03-28 2007-05-29 Intempco Controls Ltd. Remotely programmable integrated sensor transmitter
US20090204403A1 (en) * 2003-05-07 2009-08-13 Omega Engineering, Inc. Speech generating means for use with signal sensors
DE10328660B3 (de) 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
JP2005172489A (ja) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd 溶湯用測温プローブ
WO2005086331A2 (en) * 2004-03-02 2005-09-15 Rosemount, Inc. Process device with improved power generation
US7363195B2 (en) * 2004-07-07 2008-04-22 Sensarray Corporation Methods of configuring a sensor network
US7689687B2 (en) * 2004-07-30 2010-03-30 Fisher-Rosemount Systems, Inc. Communication controller with automatic time stamping
JP4698190B2 (ja) 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
US7806587B2 (en) 2004-09-29 2010-10-05 Citizen Holdings Co., Ltd. Electronic clinical thermometer and method of producing the same
JP2006153706A (ja) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US7143936B2 (en) 2005-02-09 2006-12-05 American Express Travel Related Services Company, Inc. System and method for calculating expected approval rates
JP4214124B2 (ja) 2005-03-14 2009-01-28 株式会社バイオエコーネット 耳式体温計
US20060275933A1 (en) 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
TW200702647A (en) 2005-07-13 2007-01-16 Actherm Inc Heat conductive structure of electronic clinical thermometer and clinical thermometer with the same
JP5017950B2 (ja) 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7578616B2 (en) * 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor
US7561982B2 (en) * 2005-11-10 2009-07-14 Shake Awake Products, LLC Physical attribute recording method and system
US7857506B2 (en) * 2005-12-05 2010-12-28 Sencal Llc Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications
US7963917B2 (en) * 2005-12-05 2011-06-21 Echo Therapeutics, Inc. System and method for continuous non-invasive glucose monitoring
CN1815155A (zh) 2006-02-24 2006-08-09 吴加伦 线形动态表面热电偶及其测试方法与测温方法
US7753584B2 (en) * 2006-03-31 2010-07-13 Mesoscribe Technologies, Inc. Thermocouples
US7997795B2 (en) 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
CN101733058B (zh) * 2006-05-26 2014-10-22 英尼奥斯制造业比利时有限公司 用于聚合的环流型反应器
JP5027573B2 (ja) 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
DE502007004378D1 (de) 2006-09-06 2010-08-26 Kistler Holding Ag Temperatursensor mit bearbeitbarer Front
TW200822253A (en) * 2006-10-02 2008-05-16 Matsushita Electric Ind Co Ltd Component crimping apparatus control method, component crimping apparatus, and measuring tool
JP4270284B2 (ja) * 2007-01-30 2009-05-27 トヨタ自動車株式会社 車輪状態監視システムおよび車輪状態検出装置
US7871198B2 (en) 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US7621672B2 (en) 2007-03-19 2009-11-24 Babcock & Wilcox Technical Services Y-12, Llc Thermocouple shield
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7651269B2 (en) 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US20090034582A1 (en) 2007-08-02 2009-02-05 Tokyo Electron Limited Tbs Broadcast Center Apparatus for hot plate substrate monitoring and control
US20090052498A1 (en) 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20090124131A1 (en) * 2007-11-09 2009-05-14 Electronic Controls Design Thermocouple adapter
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US8496377B2 (en) 2007-12-31 2013-07-30 Covidien Lp Thermometer having molded probe component
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US8185443B2 (en) * 2008-10-27 2012-05-22 Ebay, Inc. Method and apparatus for authorizing a payment via a remote device
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8118484B2 (en) * 2009-03-31 2012-02-21 Rosemount Inc. Thermocouple temperature sensor with connection detection circuitry
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
JP5335155B1 (ja) * 2013-02-04 2013-11-06 善郎 水野 温度計の管理システム
JP5819462B2 (ja) 2014-03-25 2015-11-24 彰浩 田坂 手腕分離型カバー

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0989676A (ja) * 1995-09-21 1997-04-04 Casio Comput Co Ltd 電子体温計
CN1212363A (zh) * 1997-09-15 1999-03-31 西门子公司 通过测量气体温度测量和控制半导体晶片的温度
JP2003035574A (ja) * 2001-07-23 2003-02-07 Mitsubishi Heavy Ind Ltd 応答型センサ及び応用計測システム
US20050101843A1 (en) * 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
CN101156056A (zh) * 2005-04-01 2008-04-02 朗姆研究公司 用于半导体应用的精确温度测量
US20080291964A1 (en) * 2007-05-22 2008-11-27 Goodrich Control Systems Limited Temperature Sensing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple

Also Published As

Publication number Publication date
US20100286842A1 (en) 2010-11-11
TWI506256B (zh) 2015-11-01
TW201113510A (en) 2011-04-16
CN102439402B (zh) 2016-01-20
WO2010129430A1 (en) 2010-11-11
US9297705B2 (en) 2016-03-29

Similar Documents

Publication Publication Date Title
CN102439402A (zh) 智能温度测量装置
TWI806966B (zh) 半導體處理設備以及用於監視及控制半導體處理設備之方法
EP2370996B1 (en) Thermocouple
TWI458946B (zh) 熱偶器
US10720349B2 (en) Temperature measurement in multi-zone heater
US6596973B1 (en) Pyrometer calibrated wafer temperature estimator
US20180114680A1 (en) Methods for thermally calibrating reaction chambers
US10763141B2 (en) Non-contact temperature calibration tool for a substrate support and method of using the same
EP3414541B1 (en) Temperature sensing system for rotatable wafer support assembly
KR101380179B1 (ko) 성막 장치 및 성막 방법
KR20200029989A (ko) 반도체 공정 챔버를 열적으로 검교정하기 위한 시스템 및 방법
CN102560681B (zh) 测温装置及扩散炉
JP2008098214A (ja) 熱処理温度の補正方法及び熱処理方法
KR101113013B1 (ko) Cvd 처리 방법 및 그 방법을 사용하는 cvd 장치
KR100901918B1 (ko) Cvd 장비의 온도보정 장치 및 방법
KR20240042452A (ko) 지지구, 기판 처리 장치, 온도 측정 방법, 반도체 장치의 제조 방법 및 기록 매체
KR20060059317A (ko) 박막 가공 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210317

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.