TWI506256B - 智慧型溫度量測裝置 - Google Patents

智慧型溫度量測裝置 Download PDF

Info

Publication number
TWI506256B
TWI506256B TW099114331A TW99114331A TWI506256B TW I506256 B TWI506256 B TW I506256B TW 099114331 A TW099114331 A TW 099114331A TW 99114331 A TW99114331 A TW 99114331A TW I506256 B TWI506256 B TW I506256B
Authority
TW
Taiwan
Prior art keywords
temperature
measuring device
identification code
temperature measuring
unique identification
Prior art date
Application number
TW099114331A
Other languages
English (en)
Other versions
TW201113510A (en
Inventor
Ravinder K Aggarwal
Rand Conner
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW201113510A publication Critical patent/TW201113510A/zh
Application granted granted Critical
Publication of TWI506256B publication Critical patent/TWI506256B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/02Means for indicating or recording specially adapted for thermometers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Remote Sensing (AREA)
  • Control Of Temperature (AREA)
  • Chemical Vapour Deposition (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)

Description

智慧型溫度量測裝置
本發明關於溫度量測裝置,尤指一種構形成增進半導體製程設備中溫度控制準確性之溫度量測裝置。
溫度控制半導體製程腔室用於沉積多種材料層到一基板表面或多個表面之上。在處理該製程腔室(chamber)內的基板時,一或多個基板或工件,例如矽晶圓,被放置在該製程腔室內一工件支撐(workpiece support)上。該基板與工件支撐皆被加熱到所需的溫度。在一種典型的製程步驟中,反應氣體被引入該製程腔室當中,並傳送到每個加熱的基板之上,藉此一化學氣相沉積(CVD,“Chemical vapor deposition”)或一原子層沉積(ALD,“Atomic layer deposition”)製程將該等反應氣體中一薄層反應劑材料沉積在該(等)基板表面上。經由後續的製程,這些層被形成積體電路,且根據該基板之大小與該等電路之複雜性由該處理過之基板切割為數十到數千或甚至數百萬的積體裝置。
多種製程參數必須被小心地控制來確保所得到的沉積層具有高品質。這類關鍵參數中其一為在每個製程步驟期間該基板之溫度。例如在CVD期間,該等沉積氣體在特定溫度下反應而沉積該薄層在該基板上。如果該溫度在該基板之表面各處大幅變化,所沉積的層即會不均勻。因此,該基板溫度在該基板處理期間可維持穩定與均勻的在所需的溫度,是相當重要的。同樣地,在其它熱處理期間橫跨一基板之溫度之非均勻性或不穩定性會影響該基板之表面上所得到之結構的均勻性。其它對溫度控制而言非常重要之製程包括(但不限於)氧化(oxidation)、氮化(nitridation)、摻雜劑擴散(dopant diffusion)、濺鍍沉積(sputter depositions)、微影(photolithography)、乾蝕刻(dry etching)、電漿處理(plasma processes)及高溫退火(high temperature)。
已知有用於測量靠近及緊接於要被處理之基板多個位置處的溫度之方法與系統。基本上,熱耦組件被設置在靠近要處理之基板的多個位置處,且這些熱耦組件可操作式地連接至一控制器來輔助提供橫跨該基板之整個表面的更均勻之溫度。例如,美國專利編號US6,121,061概略教示複數溫度感測器,其測量環繞該基板之多個點的溫度,包括設置在靠近該基板之前緣的一熱耦組件,另一個靠近尾緣,一個在側邊,而另一個在該基板之下靠近該基板的中心處。
時常關聯於熱耦組件之替換(其因為失效或定期的維護)而發生的問題為每個熱耦組件之間的變異性。每個熱耦組件之間的變異性可能由於任何數目的因素,其包括但不限於所使用的材料,或是用於生產該等熱耦組件之方法。每個熱耦組件之變異性可能造成溫度量測的變化,或是相對於先前的熱耦組件在相同溫度下有不同的溫度量測,因此如果所測量的溫度實質上不同於該反應腔室內實際的溫度時即可能影響該沉積製程。因為該溫度控制系統係基於由連接於該系統之每個熱耦組件所量測的校準溫度,連續的熱耦組件之間校準的任何變異性將造成溫度控制方式的變化,其可能造成該等基板上疊層的不均勻沉積。
因此存在著對一種包括預先校準參數的溫度量測裝置的需要,使得該沉積工具能夠自動地調整以說明該溫度量測裝置之預先校準的參數。亦存在著對一種在其中預先校準的參數被整體地包含於該溫度量測裝置當中的溫度量測裝置之需要。
在本發明一種態樣中,提供一種熱耦組件。該熱耦組件包括至少一熱耦接頭用於量測溫度。該熱耦組件亦包括一電子電路,在其上儲存有校準資料與唯一識別碼。該熱耦接頭可操作地連接至該電子電路。
在本發明另一種態樣中,提供一種溫度控制系統。該溫度控制系統包括至少一加熱元件與一溫度控制器。每個加熱元件可操作地連接至該溫度控制器,且該溫度控制器控制該(等)加熱元件之輸出。該溫度控制系統另包括可操作地連接至該溫度控制器之溫度量測裝置。該溫度量測裝置包括整合於其中的一電子電路。
在本發明又另一種態樣中,提供一種用於驗證一半導體製程工具的溫度量測裝置的方法。該方法包括提供具有整合於其中的一電子電路之溫度量測裝置,其中該電子電路包括儲存於其上的至少一唯一識別碼或資料。該方法亦包括提供可操作地連接至該溫度量測裝置之模組控制器。該方法另包括提供可操作地連接至該模組控制器及該溫度量測裝置之溫度控制器。該方法亦包括在該溫度量測裝置與該溫度控制器或模組控制器之間傳遞資料之前,驗證儲存在該溫度量測裝置上的該唯一識別碼或資料。
在本發明另一種態樣中,提供一種用於驗證一半導體製程工具的溫度量測裝置的方法。該方法包括提供可操作地連接至一溫度控制器之一模組控制器。該方法另包括連接一溫度量測裝置至該模組控制器,其中該模組控制器向該溫度量測裝置查詢儲存在整合於該溫度量測裝置之一電子電路上的一唯一識別碼。該方法亦包括輸入一識別碼到一圖形化使用者介面(GUI,"Graphical user interface”)當中。該GUI可操作地連接至該模組控制器。該方法亦包括比較儲存在該溫度量測裝置之電子電路上的唯一識別碼與輸入到GUI當中的該識別碼。該方法包括如果儲存在該溫度量測裝置之電子電路上的唯一識別碼相當於輸入到該GUI當中的識別碼時,即允許該溫度量測裝置與該溫度控制器之間的資料傳遞。該方法另包括如果儲存在該溫度量測裝置之電子電路上的唯一識別碼不相當於輸入到該GUI當中的識別碼時,即防止該溫度量測裝置與該溫度控制器之間的資料傳遞。
本發明之好處對於熟此技藝者而言,可由以下經例示來顯示與描述的本發明具體實施例的說明而更加清楚。應瞭解本發明能夠實作成其它與不同的具體實施例,且其細節能夠修正成多種不同的態樣。因此,此處之圖式與說明的性質皆應視為例示性,而非限制性。
請參照圖1,所示為化學氣相沉積(CVD)反應器10之示例性具體實施例。當所例示的具體實施例為一單一基板、水平流動、冷壁反應器時,熟此技藝者必須瞭解此處所述之熱耦技術可用於其它型式的半導體製程反應器,以及其它需要準確溫度感測器之應用。反應器10包括定義反應空間14的反應腔室12、位在反應腔室12之相對側邊上的加熱元件16、及基板支撐機構18。反應腔室12為一拉長的構件,其具有入口20,用於允許反應氣體被引入到反應空間14當中,及出口22,經由其中該等反應氣體與製程副產品離開反應空間14。在一具體實施例中,反應腔室12由透明石英所形成,其構形成允許來自加熱元件16之實質上所有的輻射能量傳送通過反應腔室12之壁。加熱元件16提供輻射能量至反應腔室12,而不會被反應腔室12之壁大量吸收。加熱元件16構形成提供不同波長的輻射熱,其由正在處理的該基板以及基板支撐機構18的一些部份所吸收。
在一具體實施例中,基板支撐機構18包括基板夾持器24,於一沉積製程期間在其上設置基板40,如圖1所示。基板支撐機構18亦包括支撐構件26,其構形成支撐基板夾持器24。支撐構件26連接至軸件28,其向下延伸通過自反應腔室12的下方壁延伸的管件30。一馬達(未示出)構形成旋轉軸件28,藉此在該沉積製程期間以類似方式旋轉基板夾持器24與基板40。感受器(susceptor)環25設置成環繞基板40之邊緣與基板夾持器24,以抵消來自於其邊緣的熱損耗。溫度量測裝置32,例如熱耦組件、高溫計或類似者,係設置在感受器環25之內,以測量在環繞基板40與基板夾持器24的預定位置處之局部化的溫度。
請參照圖2,例示在一反應腔室之內溫度控制系統34的具體實施例。所例示之用於化學氣相沉積反應器10的溫度控制系統34包括複數溫度量測裝置32,其位在鄰接於基板40與基板夾持器24,用於測量在預定位置處的溫度。溫度量測裝置32可操作地連接至溫度控制器36。溫度控制器36可操作地連接至加熱元件16,以控制反應腔室12之內的加熱方式。
在圖2的示意圖中,所例示之溫度控制系統34的具體實施例包括設置成環繞基板40的複數溫度量測裝置32,其中溫度量測裝置32包括:中央溫度感測器32a,其位於鄰接基板夾持器24的下表面、前緣溫度感測器32b、尾緣溫度感測器32c、及至少一側緣溫度感測器32d。前緣與尾緣溫度感測器32b、32c相對於反應空間14之內反應氣體之流動A的方向而位在鄰接於基板40的前緣與後緣。溫度量測裝置32構形成量測在該局部化區域中的溫度,並傳送溫度量測資料至溫度控制器36。溫度控制器36構形成回應於由溫度量測裝置32提供的資料而選擇性地調整自加熱元件16放射的能量,以維持橫跨正在處理之整個基板40之實質上均勻的溫度分佈。熟此技藝者必須瞭解溫度控制系統34可包括設置在反應腔室12之內或之外的不同位置處的任何數目之溫度量測裝置32,用於提供資料給正在處理之基板40或鄰接於基板40之位置的溫度給溫度控制器36。
溫度量測裝置32可包括熱耦組件、高溫計、溫度計、或任何其它能夠量測半導體製造設備之內溫度之溫度量測裝置。在一具體實施例中,溫度量測裝置32之每一者彼此皆相同。在另一具體實施例中,至少一溫度量測裝置32不同於其它者。在一具體實施例中,溫度量測裝置32之每一者為一熱耦組件,例如美國專利申請號12/140,809中所述之熱耦組件。雖然所例示的溫度控制系統34包括四個溫度量測裝置32,熟此技藝者必須瞭解溫度控制系統34可包括任何數目與型式的溫度量測裝置32。
請參照圖3,所示為智慧型熱耦組件132之示例性具體實施例。本發明之溫度量測裝置的該等較佳具體實施例雖以熱耦組件的背景來討論,熟此技藝者將可瞭解此處所教示的原理與好處將可應用於其它溫度量測裝置,該等裝置可用於量測半導體製程反應器或半導體製造設備之內的溫度。在一具體實施例中,熱耦組件132包括護套150、支撐構件152、一對線材154、接頭156、帽蓋158、電子電路160及插頭162。護套150為具有量測尖端164的拉長管狀構件,其形成一封閉端。護套150的相對末端形成帽蓋158所連接的一開放端。在一具體實施例中,護套150由石英形成,但是熟此技藝者必須瞭解護套150可由任何足以承受溫度變化以及實質上對於被引入到該反應腔室當中之氣體為鈍化(inert)的材料。
在圖3所示的具體實施例中,支撐構件152設置在護套150之內,並延伸至少護套150長度的一部份。支撐構件152構形成在其中接收該對線材154。在一具體實施例中,支撐構件152由陶瓷材料所形成。在一具體實施例中,該對線材154自鄰接於護套150的量測尖端164之支撐構件152的末端延伸至電子電路160。線材154由不同金屬形成,且每條線的末端被熔融至另一條線的末端以形成在其間提供一熱耦的接頭156。所例示的具體實施例顯示接頭156係位在鄰接於護套150之量測尖端164,但熟此技藝者必須瞭解熱耦組件132可包括位在沿著護套150長度之任何位置處的複數接頭。
在一具體實施例中,線材154可操作地連接接頭156至電子電路160與插頭162,如圖3所示。插頭162提供熱耦組件132與溫度控制器36之間的一介面,如圖4所示。插頭162提供熱耦組件132與溫度控制器36之間一實體連接,但熟此技藝者必須瞭解熱耦組件132另可包括一無線傳送器(未示出),以可操作地連接熱耦組件132至溫度控制器36,而不需要在其間有一實體連接。
如圖3至4所示,接頭156可操作地連接至電子電路160。所例示的具體實施例顯示設置在帽蓋158與插頭162之間的電子電路160,但熟此技藝者必須瞭解電子電路160可位在該接頭與熱耦組件132所連接的該介面之間的任何位置處。在一具體實施例中,電子電路160整合在帽蓋158之內。在另一具體實施例中,電子電路160整合在插頭162之內。熟此技藝者必須瞭解電子電路160可位在熱耦組件132上的任何位置處,而維持一可操作的連接至接頭156。
於熱耦組件132的組裝期間,熱耦組件132使用一(美國)國家標準與技術局(NIST,“National Institute of Standards and Technology”)可追蹤校準裝置來校準,以驗證熱耦組件132的準確性。同時在熱耦組件132的組裝期間,每個熱耦組件132具有一唯一識別碼或資料,例如序號或類似者,其專屬於該熱耦組件。該校準資料與該唯一識別碼被儲存在電子電路160上,並在智慧型熱耦組件132之內傳送。熟此技藝者必須瞭解到除了該校準資料與該唯一識別碼之外,其它的資料或識別符亦可儲存在電子電路160上。在一具體實施例中,電子電路160包括一資料儲存裝置,在其上儲存有熱耦組件132的校準資料與唯一識別碼。在一具體實施例中,電子電路160包括一電性可抹除可程式化唯讀記憶體(EEPROM,“Electrically erasable programmable read-only memory”),在其上儲存有該校準資料與唯一識別碼。在另一具體實施例中,電子電路160包括一快閃記憶體,在其上儲存有該校準資料與唯一識別碼。熟此技藝者必須瞭解在其上儲存有該熱耦組件之該校準資料與該唯一識別碼的任何其它資料儲存裝置,可被加入到電子電路160中。熟此技藝者亦必須瞭解該校準資料與唯一識別碼可儲存在電子電路160之內相同的記憶體裝置或不同的記憶體裝置上。
智慧型熱耦組件132構形成藉由提供該熱耦組件的驗證以及提供預先校準設定來改善溫度控制系統34之準確性與效能,以降低或消除一旦安裝熱耦組件之後,校準該等組件所需要的時間與工作量。智慧型熱耦組件132亦構形成確保適當型式的溫度量測裝置用於溫度控制系統34,藉此允許該熱耦組件與溫度控制系統34之間有適當的通訊。智慧型熱耦組件132亦將降低由於不正確或不適當的校準資料所造成之錯誤機率,因為相對於在安裝於反應器10之後同時的校準(圖1),該熱耦組件使用一校準裝置來預先校準。
圖4所示為溫度控制系統34的示例性示意圖,其在智慧型熱耦組件132與溫度控制系統34的溫度控制器36之間具有一可操作性連接。如前所述,熱耦接頭156可操作地連接至整合在熱耦組件132之內的電子電路160。當安裝熱耦組件132時,熱耦組件132經由模組控制器166可操作地連接至溫度控制器36。圖形化使用者介面(GUI)168亦可操作地連接至模組控制器166。GUI 168提供該操作者與模組控制器166之間的一介面,藉此資訊可在GUI 168與模組控制器166之間傳遞。模組控制器166構形成在資料或一溫度量測由熱耦組件132傳遞到溫度控制器36之前,驗證連接至溫度控制器36的熱耦組件132。換言之,模組控制器166做為熱耦組件132與溫度控制器36之間的一開關,使得來自接頭156的溫度量測在模組控制器166驗證熱耦組件132之前不會傳遞至該溫度控制器。
在操作時,安裝熱耦組件132到反應器10當中的一操作者可操作地連接熱耦組件132到模組控制器166。一旦熱耦組件132被可操作地連接,模組控制器166傳送一信號至電子電路160,以向電子電路160查詢儲存在其上的該唯一識別碼或資料。如果熱耦組件132被安裝在並未包括整合於其中的電子電路160之反應器10中,模組控制器166自該熱耦組件不會接收回傳傳輸或資料,然後傳送一信號至GUI 168,以提供一錯誤訊息至該操作者指明熱耦組件132並不相容於溫度控制系統34。如果熱耦組件132有包括整合在其中的電子電路160,電子電路160傳送一信號至模組控制器166,其包括特定熱耦組件132之唯一識別碼或資料。一旦模組控制器166接收到來自電子電路160之唯一識別碼或資料,模組控制器166查詢該操作者來輸入對應於特定熱耦組件132的相同唯一識別碼或資料,用於經由GUI 168確認與驗證特定熱耦組件132之唯一識別碼或資料。一旦該操作者已經輸入一識別碼或資料,該識別碼或資料被傳送至模組控制器166。模組控制器166比較熱耦組件132之唯一識別碼與由該操作者輸入的該識別碼或資料。如果該識別碼或資料不相當於(equivalent)特定熱耦組件132之唯一識別碼或資料,模組控制器166傳送一信號至GUI 168以指示GUI 168顯示一錯誤訊息給該操作者,以指明該操作者所輸入的該識別碼或資料並不符合特定熱耦組件132之唯一識別碼或資料。模組控制器166亦在當由該操作者輸入到GUI 168當中的該識別碼或資料並不符合特定熱耦組件132的唯一識別碼或資料時,即防止熱耦組件132與溫度控制器36之間的資料傳遞。
以上所解釋用於驗證熱耦組件132的程序,可在熱耦組件132被安裝並可操作地連接至模組控制器166之後的任何時間被執行。在一具體實施例中,該驗證程序在熱耦組件132可操作地連接至模組控制器166之後立即被執行。在另一具體實施例中,該驗證程序在反應器10運作之前立即被執行,使得在反應器10之內基板40的處理將僅在熱耦組件132被驗證之後才開始。在反應器10之內的製程開始之前立即驗證熱耦組件132可確保另一熱耦組件132未被切換,因為熱耦組件132的切換由於不同熱耦組件之不同的校準資料而可能造成不良的製程結果。在又另一具體實施例中,該驗證程序可在製程期間以固定間隔執行,例如在處理隨機的一對基板之間。熟此技藝者必須瞭解該熱耦組件驗證程序可在任何時間執行,以確保在製程期間使用適當的熱耦組件132,進一步確保最適化的製程結果。
如果該操作者輸入一識別碼或資料到GUI 168當中,其符合特定熱耦組件132的該唯一識別碼或資料,模組控制器166比較熱耦組件132的唯一識別碼與由該操作者輸入的該識別碼或資料,以判定該等號碼或資料為相等,並驗證熱耦組件132。一旦已經驗證熱耦組件132之後,模組控制器166傳送一信號至電子電路160,以向電子電路160查詢儲存在其上的該校準資料。然後電子電路160直接或經由模組控制器166傳遞該校準資料至溫度控制器36。溫度控制器36接收該校準資料,並據此調整溫度控制系統34。一旦模組控制器166已經驗證熱耦組件132,且在電子電路160上的校準資料被傳遞到溫度控制系統34,資料與資訊可自由地在熱耦組件132與溫度控制器36之間傳遞。
具有整合的電子電路160之溫度量測裝置的驗證藉由確保該溫度量測裝置在安裝之前被適當地校準,可降低在該溫度量測裝置中出錯的可能性。具有整合的電子電路160之溫度量測裝置的驗證藉由確認只有具有該溫度量測裝置之校準資料,及儲存於其上之一唯一識別碼或資料之具有一電子電路的溫度量測裝置正被使用於該半導體製程工具中,亦可降低在該溫度量測裝置中出錯的可能性。此驗證程序藉由整合該校準資料到該溫度量測裝置組件當中,另可降低一操作者安裝或替換在該反應器中一溫度量測裝置所需要的時間量。
上述之智慧型溫度量測裝置係針對做為該量測裝置之熱耦組件,但熟此技藝者必須瞭解用於驗證與確認該溫度量測裝置的技術可合併用於任何其它溫度量測裝置,包括一高溫計、溫度計或類似者。
雖然以上已說明本發明之較佳具體實施例,應瞭解本發明並不受限於此,且可在不背離本發明之情形下進行修改。本發明之範圍由附屬申請專利範圍所定義,且在字面上或等同性上,在該等申請專利範圍之意義內出現的所有裝置、程序與方法皆欲包含於其中。
10...化學氣相沉積反應器
12...反應腔室
14...反應空間
16...加熱元件
18...基板支撐機構
20...入口
22...出口
24...基板夾持器
25...感受器環
26...支撐構件
28...軸件
30...管件
32...溫度量測裝置
32a...央溫度感測器
32b...前緣溫度感測器
32c...尾緣溫度感測器
32d...側緣溫度感測器
34...溫度控制系統
36...溫度控制器
40...基板
132...智慧型熱耦組件
150...護套
152...支撐構件
154...線
156...接頭
158...帽蓋
160...電子電路
162...插頭
164...量測尖端
166...模組控制器
168...圖形化使用者介面
A...流動
圖1為一半導體製程工具之反應器的具體實施例的側面橫截面圖;
圖2為一示例性溫度控制系統之示意圖;
圖3為一示例性溫度量測裝置之等角視圖;及
圖4為一溫度控制系統之電子示意圖。
132...智慧型熱耦組件
150...護套
152...支撐構件
154...線
156...接頭
158...帽蓋
160...電子電路
162...插頭
164...量測尖端

Claims (17)

  1. 一種熱耦組件,其包含:一熱耦接頭,其用於量測溫度;一介面,將該熱耦組件可操作地連接至一溫度控制器;及一電子電路,在其上儲存有校準資料與一唯一識別碼,其中該至少一熱耦接頭可操作地連接至該電子電路,其中該電子電路設置於該熱耦接頭與該介面之間,其中該電子電路將該唯一識別碼經由該介面傳送至該溫度控制器,以唯一地識別該熱耦接頭,在將溫度資料傳遞於該熱耦組件與該溫度控制器之間以前,該唯一識別碼係由一模組控制器所驗證,其中該校準資料包含多個校準前的參數整合內含於該電子電路中,其中在組裝該熱耦時該等校準前的參數係透過使用一NIST可回溯校準裝置所決定,以及其中該電子電路將該校準資料傳送至該溫度控制器以調校該溫度控制器。
  2. 如申請專利範圍第1項之熱耦組件,其中該電子電路包括一電性可抹除可程式化唯讀記憶體(EEPROM)。
  3. 如申請專利範圍第2項之熱耦組件,其中該唯一識別碼被儲存在該EEPROM上。
  4. 如申請專利範圍第1項之熱耦組件,其中該電子電路包括一快閃記憶體。
  5. 如申請專利範圍第4項之熱耦組件,其中該唯一識別 碼被儲存在該快閃記憶體上。
  6. 如申請專利範圍第1項之熱耦組件,更包含複數接頭可操作地連接至該電子電路。
  7. 一種溫度控制系統,該系統包含:至少一加熱元件;一溫度控制器,其中該至少一加熱元件之每一者可操作地連接至該溫度控制器,且該溫度控制器控制該至少一加熱元件的輸出;及一溫度量測裝置包含如申請專利範圍第1項所述之熱耦組件,其可操作地連接至該溫度控制器,該溫度量測裝置具有一整合的電子電路。
  8. 如申請專利範圍第7項之溫度控制系統,其中該溫度量測裝置與該溫度控制器無線地進行通訊。
  9. 如申請專利範圍第7項之溫度控制系統,其中一圖形化使用者介面(GUI)可操作地連接至該模組控制器。
  10. 一種用於驗證一半導體製程工具的溫度量測裝置之方法,該方法包含:提供一溫度量測裝置,其包含如申請專利範圍第1項所述之熱耦組件;提供可操作地連接至該溫度量測裝置之模組控制器;提供可操作地連接至該模組控制器與該溫度量測裝置 之一溫度控制器;及在該溫度量測裝置與該溫度控制器之間的資料傳遞之前,驗證儲存在該溫度量測裝置上的該唯一識別碼或資料。
  11. 如申請專利範圍第10項之方法,其中驗證該唯一識別碼或資料包括輸入對應於該溫度量測裝置之該唯一識別碼或資料到可操作地連接至該模組控制器的一圖形化使用者介面當中。
  12. 如申請專利範圍第11項之方法,其中驗證該唯一識別碼或資料包括比較該唯一識別碼與由該操作者輸入的該唯一識別碼或資料之該模組控制器。
  13. 如申請專利範圍第12項之方法,其中驗證該唯一識別碼或資料在當該溫度量測裝置的該唯一識別碼並不相當於由該操作者輸入的該唯一識別碼時,即防止該溫度量測裝置與該溫度控制器之間的資料傳遞。
  14. 如申請專利範圍第12項之方法,其中驗證該唯一識別碼或資料在當該溫度量測裝置的該唯一識別碼相當於由該操作者輸入的該唯一識別碼時,即允許該溫度量測裝置與該溫度控制器之間的資料傳遞。
  15. 一種用於驗證一半導體製程工具的溫度量測裝置之方法,該方法包含:連接一溫度量測裝置,其包含如申請專利範圍第1項 所述之熱耦組件;連接一溫度量測裝置至該模組控制器,其中該模組控制器向該溫度量測裝置查詢儲存在整合於該溫度量測裝置之一電子電路上的一唯一識別碼;輸入一識別碼到一圖形化使用者介面(GUI)當中,該GUI可操作地連接至該模組控制器;比較儲存在該溫度量測裝置之該電子電路上該唯一識別碼與輸入到該GUI當中的該識別碼;如果儲存在該溫度量測裝置的該電子電路上之該唯一識別碼相當於輸入到該GUI當中的該識別碼,即允許該溫度量測裝置與該溫度控制器之間的資料傳遞;及如果儲存在該溫度量測裝置的該電子電路上之該唯一識別碼不相當於輸入到該GUI當中的該識別碼,即防止該溫度量測裝置與該溫度控制器之間的資料傳遞。
  16. 如申請專利範圍第15項之方法,另包含如果儲存在該溫度量測裝置的該電子電路上之該唯一識別碼不相當於輸入到該GUI的該識別碼時,即在該GUI上顯示一錯誤訊息。
  17. 如申請專利範圍第15項之方法,另包含如果儲存在該溫度量測裝置的該電子電路上之該唯一識別碼相當於輸入到該GUI當中的該識別碼時,下載儲存在該電子電路上該溫度量測裝置之校準資料到該溫度控制器中。
TW099114331A 2009-05-06 2010-05-05 智慧型溫度量測裝置 TWI506256B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/436,306 US9297705B2 (en) 2009-05-06 2009-05-06 Smart temperature measuring device

Publications (2)

Publication Number Publication Date
TW201113510A TW201113510A (en) 2011-04-16
TWI506256B true TWI506256B (zh) 2015-11-01

Family

ID=43050381

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099114331A TWI506256B (zh) 2009-05-06 2010-05-05 智慧型溫度量測裝置

Country Status (4)

Country Link
US (1) US9297705B2 (zh)
CN (1) CN102439402B (zh)
TW (1) TWI506256B (zh)
WO (1) WO2010129430A1 (zh)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8702306B2 (en) * 2007-09-21 2014-04-22 Siemens Industry, Inc. Systems, devices, and/or methods for managing a thermocouple module
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012003614B3 (de) * 2012-02-23 2013-05-29 Testo Ag Temperaturmessgerät, Temperaturmessgerät-Set und Verfahren zur Konfiguration eines mit einem Thermoelement betreibbaren Temperaturmessgeräts
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015106805A1 (de) * 2015-04-30 2016-11-03 Anton Paar Optotec Gmbh Temperaturkalibration für Messgerät
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108885139A (zh) * 2016-02-08 2018-11-23 沃特洛电气制造公司 用于可旋转晶圆支承组件的温度感测系统
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN106020287B (zh) * 2016-06-07 2018-08-21 中国南方航空工业(集团)有限公司 燃气轮机用温度限制装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
DE102020110070A1 (de) * 2020-04-09 2021-10-14 Ipetronik Gmbh & Co. Kg Elektrische Verbindung zum Anschließen eines Thermoelementes
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6243654B1 (en) * 1997-10-07 2001-06-05 Telemonitor, Inc. Transducer assembly with smart connector
TW200730803A (en) * 2005-09-22 2007-08-16 Lam Res Corp Apparatus for determining a temperature of a substrate and methods therefor
US20080228306A1 (en) * 2004-07-07 2008-09-18 Sensarray Corporation Data collection and analysis system

Family Cites Families (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) 1874-09-01 Improvement in processes of brightening tobacco
US2059480A (en) 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2563931A (en) 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2660061A (en) 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US3011006A (en) 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
US3038951A (en) 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3263502A (en) 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (fr) 1964-06-30 1965-08-13 Realisations Electr Et Electro Prise de raccordement pour thermocouples
US3588192A (en) 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
US3647716A (en) * 1970-04-03 1972-03-07 Westvaco Corp Transport reactor with a venturi tube connection to a combustion chamber for producing activated carbon
CA1002299A (en) 1971-06-24 1976-12-28 William H. Trembley Installation tool
FR2181175A5 (zh) 1972-04-20 1973-11-30 Commissariat Energie Atomique
JPS5132766B2 (zh) 1972-07-25 1976-09-14
US4217463A (en) 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5611329A (en) 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4355912A (en) 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
US4454370A (en) 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US4527005A (en) 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4590326A (en) * 1984-06-14 1986-05-20 Texaco Inc. Multi-element thermocouple
US4692556A (en) 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
JPS61234789A (ja) 1985-04-10 1986-10-20 Mitsui Toatsu Chem Inc L―トリプトファンよりインドールの分離回収方法
US4721534A (en) 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4721533A (en) 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4749416A (en) 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4830515A (en) 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
JPH0523079Y2 (zh) 1988-11-19 1993-06-14
JPH02185038A (ja) 1989-01-11 1990-07-19 Nec Corp 熱処理装置
US4934831A (en) 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5360269A (en) 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US5061083A (en) 1989-06-19 1991-10-29 The United States Of America As Represented By The Department Of Energy Temperature monitoring device and thermocouple assembly therefor
WO1991007643A1 (en) 1989-11-22 1991-05-30 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
LU87693A1 (fr) 1990-03-07 1991-10-08 Wurth Paul Sa Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve
JPH0464025A (ja) 1990-07-02 1992-02-28 Matsushita Electric Ind Co Ltd 調理器用温度センサー
JP2780866B2 (ja) 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5228114A (en) * 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5071258A (en) 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
CN2101223U (zh) 1991-06-10 1992-04-08 李国飞 热电偶温度计保护套管
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JPH05118928A (ja) 1991-10-25 1993-05-14 Tokyo Electron Ltd 接触式の温度測定方法
US5193912A (en) 1991-11-18 1993-03-16 Saunders Roger I Probe for sensing and measuring temperature
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US6438502B1 (en) * 1992-10-07 2002-08-20 Dallas Semiconductor Corporation Environmental condition sensor device and method
US6235858B1 (en) 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
DE4244189C2 (de) 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Anlegetemperaturfühler
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
JPH07209093A (ja) 1994-01-20 1995-08-11 Tokyo Electron Ltd 温度計
US5474618A (en) 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5669713A (en) * 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
IL115833A (en) * 1994-11-25 1998-10-27 Zeneca Ltd Acids 6, 6 - Dihalo - 3, 3 - Dimethyl - 5 - Hydroxy - 7, 7, 7 Triplooroheptanoics and their alkaline esters useful as an intermediate product Insecticides
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5663899A (en) * 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5791782A (en) 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
JPH0989676A (ja) 1995-09-21 1997-04-04 Casio Comput Co Ltd 電子体温計
US5697706A (en) 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100212132B1 (ko) 1996-04-24 1999-08-02 윤종용 횡형 확산로의 프로파일 열전대
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0818671A3 (en) 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5611265A (en) 1996-09-13 1997-03-18 Ronci; Fernando F. Combination charbroiler and fryer with spinning food basket
US5857777A (en) * 1996-09-25 1999-01-12 Claud S. Gordon Company Smart temperature sensing device
US5953710A (en) 1996-10-09 1999-09-14 Fleming; Stephen S. Children's credit or debit card system
JP3740587B2 (ja) 1996-11-25 2006-02-01 山里産業株式会社 熱電対
US5753835A (en) 1996-12-12 1998-05-19 Caterpillar Inc. Receptacle for holding a sensing device
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3492135B2 (ja) 1997-02-13 2004-02-03 三菱重工業株式会社 熱流束計
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
JP3124506B2 (ja) 1997-03-14 2001-01-15 白光株式会社 ヒータ・センサ複合体
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US6104011A (en) 1997-09-04 2000-08-15 Watlow Electric Manufacturing Company Sheathed thermocouple with internal coiled wires
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5937541A (en) * 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
US5914472A (en) 1997-09-23 1999-06-22 At&T Corp Credit card spending authorization control system
JPH11118615A (ja) 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko 伸縮性を有する被測定物用温度センサ
WO1999023276A1 (en) 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
DE69813014T2 (de) 1997-11-03 2004-02-12 Asm America Inc., Phoenix Verbesserte kleinmassige waferhaleeinrichtung
US6121061A (en) 1997-11-03 2000-09-19 Asm America, Inc. Method of processing wafers with low mass support
JPH11183264A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
JPH11183265A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
US6193414B1 (en) 1998-01-06 2001-02-27 Alfiero Balzano Dual protected instant temperature detector
US6091062A (en) 1998-01-27 2000-07-18 Kinetrix, Inc. Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly
JPH11281497A (ja) 1998-03-27 1999-10-15 Kawasaki Steel Corp 金属体内部の温度を測定するシース熱電対の取付け方法
US6422462B1 (en) 1998-03-30 2002-07-23 Morris E. Cohen Apparatus and methods for improved credit cards and credit card transactions
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11287715A (ja) 1998-04-02 1999-10-19 Canon Inc 熱電対
TW446995B (en) * 1998-05-11 2001-07-21 Semitool Inc Temperature control system for a thermal reactor
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6257758B1 (en) 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
US6462671B2 (en) * 1998-10-20 2002-10-08 Brendyl Trent Bushner Remote securities based data reception and order system
KR100317238B1 (ko) 1998-11-03 2002-02-19 윤종용 가열로 온도검출용 스파이크 열전대 소자_
US6721713B1 (en) 1999-05-27 2004-04-13 Andersen Consulting Llp Business alliance identification in a web architecture framework
JP3701148B2 (ja) * 1999-07-28 2005-09-28 株式会社日立製作所 コンテンツの配信方法
DE19936942A1 (de) 1999-08-05 2001-02-08 Bosch Gmbh Robert Brennstoffeinspritzventil
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6293700B1 (en) * 1999-09-24 2001-09-25 Fluke Corporation Calibrated isothermal assembly for a thermocouple thermometer
CN1192222C (zh) * 1999-10-13 2005-03-09 德士古发展公司 蓝宝石增强热电偶保护管
US6342691B1 (en) 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US20020009119A1 (en) * 2000-02-11 2002-01-24 Matthew William T. Environmental heat stress monitor
AU2001243473A1 (en) 2000-03-07 2001-09-17 American Express Travel Related Services Company, Inc. System for facilitating a transaction
KR100752682B1 (ko) 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
JP3497450B2 (ja) * 2000-07-06 2004-02-16 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
EP1251551A1 (en) 2000-08-30 2002-10-23 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
KR20020086763A (ko) 2001-05-10 2002-11-20 주식회사 엘지이아이 플라즈마를 이용한 연속중합장치용 열전대
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030002562A1 (en) * 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
JP2003035574A (ja) 2001-07-23 2003-02-07 Mitsubishi Heavy Ind Ltd 応答型センサ及び応用計測システム
JP3775262B2 (ja) * 2001-08-09 2006-05-17 ヤマハ株式会社 電子楽器及び電子楽器システム
US6658895B2 (en) 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
US7577585B2 (en) 2001-12-07 2009-08-18 American Express Travel Related Services Company, Inc. Method and system for completing transactions involving partial shipments
SE0104252D0 (sv) * 2001-12-17 2001-12-17 Sintercast Ab New device
US6580050B1 (en) * 2002-01-16 2003-06-17 Pace, Incorporated Soldering station with built-in self-calibration function
JP4157914B2 (ja) 2002-03-20 2008-10-01 坂野 數仁 温度測定装置及び温度測定方法
US20030231698A1 (en) * 2002-03-29 2003-12-18 Takatomo Yamaguchi Apparatus and method for fabricating a semiconductor device and a heat treatment apparatus
US20030192435A1 (en) 2002-04-11 2003-10-16 Mcnair John Duncan Cooking appliance
KR20030092305A (ko) 2002-05-29 2003-12-06 삼성전자주식회사 고온 언도우프 막 증착 설비의 챔버 외벽에 대한 온도측정장치
JP4231953B2 (ja) 2002-09-24 2009-03-04 ペガサスネット株式会社 耳孔式saw体温計及び該体温計による体温管理システム
JP3671951B2 (ja) * 2002-10-08 2005-07-13 住友電気工業株式会社 測温装置及びそれを用いたセラミックスヒータ
US6676290B1 (en) 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
US7223014B2 (en) * 2003-03-28 2007-05-29 Intempco Controls Ltd. Remotely programmable integrated sensor transmitter
US20090204403A1 (en) * 2003-05-07 2009-08-13 Omega Engineering, Inc. Speech generating means for use with signal sensors
DE10328660B3 (de) 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US20050101843A1 (en) 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
JP2005172489A (ja) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd 溶湯用測温プローブ
RU2347921C2 (ru) * 2004-03-02 2009-02-27 Роузмаунт Инк. Технологическое устройство с усовершенствованным обеспечением электропитанием
US7689687B2 (en) * 2004-07-30 2010-03-30 Fisher-Rosemount Systems, Inc. Communication controller with automatic time stamping
JP4698190B2 (ja) 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
US7806587B2 (en) 2004-09-29 2010-10-05 Citizen Holdings Co., Ltd. Electronic clinical thermometer and method of producing the same
JP2006153706A (ja) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US7143936B2 (en) 2005-02-09 2006-12-05 American Express Travel Related Services Company, Inc. System and method for calculating expected approval rates
JP4214124B2 (ja) 2005-03-14 2009-01-28 株式会社バイオエコーネット 耳式体温計
US7380982B2 (en) * 2005-04-01 2008-06-03 Lam Research Corporation Accurate temperature measurement for semiconductor applications
US20060275933A1 (en) 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
TW200702647A (en) 2005-07-13 2007-01-16 Actherm Inc Heat conductive structure of electronic clinical thermometer and clinical thermometer with the same
JP5017950B2 (ja) 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7561982B2 (en) * 2005-11-10 2009-07-14 Shake Awake Products, LLC Physical attribute recording method and system
US7963917B2 (en) * 2005-12-05 2011-06-21 Echo Therapeutics, Inc. System and method for continuous non-invasive glucose monitoring
US7857506B2 (en) * 2005-12-05 2010-12-28 Sencal Llc Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications
CN1815155A (zh) 2006-02-24 2006-08-09 吴加伦 线形动态表面热电偶及其测试方法与测温方法
WO2007115257A2 (en) * 2006-03-31 2007-10-11 Mesoscribe Technologies, Inc. Thermocouples
US7997795B2 (en) 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
EP2156885B1 (en) * 2006-05-26 2019-03-20 INEOS Manufacturing Belgium NV Polymerisation process
JP5027573B2 (ja) 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
DE502007004378D1 (de) 2006-09-06 2010-08-26 Kistler Holding Ag Temperatursensor mit bearbeitbarer Front
TW200822253A (en) * 2006-10-02 2008-05-16 Matsushita Electric Ind Co Ltd Component crimping apparatus control method, component crimping apparatus, and measuring tool
JP4270284B2 (ja) * 2007-01-30 2009-05-27 トヨタ自動車株式会社 車輪状態監視システムおよび車輪状態検出装置
US7871198B2 (en) 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US7621672B2 (en) 2007-03-19 2009-11-24 Babcock & Wilcox Technical Services Y-12, Llc Thermocouple shield
GB0709723D0 (en) * 2007-05-22 2007-06-27 Goodrich Control Sys Ltd Temperature sensing
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7651269B2 (en) 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US20090034582A1 (en) 2007-08-02 2009-02-05 Tokyo Electron Limited Tbs Broadcast Center Apparatus for hot plate substrate monitoring and control
US20090052498A1 (en) 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20090124131A1 (en) * 2007-11-09 2009-05-14 Electronic Controls Design Thermocouple adapter
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US8496377B2 (en) 2007-12-31 2013-07-30 Covidien Lp Thermometer having molded probe component
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US8185443B2 (en) * 2008-10-27 2012-05-22 Ebay, Inc. Method and apparatus for authorizing a payment via a remote device
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8118484B2 (en) * 2009-03-31 2012-02-21 Rosemount Inc. Thermocouple temperature sensor with connection detection circuitry
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
JP5335155B1 (ja) * 2013-02-04 2013-11-06 善郎 水野 温度計の管理システム
JP5819462B2 (ja) 2014-03-25 2015-11-24 彰浩 田坂 手腕分離型カバー

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6243654B1 (en) * 1997-10-07 2001-06-05 Telemonitor, Inc. Transducer assembly with smart connector
US20080228306A1 (en) * 2004-07-07 2008-09-18 Sensarray Corporation Data collection and analysis system
TW200730803A (en) * 2005-09-22 2007-08-16 Lam Res Corp Apparatus for determining a temperature of a substrate and methods therefor

Also Published As

Publication number Publication date
CN102439402B (zh) 2016-01-20
US9297705B2 (en) 2016-03-29
CN102439402A (zh) 2012-05-02
TW201113510A (en) 2011-04-16
WO2010129430A1 (en) 2010-11-11
US20100286842A1 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
TWI506256B (zh) 智慧型溫度量測裝置
KR102627235B1 (ko) 반응 챔버들을 열적 교정하는 방법들
US6596973B1 (en) Pyrometer calibrated wafer temperature estimator
US6622104B2 (en) Heat treatment apparatus, calibration method for temperature measuring system of the apparatus, and heat treatment system
KR100887445B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP6080842B2 (ja) プロセスチャンバ内の複数区域ヒータの温度を制御するための方法および装置
EP1548809B1 (en) Heat treatment method and heat treatment apparatus
KR102115642B1 (ko) 제어 장치, 기판 처리 시스템, 기판 처리 방법 및 프로그램
US7896649B2 (en) Heat system, heat method, and program
US9453683B2 (en) Heat treatment system, heat treatment method, and program
JP2001077041A (ja) 熱処理装置の温度校正方法
KR20240049234A (ko) 반도체 공정 챔버를 열적으로 검교정하기 위한 시스템 및 방법
CN116046214A (zh) 一种化学气相沉积装置的温度校准和控制方法
CN102560681A (zh) 测温装置及扩散炉
JP2008098214A (ja) 熱処理温度の補正方法及び熱処理方法
JP4783029B2 (ja) 熱処理装置及び基板の製造方法
JP6335128B2 (ja) 熱処理システム、熱処理方法、及び、プログラム
JP2013077643A (ja) 熱処理装置
KR20060059317A (ko) 박막 가공 장치
KR20050020317A (ko) 화학기상증착장치