CN101058713B - 研磨液及研磨方法 - Google Patents

研磨液及研磨方法 Download PDF

Info

Publication number
CN101058713B
CN101058713B CN2007101077532A CN200710107753A CN101058713B CN 101058713 B CN101058713 B CN 101058713B CN 2007101077532 A CN2007101077532 A CN 2007101077532A CN 200710107753 A CN200710107753 A CN 200710107753A CN 101058713 B CN101058713 B CN 101058713B
Authority
CN
China
Prior art keywords
lapping liquid
lapping
acid
aforementioned
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007101077532A
Other languages
English (en)
Other versions
CN101058713A (zh
Inventor
天野仓仁
樱田刚史
安西创
深泽正人
佐佐木晶市
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Showa Denko Materials Co ltd
Original Assignee
Hitachi Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Chemical Co Ltd filed Critical Hitachi Chemical Co Ltd
Publication of CN101058713A publication Critical patent/CN101058713A/zh
Application granted granted Critical
Publication of CN101058713B publication Critical patent/CN101058713B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions

Abstract

本发明提供一种半导体装置的配线形成步骤等中用于研磨的研磨液及研磨方法。特别是提供被研磨面即使由多种物质形成仍可以得到高度平坦性的被研磨面,并且可以抑制研磨后的金属残渣、研磨损伤的研磨液以及利用其的化学机械研磨方法。本发明的研磨液,含有全氟链烷磺酸、氧化金属溶解剂和水。本发明的研磨方法包括:研磨具有表面由凹部及凸部组成的层间绝缘膜、沿表面被覆前述层间绝缘膜的阻隔导体层和填充前述凹部被覆阻隔导体层的导电性物质层的基体的导电性物质,使前述凸部的阻隔导体层露出的第1研磨工序,和一边至少向阻隔导体层及凹部的导电性物质层供给本发明的研磨液一边进行化学机械研磨使凸部的层间绝缘膜露出的第2研磨工序。

Description

研磨液及研磨方法 
本申请是原申请的申请日为2002年10月31日,申请号为02826551.3,发明名称为《研磨液及研磨方法》的中国专利申请的分案申请。 
技术领域
本发明涉及在半导体装置的配线形成工序等中的研磨所使用的研磨液及研磨方法。 
背景技术
近年来,随着半导体集成电路(以下称LSI)的高集成化、高性能化,开发了新的微细加工技术。化学机械研磨(以下称CMP)法是其中之一,其是被频繁利用于LSI制造工序、特别是多层配线形成工序中层间绝缘膜的平坦化、金属管塞形成、埋入配线形成中的技术。该技术例如在美国专利第4944836号公报中被揭示。 
而且,最近为了提升LSI的高性能化,正在尝试利用铜及铜合金作为形成配线材料的导电性物质。但是,对于铜、铜合金,通过频繁用于以往的铝合金配线形成中的干蚀刻法的微细加工是困难的。因此,主要采用在预先形成沟的绝缘膜上堆积埋入铜或铜合金薄膜,然后通过CMP去除沟部以外的前述薄膜,形成埋入配线,即所谓的波形花纹法。该技术例如在特开平2-278822号中被揭示。 
研磨铜或铜合金等配线部用金属的金属CMP的一般方法是,在圆形研磨定盘(压板)上贴附研磨布(凸缘),一边用金属用研磨液浸渍研磨布表面,一边将形成基板金属膜的面压附于研磨布表面,在由研磨布内面对金属膜施加规定压力(以下称为研磨压力)状态下旋转研磨定盘,通过研磨液与金属膜凸部的相对机械摩擦,去除凸部的金属膜。 
用于CMP的金属用研磨液通常由氧化剂及研磨粒构成,根据需要可以进一步添加氧化金属溶解剂、保护膜形成剂。首先通过氧化剂氧化金属膜表面,然后通过研磨粒磨削该氧化膜被认为是基本的机制。 
由于凹部的金属表面氧化层不太触及研磨凸缘,起不到研磨粒的磨削效果,因此,随着CMP进行去除凸部金属层从而使基板表面平坦化。 对于其详细内容在Jeurnal of electrochemical society杂志第138卷11号(1991年发明)的3460-3464页被揭示。 
作为提高通过CMP的研磨速度的方法,添加氧化金属溶解剂是有效的。可以解释为是由于使通过研磨粒磨削的金属氧化物溶解在研磨液中(以下称为蚀刻)增加了通过研磨粒的磨削效果。通过添加氧化金属溶解剂提高了通过CMP的研磨速度,但是,另一方面,凹部金属膜表面的氧化层也被蚀刻露出金属膜表面,通过氧化剂金属膜表面进一步被氧化,反复如此,促进了凹部金属膜的蚀刻。因此,研磨后埋入的金属配线的表面中央部份出现如盘状的凹陷现象(以下称为大半径凹进成形),损害平坦化效果。 
为防止该现象,需要进一步添加保护膜形成剂。保护膜形成剂在金属膜表面氧化层上形成保护膜,防止向氧化层研磨液中的溶解。该保护膜被希望是可以通过研磨粒容易地磨削,而不降低通过CMP的研磨速度。 
为抑制铜或铜合金的大半径凹进成形,形成高可靠性的LSI配线,提出了使用作为甘氨酸等氨基醋酸或酰胺硫酸形成的氧化金属溶解剂及保护膜形成剂含有BTA的CMP用研磨液的方法。该技术例如在特开平8-83780号被记载。 
在铜或铜合金等的波形花纹配线形成或钨等管塞配线形成等的金属埋入形成中,作为埋入部份以外形成的层间绝缘膜的二氧化硅膜的研磨速度也大时,则每个层间绝缘膜产生配线厚度变薄的凹陷。其结果是,由于出现配线抵抗的增加,因此,要求相对于被研磨的金属膜二氧化硅膜研磨速度为极小的特性。因此,为了通过由氧解离产生的阴离子抑制二氧化硅的研磨速度,提出了使研磨液PH比Pka-0.5大的方法。该技术例如在专利公报第2819196号中被记载。 
另外,在铜或铜合金等配线部用金属的下层中,作为防止向层间绝缘膜中的铜扩散、提高粘合性的阻隔导体层(以下称阻隔层),例如可以形成钽、钽合金、氮化钽等钽化合物等的导体层。因此,在埋入铜或铜合金的配线部以外,需要通过CMP去除露出的阻隔层。但是这些阻隔 层导体比铜或铜合金硬度较高,因此,即使组合铜或铜合金用的研磨材料也无法取得足够的研磨速度,而且,平坦性恶化的情况多。因此,由研磨配线部用金属的第1工序与研磨阻隔层的第2工序形成的2段研磨方法正在被研究。 
上述2段研磨方法中的研磨阻隔层的第2工序中,为呈平坦化,层间绝缘膜例如二氧化硅存在要求以是LOW-k(低导电率)膜的三甲基硅烷作为起始原料的有机硅酸酯玻璃或全芳香环系LOW·k膜的研磨的情况。此时,可举出为了在层间绝缘膜全部露出时被研磨面是平坦的,通过使阻隔层、配线部用金属的研磨速度与层间绝缘膜的研磨速度几乎相同,保持阻隔层、配线部用金属及层间绝缘膜的表面平坦性进行研磨的方法。 
为使层间绝缘膜的研磨速度提升至与阻隔层、配线部用金属相同,可以考虑增大阻隔层的导体用研磨液中的研磨粒的粒径,但是,存在的问题是,在铜或铜合金、氧化膜上产生研磨损伤,造成电特性差。 
另外,这样的电特性差由于通过CMP的研磨后没有洗净也会发生。另一方面,在CMP工序中不去除高密度配线部上的铜残渣,存在产生短路的问题。 
本发明基于上述问题,提供被研磨面平坦性高的研磨液。并且,提供层间绝缘膜的研磨速度与阻隔层、配线部用金属相同速度的研磨液。而且,通过该研磨液不降低阻隔层的研磨速度,就可以调节配线部的研磨速度。进而,可以抑制研磨后的金属残渣、研磨损伤。并且,本发明提供微细化、薄膜化、尺寸精度、电特性优越,可靠性高,低成本的半导体装置等制造中进行研磨的方法。 
发明内容
本发明涉及(1)含有界面活性剂、氧化金属溶解剂及水的研磨液。 
本发明还涉及(2)含有有机溶剂、氧化金属溶解剂及水的研磨液。 
再者,本发明涉及以下的研磨液: 
(3)含研磨粒的前述(1)或(2)记载的研磨液。 
(4)前述(3)记载的研磨液,其中,研磨粒为选自二氧化硅、氧 化铝、二氧化铈、二氧化钛、氧化锆、二氧化锗中的至少1种。 
(5)前述(3)或(4)记载的研磨液,其中,研磨粒表面用烷基进行改性。 
另外,本发明涉及(6)含有研磨粒与水的研磨液,并且研磨粒的表面用烷基进行改性。 
本发明还涉及以下的研磨液: 
(7)前述(6)记载的研磨液,其中,研磨粒为选自表面用烷基进行改性的二氧化硅、氧化铝、二氧化铈、二氧化钛、氧化锆、二氧化锗中的至少1种。 
(8)前述(6)或(7)记载的研磨液,其中,含有氧化金属溶解剂。 
(9)前述(6)~(8)中任一个记载的研磨液,其中,含界面活性剂及有机溶剂中至少1种。 
(10)前述(1)~(5),(8),(9)中任一个记载的研磨液,其中,氧化金属溶解剂为选自有机酸、有机酸酯、有机酸的铵盐及硫酸中的至少1种。 
(11)前述(2)~(5),(9),(10)中任一个记载的研磨液,其中,含有0.1~95重量%的有机溶剂。 
(12)前述(2)~(5),(9)~(11)中任一个记载的研磨液,其中,有机溶剂为选自二醇类及其衍生物、醇类、碳酸酯类中的至少1种。 
(13)前述(1),(3)~(5),(9)~(12)中任一个记载的研磨液,其中,界面活性剂为选自非离子性界面活性剂、阴离子性界面活性剂中的至少1种。 
(14)前述(1),(3)~(5),(9)~(13)中任一个记载的研磨液,其中,界面活性剂为选自全氟链烷磺酸及其衍生物中的至少1种。 
(15)前述(1),(3)~(5),(9)~(13)中任一个记载的研磨液,其中,含0.00001~20重量%的界面活性剂。 
(16)前述(1)~(17)中任一个记载的研磨液,其中,含有金属氧化剂。 
(17)前述(16)记载的研磨液,其中,金属氧化剂为选自过氧化 氢、硝酸、过碘酸钾、次氯酸及臭氧水中的至少1种。 
(18)前述(1)~(17)中任一个记载的研磨液,其中,含有重量平均分子量为大于等于500的水溶性聚合物。 
(19)前述(18)记载的研磨液,其中,前述水溶性聚合物为选自多糖类、聚羧酸、聚羧酸酯及其盐,以及乙烯系聚合物中的至少1种。 
进而,本发明还涉及(20)一种研磨方法,其包括:研磨具有表面由凹部及凸部组成的层间绝缘膜、沿表面被覆前述层间绝缘膜的阻隔导体层和填充前述凹部被覆阻隔导体层的导电性物质层的基体的导电性物质,使前述凸部的阻隔导体层露出的第1研磨工序,和一边至少向阻隔导体层及凹部的导电性物质层供给前述(1)~(19)任一个记载的研磨液一边进行化学机械研磨使凸部的层间绝缘膜露出的第2研磨工序。 
另外,本发明涉及以下的研磨方法。 
(21)前述(20)记载的研磨方法,其中,层间绝缘膜为硅系被膜或有机聚合物膜。 
(22)前述(20)或(21)记载的研磨方法,其中,导电性物质以铜为主要成分。 
(23)前述(20)~(22)任一个记载的研磨方法,其中,阻隔导体层是防止前述导电性物质往前述层间绝缘膜扩散的阻隔层,至少含有选自钽、氮化钽、钽合金、其他钽化合物、钛、氮化钛、钛合金、其他钛化合物、钨、氮化钨、钨合金、其他钨化合物中的1种。 
具体实施方式
本发明研磨液的第一特点是含有界面活性剂及有机溶剂中的至少1种、氧化金属溶解剂与水。优选进一步含有研磨粒、金属氧化剂。而且,根据需要,也可以含有水溶性聚合物、金属防蚀剂等。 
界面活性剂通常被分为非离子性界面活性剂、阴离子性界面活性剂、阳离子性界面活性剂及两性界面活性剂四种。 
另外,本发明中的界面活性剂也可以使用具有疏水性基碳-氟链的氟系界面活性剂。例如,全氟链烷磺酸及其衍生物。优选为全氟辛烷磺酸及其衍生物。氟系界面活性剂也与上述相同被分为四种。 
作为非离子性界面活性剂,例如可举出聚环氧乙烷烷醚、聚环氧乙烷烷基苯醚、聚环氧乙烷丙基全氟辛磺酰胺、聚环氧乙烷-聚环氧丙烷嵌段聚合物、聚环氧乙烷甘油脂肪酸酯、聚环氧乙烷硬化蓖麻子油、聚乙二醇脂肪酸酯、丙基-2-羟基乙基全氟辛磺酰胺、山梨糖醇酐脂肪酸酯、甘油脂肪酸酯、蔗糖脂肪酸酯、脂肪酸烷醇酰胺、聚环氧乙烷烷胺等及其衍生物,而且,还可以举出乙炔二醇及其环氧乙烷加成物等的二醇类。另外,上述“聚环氧乙烷”不仅限于加成的环氧乙烷数(n)为大于等于2个,也包含加成1个的。 
作为阴离子性界面活性剂,例如可举出烷基苯磺酸盐、全氟辛磺酸、磷酸双[2-(N-丙基全氟辛磺酰胺基)乙基]酯、烷基磺基琥珀酸酯盐、烷基磺酸盐、烷醚羧酸盐、醇硫酸酯盐、烷醚硫酸酯盐、烷基磷酸酯盐等及其衍生物。 
作为阳离子性界面活性剂,例如可举出脂肪族烷胺盐、脂肪族季铵盐等,另外,作为两性界面活性剂例者可举出氨基羧酸盐等。 
这些界面活性剂可以单独使用1种或者组合2种或以上使用。 
作为本发明的研磨液的界面活性剂优选非离子性界面活性剂、阴离子性界面活性剂,特别优选不含有碱金属的。 
更优选是选自聚乙二醇型非离子性界面活性剂、聚环氧乙烷烷醚、聚环氧乙烷烷基苯醚、聚环氧乙烷丙基全氟辛磺酰胺、二醇类、甘油脂肪酸酯、山梨糖醇酐脂肪酸酯、脂肪酸烷醇酰胺、醇硫酸酯盐、烷醚硫酸酯盐、烷基苯磺酸盐、烷基磷酸酯盐中的至少1种。 
作为聚乙二醇型非离子性界面活性剂例如可举出聚乙二醇单月桂酸酯、聚乙二醇单硬脂酸酯、聚乙二醇二硬脂酸酯、聚乙二醇单油酸酯等聚乙二醇脂肪酸酯等。 
作为包含于本发明的研磨液中的有机溶剂并没有特别限定,优选可与水任意混合的。 
例如可举出乙烯碳酸酯、丙烯碳酸酯、二甲基碳酸酯、二乙基碳酸酯、甲基乙基碳酸酯等碳酸酯类,丁内酯、丙内酯等内酯类,乙二醇、丙二醇、二甘醇、一缩二丙二醇、三甘醇、二缩三丙二醇等二醇类,作 为二醇类衍生物如乙二醇单甲醚、丙二醇单甲醚、二甘醇单甲醚、一缩二丙二醇单甲醚、三甘醇单甲醚、二缩三丙二醇单甲醚、乙二醇单乙醚、丙二醇单乙醚、二甘醇单乙醚、一缩二丙二醇单乙醚、三甘醇单乙醚、二缩三丙二醇单乙醚、乙二醇单丙醚、丙二醇单丙醚、二甘醇单丙醚、一缩二丙二醇单丙醚、三甘醇单丙醚、二缩三丙二醇单丙醚、乙二醇单丁醚、丙二醇单丁醚、二甘醇单丁醚、一缩二丙二醇单丁醚、三甘醇单丁醚、二缩三丙二醇单丁醚等二醇单醚类,乙二醇二甲醚、丙二醇二甲醚、二甘醇二甲醚、一缩二丙二醇二甲醚、三甘醇二甲醚、二缩三丙二醇二甲醚、乙二醇二乙醚、丙二醇二乙醚、二甘醇二乙醚、一缩二丙二醇二乙醚、三甘醇二乙醚、二缩三丙二醇二乙醚、乙二醇二丙醚、丙二醇二丙醚、二甘醇二丙醚、一缩二丙二醇二丙醚、三甘醇二丙醚、二缩三丙二醇二丙醚、乙二醇二丁醚、丙二醇二丁醚、二甘醇二丁醚、一缩二丙二醇二丁醚、三甘醇二丁醚、二缩三丙二醇二丁醚等二醇二醚类等,四氢呋喃、二噁烷、二甲氧基乙烷、聚环氧乙烷、乙二醇单甲基乙酸酯、二甘醇单乙醚乙酸酯、丙二醇单甲醚乙酸酯等醚类,甲醇、乙醇、丙醇、正丁醇、正戊醇、正己醇、异丙醇等醇类,丙酮、丁酮等酮类,其他苯酚,二甲基甲酰胺,正甲基吡咯烷酮,醋酸乙酯,乳酸乙酯,环丁砜等。 
优选的有机溶剂是选自二醇类及其衍生物、醇类、碳酸酯类中的至少1种。 
本发明研磨液的第二特点是含有水与表面用烷基改性的研磨粒。优选根据需要进一步含有氧化金属溶解剂、金属氧化剂、有机溶剂、界面活性剂。而且根据需要也可以含有聚合物、金属防蚀剂等。 
本发明的研磨粒可以使用二氧化硅、氧化铝、氧化锆、二氧化铈、二氧化钛、二氧化锗、碳化硅等无机物研磨粒粒子,聚苯乙烯、聚丙烯、聚氯乙烯等有机物研磨粒粒子中的任一种。其中,优选二氧化硅、氧化铝、氧化锆、二氧化铈、二氧化钛、二氧化锗,特别优选是,在研磨液中的分散稳定性良好,通过CMP产生的研磨损伤(刮伤)发生数少,平均粒径为小于等于70nm的胶质二氧化硅、胶质氧化铝,更优选是平均粒径小于等于40nm的胶质二氧化硅、胶质氧化铝。 
粒径可以用光折射散射式粒度分布计(如,coulter electronics公司制的商品名coulter N4 SD)进行测定。而且,优选一次粒子平均凝集不足2个粒子的粒子。而且,优选平均粒度分布的标准偏差小于等于10nm,更优选平均粒度分布的标准偏差小于等于5nm。其可以1种单独使用,或者混合2种或以上使用。 
作为本发明的第二特点的表面用烷基改性的研磨粒,可以举出用烷基改性上述无机物研磨粒粒子或者上述有机物研磨粒粒子的表面的物质。可以使用无机物研磨粒粒子或者有机物研磨粒粒子的任一种,其中优选的粒子和上述是同样的。改性的研磨粒可以1种单独使用,或者混合2种或者以上使用。 
用烷基改性研磨粒粒子表面的方法,没有特别限定,可以举出使在研磨粒粒子的表面存在的羟基和具有烷基的烷氧基硅烷反应的方法。作为具有烷基的烷氧基硅烷,没有特别限定,可以举出单甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基单甲氧基硅烷、单乙基三甲氧基硅烷、二乙基二甲氧基硅烷、三乙基单甲氧基硅烷、单甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基单乙氧基硅烷。作为反应方法,并没有特别限定,例如使研磨粒粒子与烷氧基硅烷在研磨液中于室温下进行反应,为了加速反应可以进行加热。已经公开了通过硅氧烷水解或硅酸钠的离子交换制造胶质二氧化硅方法,通过硝酸铝水解制造胶质氧化铝方法。胶质二氧化硅从粒径控制性、碱金属杂质考虑最好利用硅氧烷水解的制造方法。作为硅氧烷一般可以使用TEMS(四甲氧基硅烷)或者TEOS(四乙氧基硅烷)。在醇溶剂中进行水解的方法中,影响粒径的参数有硅氧烷的浓度、作为催化剂使用的铵浓度与PH、反应温度、醇溶剂的种类(分子量)及反应时间等。通过调整这些参数可以得到所期待的粒径及凝聚度的胶质二氧化硅分散液。 
本发明的氧化金属溶解剂并没有特别限定,可举出甲酸、醋酸、丙酸、丁酸、戊酸、2-甲基丁酸、正己酸、3,3-二甲基丁酸、2-乙基丁酸、4-甲基戊酸、正庚酸、2-甲基己酸、正辛酸、2-乙基己酸、苯甲酸,二醇酸、水杨酸、甘油酸、草酸、丙二酸、琥珀酸、戊二酸、己二酸、庚 二酸、马来酸、苯二酸、苹果酸、酒石酸、柠檬酸、对甲苯磺酸等有机酸,其有机酸酯及有机酸的铵盐等。另外,还可以举出盐酸、硫酸、硝酸等无机酸,这些无机酸的铵盐类如过硫酸铵、硝酸铵、氯化铵、铬酸等。其中,从可以维持实用的CMP速度又能有效抑制蚀刻速度考虑,甲酸、丙二酸、苹果酸、酒石酸、柠檬酸是适宜的,另外,从高CMP速度考虑,硫酸相对于以金属为主成份的导电性物质是适宜的。这些可以单独使用1种,或混合2种或以上使用。 
本发明研磨液中可以添加金属氧化剂。作为金属氧化剂,可举出过氧化氢、硝酸、过碘酸钾、次氯酸、臭氧水等,其中,过氧化氢特别优选。这些可以单独使用1种,或者混合2种或以上使用。在基体为含有集成电路用元件的硅基板时,由于不期待出现由碱金属、碱士类金属、卤化物等产生的污染,因此,优选不含不挥发成份的氧化剂。但是,臭氧水其组成时间变化极为激烈,因此,以过氧化氢为最优选。另外,适用对象的基体为不含半导体元件的玻璃基板时,即使含有不挥发成份的氧化剂也可以。 
本发明的研磨液中可以添加水溶性聚合物。水溶性聚合物的重均分子量优选大于等于500,更优选大于等于1500,最优选大于等于5000。重均分子量的上限并没有特别限定,从溶解性考虑,优选小于等于500万。重均分子量小于等于500则无法产生高的研磨速度。 
重均分子量可通过凝胶渗透色谱法利用标准聚苯乙烯的标准曲线进行测定。 
作为重均分子量大于等于500的水溶性聚合物并没有特别限定,例如可举出褐藻酸、果胶酸、羧甲基纤维素、琼脂、1,3-葡聚糖(curdlau)及支链淀粉等多糖类,聚天冬胺酸、聚谷胺酸、聚赖胺酸、聚苹果酸、聚甲基丙烯酸、聚甲基丙烯酸铵盐、聚甲基丙烯酸钠盐、聚酰胺酸、聚马来酸、聚衣康酸、聚苯二酸、聚(对苯乙烯羧酸)、聚丙烯酸、聚丙烯酰胺、氨基聚丙烯酰胺、聚丙烯酸铵盐、聚丙烯酸钠盐、聚酰胺酸、聚酰胺酸铵盐、聚酰胺酸钠盐及聚乙醛酸等聚羧酸,聚羧酸酯及其盐,聚乙烯醇,聚乙烯吡咯烷酮及聚丙烯醛等乙烯系聚合物,聚乙二醇等。这 些可以单独使用1种,或者混合2种或以上使用。但是,在适用的基体为半导体集成电路用硅基板等时,由于不期待由碱金属、碱士类金属、卤化物等产生的污染,因此,优选酸或其铵盐。在基体为玻璃基板时没有限制。其中,优选果胶酸、琼脂、聚苹果酸、聚甲基丙烯酸、聚丙烯酸铵盐、聚丙烯酰胺、聚乙烯醇及聚乙烯吡咯烷酮,及它们的酯和铵盐。 
另外,本发明的研磨液中还可以添加金属防蚀剂。作为金属防蚀剂,例如可举出2-氢硫基苯并噻唑、1,2,3-三唑、1,2,4-三唑、3-胺-1H-1,2,4-三唑、苯并三唑、1-羟基苯并三唑、1-二羧基丙基苯并三唑、2,3-二羧丙基苯并三唑、4-羟基苯并三唑、4-羧基(-1H-)苯并三唑、4-羧基(-1H-)苯并三唑甲酯、4-羧基(-1H-)苯并三唑丁酯、4-羧基(-1H-)苯并三唑辛酯、5-己基苯并三唑、[1,2,3-苯并三唑基-1-甲基][1,2,4-三唑基-1-甲基][2-乙基己基]胺、甲苯基三唑、萘三唑、双[(1-苯并三唑基)甲基]膦酸等。 
另外,还可以举出具有嘧啶骨架的嘧啶、1,2,4-三唑[1,5-a]嘧啶、1,3,4,6,7,8-六氢-2H-嘧啶并[1,2-a]嘧啶、1,3-二苯基-嘧啶-2,4,6-三酮、1,4,5,6-四氢嘧啶、2,4,5,6-四氨基嘧啶硫酸盐、2,4,5-三羟基嘧啶、2,4,6-三氨基嘧啶、2,4,6-三氯嘧啶、2,4,-三甲氧基嘧啶、2,4,6-三苯基嘧啶、2,4-二氨基-6-羟基嘧啶、2,4-二氨基嘧啶、2-乙酰胺嘧啶、2-氨基嘧啶、2-甲基-5,7-二苯基-(1,2,4)三唑(1,5-a)嘧啶、2-甲基磺酰-5,7-二苯基(1,2,4)三唑(1,5-a)嘧啶、2-甲基磺酰-5,7-二苯基-4,7-二氢-(1,2,4)三唑(1,5-a)嘧啶、4-氨基吡咯[3,4-d]嘧啶等。这些可以单独使用1种,或者混合使用2种或以上。 
在本明的研磨液中混合界面活性剂的情况的混合量,从分散性及防止沉淀、还有和研磨损伤的关系来考虑,优选研磨液中含有0.00001~20重量%。即,相对于总量100g的研磨液优选为0.00001~20g,更优选0.0001~10g,特别优选0.0001~5g。混合量不到0.00001g时,对应研磨液基体的被研磨面的湿润性低,如果超过20g研磨速度会降低。 
在本发明的研磨液中混合有机溶剂的情况的混合量,优选在研磨液 中含有0.1~95重量%。即相对于总量100g的研磨液优选为0.1~95g,更优选0.2~50g,特别优选0.5~10g。混合量不到0.1g时,由于对应研磨液的基板的湿润性低,因此得不到充分的研磨速度,如果超过95g,由于研磨液成分的溶解性恶化,因此并非优选。 
相对于研磨液中的界面活性剂、有机溶剂、氧化金属溶解剂、水、研磨粒、金属氧化剂及水溶性聚合物(以下称为七组份。)的总量100g,在本发明的研磨液中混合氧化金属溶解剂的混合量优选为0.001~20g,更优选为0.002~10g,特别优选为0.005~5g。当混合量不足0.001g时,研磨速度低,如果超过20g,则蚀刻控制变得困难,研磨面会产生粗糙。 
另外,前述七组份中水的混合量可以剩余,只要含有即可没有特别限定。 
在本发明的研磨液中混合研磨粒时,研磨粒的混合量相对于总量100g的七组份,优选为0.01~50g,更优选为0.02~40g,特别优选为0.05~30g。当混合量不足0.01g时,研磨速度低,如果超过50g,则会产生多的研磨损伤。 
在本发明的研磨液中混合金属氧化剂时的混合量,相对于总量100g的七组份,优选为0~50g,更优选为0~20g,特别优选为0~10g。如果混合量超过50g,则在研磨面上会产生粗糙。 
在本发明的研磨液中混合水溶性聚合物时的混合量,相对于总量100g的七组份,优选为0~10g,更优选为0~5g,特别优选为0~2g。如果其混合量超过10g,则研磨速度会降低。 
在本发明的研磨液中混合金属防蚀剂时的混合量,相对于总量100g的七组份,优选为0~10g,更优选为0~5g,特别优选为0~2g。如果其混合量超过10g,则研磨速度会降低。 
本发明的研磨液中除上述各种成份外还可以含有维多利亚纯蓝等染料、酞青绿等颜料等着色剂。 
以上的本发明的研磨液可用于半导体装置中的导电性物质层、阻隔层及层间绝缘膜的化学机械研磨(CMP)。同一条件下的CMP中导电性物质层/阻隔层/层间绝缘膜优选以研磨速度比1/0.01~20/0.01~ 20进行研磨。更优选为1/0.05~10/0.05~10,最优选为1/0.1~10/0.01~10。 
作为导电性物质可举出铜、铜合金、铜的氧化物、铜合金的氧化物、钨、钨合金、银、金等的金属为主成份的物质,优选铜、铜合金、铜的氧化物、铜合金的氧化物等的铜为主成份的导电性物质。作为导电性物质层可以使用通过公知的溅射法、电镀法对前述物质进行成膜后的膜。 
作为层间绝缘膜可以举出硅系被膜或有机聚合物膜。作为硅系被膜可以举出二氧化硅、氟代硅酸盐玻璃、有机硅酸盐玻璃、氮氧化硅、含氢硅酸盐等硅系被膜,或碳化硅和氮化硅。另外,有机聚合物膜可以举出全芳香族系低导电率层间绝缘膜。特别优选为机硅酸盐玻璃。这些膜可以由CVD法、旋涂法、浸渍法或喷射法来成膜。 
阻隔层是为防止导电性物质向绝缘膜中的扩散以及提高绝缘膜与导电性物质粘合性而形成的。用于阻隔层的导体优选含有选自钨、氮化钨、钨合金、其他钨化合物、钛、氮化钛、钛合金、其他钛化合物中的1种或以上。阻隔层可以是由1种形成的单层,也可以是2种或以上的层积膜。 
本发明的研磨方法其含有:研磨具有表面由凹部及凸部组成的层间绝缘膜、沿表面被覆前述层间绝缘膜的阻隔导体层和填充前述凹部被覆阻隔导体层的导电性物质层的基体的导电性物质,使前述凸部的阻隔导体层露出的第1研磨工序,和一边至少向阻隔导体层及凹部的导电性物质层供给前述本发明的研磨液一边进行化学机械研磨使凸部的层间绝缘膜露出的第2研磨工序。 
在此,化学机械研磨可举出通过在将具有被研磨面的基体按压在研磨定盘的研磨布(凸缘)的状态下,一边供给研磨液一边相对移动研磨定盘与基体进行研磨被研磨面的方法。另外,为了露出层间绝缘膜,可举出接触金属制或树脂制的刷子的方法,以规定的压力吹入研磨液的方法。 
作为用于研磨的装置者可以使用例如通过研磨布进行研磨时,具有可保持被研磨基体的支架、与可变换旋转数的马达等相连接并贴附研磨布的定盘的一般的研磨装置。作为研磨布,可使用一般的无纺布、发泡聚胺酯、多孔氟树脂等,没有特别限定。研磨条件没有限定,定盘的旋转速度优选不使基体飞出的小于等于200rpm的低旋转。向研磨布挤压具有被研磨面的基体的压力优选1~100kPa,为满足CMP速度的晶圆面内均一性及样品的平坦性更优选5~50kPa。研磨时,以泵等连续向研磨 布供给研磨液。该供给量没有限制,优选研磨布表面时常被研磨液覆盖。研磨结束后的基体在流水中充分洗净后,利用旋转干燥器将附着于基体的水滴去除并进行干燥。 
为使研磨布表面状态维持相同常态进行化学机械研磨,优选在研磨前加入研磨布的调湿工序。例如利用带有金钢石粒子的示踪物在至少含有水的液体中进行研磨布的调湿。接着实施本发明的化学机械研磨工序,优选进一步进行基体洗净工序。 
本发明的研磨方法可适用于例如半导体装置中的配线层形成。以下,通过半导体装置中的配线层形成说明本发明研磨方法的实施方式。 
首先,在硅基板上层积形成二氧化硅等层间绝缘膜。接着,通过抗蚀层形成、蚀刻等公知方法,在层间绝缘膜表面上形成规定样式的凹部(基板露出部),做成具有凸部与凹部的层间绝缘膜。在该层间绝缘膜上沿着表面的凹凸,通过蒸镀或CVD等对被覆层间绝缘膜的钽等阻隔层进行成膜。进而,通过蒸镀、电镀或CVD等形成为填充前述凹部被覆阻隔层的铜等金属导电性物质层。层间绝缘膜、阻隔层及导电性物质的形成厚度分别优选为0.01~2.0μm,1~100nm,0.01~2.5μm左右。 
接着,使用例如前述导电性物质/阻隔层的研磨速度比为足够大的前述导电性物质用研磨液,通过CMP研磨该半导体基板表面的导电性物质层(第1研磨工序)。这样,可以得到在基板上的凸部的阻隔层露出表面,在凹部残留前述导电性物质膜的所期望的导体样品。将得到的样品面作为使用本发明的研磨液的本发明研磨方法中的第2研磨工序用的被研磨面,可以进行研磨。 
在第2研磨工序中,使用可以研磨导电性物质、阻隔层及层间绝缘膜的本发明的研磨液,通过化学机械研磨,至少研磨前述露出的阻隔层及凹部的导电性物质。在得到凸部的阻隔层下的层间绝缘膜完全露出,在凹部构成配线层的前述导电性物质层被残留,在凸部与凹部的界限露出了阻隔层的截面的所期望的样品时完成研磨。为确保研磨结束时的更理想的平坦性,可以进一步,追加研磨(如:於第2研磨步骤取得所期待之模型为止时间为100秒时;再追加研磨50秒则称追加研磨50%。), 研磨至含有凸部的层间绝缘膜的部份的深部。 
在这样形成的金属配线上,进一步形成层间绝缘膜及第2层的金属配线,在该配线间及配线上再次形成层间绝缘膜后,研磨整个半导体基板面形成平滑面。通过反复规定数量的该工序,可以制造出具有所期望的配线层数的半导体装置。 
本发明的研磨液不仅可以用于在上述的半导体基板所上形成的硅化合物膜的研磨,也可以用于研磨在具有规定配线的配线板上形成的氧化硅膜、玻璃、氮化硅等无机绝缘膜,光罩、透镜、棱镜等光学玻璃,ITO等无机导电膜,玻璃及结晶材料构成的光集成电路、光转换元件、光导波路,光纤的端面、闪烁器等光学用单结晶,固体激光单结晶、蓝色激光用LED蓝宝石基板、SiC、GaP、GaAs等半导体单结晶,磁光碟用玻璃基板,磁头等基板。 
实施例 
以下,通过实施例对本发明进行更详细的说明,但是,不超出本发明技术构思范围下,本发明并不限定于这些实施例。例如研磨液材料的种类、其混合比率即使在本实施例记载的种类、比率以外也没有关系,研磨对象的组成、结构即使是本发明实施例以外的组成、结构也没有关系。 
研磨液制作方法 
以各个配比混合表1~表5所示的材料配制用于实施例1~27及比较例1~4的研磨液。另外,表3,4中的二醇类使用乙炔二醇,烷基苯磺酸盐使用月桂苯磺酸钠。 
表1 
Figure G071A7753220070515D000151
表2 
Figure G071A7753220070515D000161
表3 
Figure G071A7753220070515D000171
表4 
Figure G071A7753220070515D000181
表5 
Figure G071A7753220070515D000191
基板 
准备以下基板: 
被覆层基板(a):以CVD法形成有机硅酸酯玻璃(厚度:1000nm)的硅基板。 
被覆层基板(b):以CVD法形成厚度1000nm的二氧化硅的硅基板。 
被覆层基板(c):以溅射法形成厚度200nm的钽膜的硅基板。 
被覆层基板(d):以溅射法形成厚度160nm的铜膜的硅基板。 
样品基板(a)的制作:在硅基板上以CVD法对作为层间绝缘膜的上述有机硅酸酯玻璃(厚度:1000nm)进行成膜。在有机硅酸酯玻璃上通过影印石版法形成深度为800nm的沟,使宽度4.5μm的配线金属部、宽度0.5μm的层间绝缘膜部相互交替并排,在表面上制作凹部(沟部分)与凸部(非沟部分)的条状图案部(磨损评定用)。另外,同样形成深度为800nm的沟,使宽度100μm的配线金属部、宽度100μm的层间绝缘膜部相互交替并排,在表面上制作条状图案部(磨损评定用)。 
进而,沿该表面通过溅射法形成作为阻隔层的厚度200nm的钽膜。在前述钽膜上通过溅射法形成完全埋上前述沟的作为导电性物质层的1.6μm铜膜。作为第1研磨工序,通过仅研磨铜的高选择性CMP研磨突出的该铜膜直至在被研磨面凸部的阻隔层完全露出,得到平坦化的样品基板(a)(研磨时间18秒、最大研磨厚度为16μm。) 
样品基板(b):除作为层间绝缘膜使用二氧化硅以外与样品基板(a)同样地进行制作。 
实施例1~27及比较例1~4 
使用上述配制的各研磨液,在下述研磨条件下化学机械研磨上述准备的各基板。另外,将铜的蚀刻速度在下述条件下浸渍于各研磨液中。通过化学机械研磨的研磨速度、研磨速度的面内均一性、铜蚀刻速度、凹陷量、磨损量、及配线阻抗值、研磨残渣量、研磨损伤的评定结果表示在表6~表10。 
研磨条件(前述样品基板的前处理的第1研磨工序及各基板的下述 研磨共通) 
研磨凸缘:发泡聚胺酯树脂(IC1000(Roder公司制))研磨压力:20.6kPa(210g/cm2)。 
基板与研磨定盘的相对速度:36m/min。 
各基板的研磨工序 
一边向被覆基板(a)、(b)、(c)、(d)供给150cc/分钟上述配制的各研磨液,一边进行60秒钟化学机械研磨,研磨结束后,以蒸馏水进行洗净处理。 
另外,样品基板(a)及(b)的研磨相当于第2研磨工序,约30秒钟凸部的层间绝缘膜完全露出被研磨面,在研磨结束时进行追加研磨。 
评定项目 
(1)研磨速度:在上述条件下进行研磨及洗净后的(a)~(d)的被覆基板中,利用大日本滤网制造股份公司制膜厚测定装置(制品名Lamda S VL-M8000LS)测定研磨前后的膜厚差,求出有机硅酸酯玻璃(a)及二氧化硅(b)的研磨速度。另外,由电阻抗值换算研磨前后的膜厚差,求出钽膜(c)及铜(d)的研磨速度。 
(2)研磨速度的面内均一性:相对于平均值以百分率(%)表示上述(1)研磨速度的标准偏差。 
(3)铜蚀刻速度:由电阻抗值换算求出将被覆基板(d)浸渍在搅拌的研磨液(25℃、搅拌100rpm)中60秒前后的铜膜厚差。 
(4)平坦性(凹陷量):从在上述条件下进行研磨及洗净后的模型基板(a)及(b)的宽度100μm的配线金属(铜)部、宽度100μm的层间绝缘膜部交替并排的条状图案部(以下称凹陷评定部。)的表面形状用触针式段差计求出相对绝缘膜部的配线金属部的膜减少量。 
(5)平坦性(磨损量):通过触针式段差计测定样品基板(a)及(b)中形成的宽度4.5μm的配线金属部、宽度2.5μm的层间绝缘膜部交替并排的总宽度2.5mm的条状图案部(以下称磨损评定部。)的表面形状,求出相对条状图案周边的层间绝缘膜部的同图案部中央附近的层间绝缘膜部的膜减少量。 
(6)配线阻抗值:测定在上述(4)凹陷评定部中配线长度1mm的配线阻抗值。另外,测定在上述(5)磨损量评定部中配线长度1mm的配线阻抗值。 
(7)洗净性(研磨残渣量):利用SEM观察样品基板(a)及(b)表面残留的研磨残渣量,评定1cm2的个数。 
(8)研磨损伤:利用KTL Tencor公司制样品晶圆缺陷检测装置2138由样品基板(a)及(b)测定研磨损伤的量,评定每1cm2的个数。 
表6 
Figure G071A7753220070515D000231
表7 
Figure G071A7753220070515D000241
表8 
Figure G071A7753220070515D000251
表9 
Figure G071A7753220070515D000261
表10 
Figure G071A7753220070515D000271
比较例1~4中,有机硅酸酯玻璃的研磨速度变小,研磨速度的内面均一性变大,其凹陷及磨损大,配线阻抗值增加。另外,比较例1~4中,研磨残渣量及研磨损伤量都多。而在相对的实施例1~27中,其有机硅酸酯玻璃或二氧化硅的研磨速度大,研磨速度的内面均一性良好。由于良好的凹陷及磨损特性,配线阻抗的增加少。而且,研磨残渣量及研磨损伤量少是良好的。 
产业上利用的可能性 
通过本发明的研磨液,即使其被研磨面由多种物质组成仍可以得到高度平坦性的被研磨面。而且,可以抑制研磨后的金属残渣、研磨损伤。进而,不降低阻隔层的研磨速度,可以增大层间绝缘膜的研磨速度,调整配线用金属至理想研磨速度。利用该研磨液进行化学机械研磨的本发明研磨方法其生产率高,微细化、薄膜化、尺寸精度、电特性均良好,适宜于可靠性高的半导体装置及其他电子装置的制造。 

Claims (12)

1.一种研磨液,其特征在于,为含有界面活性剂及有机溶剂中的至少1种的研磨液,作为界面活性剂相对于总量100g的所述研磨液含有0.0001~5g的聚环氧乙烷丙基全氟辛磺酰胺,
相对于总量100g的研磨液,含有0g或0.1~95g的有机溶剂,
并且,相对于所述研磨液中的界面活性剂、有机溶剂、氧化金属溶解剂、水、研磨粒、金属氧化剂及水溶性聚合物的总量100g,含有0.001~20g的氧化金属溶解剂、0.01~50g的研磨粒、0~50g的金属氧化剂、0~10g的水溶性聚合物,其余的为水。
2.根据权利要求1所述的研磨液,其特征在于,所述研磨粒是选自二氧化硅、氧化铝、二氧化铈、二氧化钛、氧化锆、二氧化锗中的至少1种。
3.根据权利要求1所述的研磨液,其特征在于,研磨粒的表面用烷基进行改性。
4.根据权利要求1所述的研磨液,其特征在于,氧化金属溶解剂是选自有机酸、有机酸酯、有机酸的铵盐及硫酸中的至少1种。
5.根据权利要求1所述的研磨液,其特征在于,含有金属氧化剂。
6.根据权利要求5所述的研磨液,其特征在于,金属氧化剂是选自过氧化氢、硝酸、过碘酸钾、次氯酸及臭氧水中的至少1种。
7.根据权利要求1所述的研磨液,其特征在于,含有重均分子量大于等于500的水溶性聚合物。
8.根据权利要求7所述的研磨液,其特征在于,所述水溶性聚合物是选自多糖类、聚羧酸、聚羧酸酯及其盐、以及乙烯系聚合物中的至少1种。
9.一种研磨方法,其特征在于,其包括:研磨具有表面由凹部及凸部组成的层间绝缘膜、沿表面被覆前述层间绝缘膜的阻隔导体层和填充前述凹部被覆阻隔导体层的导电性物质层的基体的导电性物质,使前述凸部的阻隔导体层露出的第1研磨工序,和一边至少向阻隔导体层及凹部的导电性物质层供给前述权利要求1记载的研磨液一边进行化学机械研磨使凸部的层间绝缘膜露出的第2研磨工序。
10.根据权利要求9所述的研磨方法,其特征在于,层间绝缘膜是硅系被膜或者有机聚合物膜,其中,硅系被膜为选自二氧化硅、氟代硅酸盐玻璃、有机硅酸盐玻璃、氮氧化硅、含氢硅酸盐、碳化硅和氮化硅中的至少一种。
11.根据权利要求9所述的研磨方法,其特征在于,导电性物质以铜为主要成分。
12.根据权利要求9所述的研磨方法,其特征在于,阻隔导体层是防止前述导电性物质往前述层间绝缘膜扩散的阻隔层,其至少含有选自钽、氮化钽、钽合金、其他钽化合物、钛、氮化钛、钛合金、其他钛化合物、钨、氮化钨、钨合金、其他钨化合物中的1种。
CN2007101077532A 2001-10-31 2002-10-31 研磨液及研磨方法 Expired - Fee Related CN101058713B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2001334376 2001-10-31
JP2001334376 2001-10-31
JP2001-334376 2001-10-31
JP2002010280 2002-01-18
JP2002-010280 2002-01-18
JP2002010280 2002-01-18
JP2002-160181 2002-05-31
JP2002160181 2002-05-31
JP2002160181 2002-05-31

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB028265513A Division CN100386850C (zh) 2001-10-31 2002-10-31 研磨液及研磨方法

Publications (2)

Publication Number Publication Date
CN101058713A CN101058713A (zh) 2007-10-24
CN101058713B true CN101058713B (zh) 2011-02-09

Family

ID=27347759

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2007101077532A Expired - Fee Related CN101058713B (zh) 2001-10-31 2002-10-31 研磨液及研磨方法
CNB028265513A Expired - Lifetime CN100386850C (zh) 2001-10-31 2002-10-31 研磨液及研磨方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB028265513A Expired - Lifetime CN100386850C (zh) 2001-10-31 2002-10-31 研磨液及研磨方法

Country Status (6)

Country Link
US (4) US20050050803A1 (zh)
JP (3) JPWO2003038883A1 (zh)
KR (1) KR100704690B1 (zh)
CN (2) CN101058713B (zh)
TW (2) TW200300168A (zh)
WO (1) WO2003038883A1 (zh)

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200300168A (en) * 2001-10-31 2003-05-16 Hitachi Chemical Co Ltd Polishing fluid and polishing method
TWI282360B (en) * 2002-06-03 2007-06-11 Hitachi Chemical Co Ltd Polishing composition and polishing method thereof
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
JP4541674B2 (ja) * 2003-09-30 2010-09-08 株式会社フジミインコーポレーテッド 研磨用組成物
JP2005123482A (ja) * 2003-10-17 2005-05-12 Fujimi Inc 研磨方法
JP4774669B2 (ja) * 2003-10-27 2011-09-14 日立化成工業株式会社 研磨液及び研磨方法
JP4428995B2 (ja) * 2003-12-03 2010-03-10 関東化学株式会社 金属膜のエッチング液組成物
KR100596865B1 (ko) * 2004-01-05 2006-07-04 주식회사 하이닉스반도체 고평탄성 슬러리 조성물 및 이를 이용한 층간 절연막의cmp 방법
US20050189322A1 (en) * 2004-02-27 2005-09-01 Lane Sarah J. Compositions and methods for chemical mechanical polishing silica and silicon nitride
JP2005294798A (ja) * 2004-03-08 2005-10-20 Asahi Glass Co Ltd 研磨剤および研磨方法
CN100468647C (zh) * 2004-03-08 2009-03-11 旭硝子株式会社 研磨剂以及研磨方法
TW200613485A (en) * 2004-03-22 2006-05-01 Kao Corp Polishing composition
JP4644434B2 (ja) * 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド 研磨用組成物
US20060021972A1 (en) * 2004-07-28 2006-02-02 Lane Sarah J Compositions and methods for chemical mechanical polishing silicon dioxide and silicon nitride
JPWO2006030595A1 (ja) * 2004-09-14 2008-05-08 日立化成工業株式会社 Cmp用研磨スラリー
KR100854483B1 (ko) * 2004-09-14 2008-08-26 히다치 가세고교 가부시끼가이샤 Cmp용 연마 슬러리
US7449124B2 (en) * 2005-02-25 2008-11-11 3M Innovative Properties Company Method of polishing a wafer
KR100641348B1 (ko) 2005-06-03 2006-11-03 주식회사 케이씨텍 Cmp용 슬러리와 이의 제조 방법 및 기판의 연마 방법
EP1757419B1 (de) * 2005-08-25 2012-10-17 Freiberger Compound Materials GmbH Verfahren, Vorrichtung und Slurry zum Drahtsägen
EP1930938A4 (en) * 2005-09-09 2010-03-24 Asahi Glass Co Ltd POLISHING AGENT, METHOD FOR POLISHING A POLISHED SURFACE AND METHOD FOR PRODUCING AN INTEGRATED SEMICONDUCTOR CIRCUIT ARRANGEMENT
US7708904B2 (en) * 2005-09-09 2010-05-04 Saint-Gobain Ceramics & Plastics, Inc. Conductive hydrocarbon fluid
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
JP2007103463A (ja) * 2005-09-30 2007-04-19 Sumitomo Electric Ind Ltd ポリシングスラリー、GaxIn1−xAsyP1−y結晶の表面処理方法およびGaxIn1−xAsyP1−y結晶基板
KR100643628B1 (ko) * 2005-11-04 2006-11-10 제일모직주식회사 다결정 실리콘 연마용 cmp 슬러리 조성물 및 이의 제조방법
US8512593B2 (en) * 2005-11-04 2013-08-20 Cheil Industries, Inc. Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
KR100827594B1 (ko) * 2006-11-07 2008-05-07 제일모직주식회사 다결정 실리콘 연마용 cmp 슬러리 조성물 및 이의 제조방법
JP4868840B2 (ja) 2005-11-30 2012-02-01 Jsr株式会社 半導体装置の製造方法
TWI305802B (en) * 2006-03-16 2009-02-01 Epoch Material Co Ltd Chemical mechanical polishing composition
KR20080108574A (ko) * 2006-04-24 2008-12-15 히다치 가세고교 가부시끼가이샤 Cmp용 연마액 및 연마방법
US8759216B2 (en) * 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
US7550092B2 (en) * 2006-06-19 2009-06-23 Epoch Material Co., Ltd. Chemical mechanical polishing composition
US7678700B2 (en) * 2006-09-05 2010-03-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US8591764B2 (en) * 2006-12-20 2013-11-26 3M Innovative Properties Company Chemical mechanical planarization composition, system, and method of use
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
KR100831265B1 (ko) * 2006-12-29 2008-05-22 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
WO2008095078A1 (en) * 2007-01-31 2008-08-07 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
JP5285866B2 (ja) * 2007-03-26 2013-09-11 富士フイルム株式会社 研磨液
JP2008307631A (ja) * 2007-06-13 2008-12-25 Asahi Glass Co Ltd ガラス基板研磨方法
JP5287720B2 (ja) * 2007-07-05 2013-09-11 日立化成株式会社 金属膜用研磨液及び研磨方法
KR101445429B1 (ko) * 2007-07-10 2014-09-26 히타치가세이가부시끼가이샤 금속용 연마액 및 연마 방법
JP2009050920A (ja) * 2007-08-23 2009-03-12 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
WO2009042073A2 (en) * 2007-09-21 2009-04-02 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
EP2048207A1 (en) * 2007-10-11 2009-04-15 STMicroelectronics S.r.l. Method of planarizing chalcogenide alloys, in particular for use in phase change memory devices
JP5277640B2 (ja) * 2007-10-17 2013-08-28 日立化成株式会社 Cmp用研磨液及び研磨方法
JP2009123880A (ja) * 2007-11-14 2009-06-04 Showa Denko Kk 研磨組成物
JP2009158810A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 化学的機械的研磨用スラリーおよび半導体装置の製造方法
US9202709B2 (en) * 2008-03-19 2015-12-01 Fujifilm Corporation Polishing liquid for metal and polishing method using the same
CN102150242B (zh) * 2008-09-08 2013-05-15 三菱瓦斯化学株式会社 铜布线表面保护液及半导体电路元件的制造方法
JP5423992B2 (ja) * 2008-09-19 2014-02-19 三菱瓦斯化学株式会社 銅配線表面保護液および半導体回路の製造方法
JP5469840B2 (ja) * 2008-09-30 2014-04-16 昭和電工株式会社 炭化珪素単結晶基板の製造方法
CN102203952A (zh) * 2008-10-29 2011-09-28 三菱瓦斯化学株式会社 以氧化锌为主要成分的透明导电膜的纹理加工液及具有凹凸的透明导电膜的制造方法
JP4935843B2 (ja) * 2009-03-30 2012-05-23 日立化成工業株式会社 研磨液及び研磨方法
KR101380098B1 (ko) 2009-07-16 2014-04-01 히타치가세이가부시끼가이샤 팔라듐 연마용 cmp 연마액 및 연마 방법
US8883031B2 (en) 2009-08-19 2014-11-11 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
JP2011110637A (ja) * 2009-11-25 2011-06-09 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
JP5251861B2 (ja) * 2009-12-28 2013-07-31 信越化学工業株式会社 合成石英ガラス基板の製造方法
JP5587620B2 (ja) * 2010-01-25 2014-09-10 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
US9799532B2 (en) 2010-02-15 2017-10-24 Hitachi Chemical Company, Ltd. CMP polishing solution and polishing method
JP5582187B2 (ja) 2010-03-12 2014-09-03 日立化成株式会社 スラリ、研磨液セット、研磨液及びこれらを用いた基板の研磨方法
JP5141792B2 (ja) 2010-06-29 2013-02-13 日立化成工業株式会社 Cmp研磨液及び研磨方法
JP5695367B2 (ja) 2010-08-23 2015-04-01 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
JP5657318B2 (ja) * 2010-09-27 2015-01-21 富士フイルム株式会社 半導体基板用洗浄剤、これを利用した洗浄方法及び半導体素子の製造方法
KR101886892B1 (ko) 2010-11-22 2018-08-08 히타치가세이가부시끼가이샤 슬러리, 연마액 세트, 연마액, 기판의 연마 방법 및 기판
SG190054A1 (en) 2010-11-22 2013-06-28 Hitachi Chemical Co Ltd Slurry, polishing liquid set, polishing liquid, method for polishing substrate, and substrate
US9564337B2 (en) * 2010-12-24 2017-02-07 Hitachi Chemical Co., Ltd. Polishing liquid and method for polishing substrate using the polishing liquid
KR101938022B1 (ko) 2011-03-11 2019-01-11 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 신규한 에칭 조성물
EP2502969A1 (en) * 2011-03-22 2012-09-26 Basf Se A chemical mechanical polishing (cmp) composition comprising two types of corrosion inhibitors
CN102952466A (zh) * 2011-08-24 2013-03-06 安集微电子(上海)有限公司 一种化学机械抛光液
TWI577834B (zh) 2011-10-21 2017-04-11 富士軟片電子材料美國股份有限公司 新穎的鈍化組成物及方法
JP6077208B2 (ja) * 2011-11-25 2017-02-08 株式会社フジミインコーポレーテッド 研磨用組成物
JP2013138053A (ja) * 2011-12-28 2013-07-11 Fujimi Inc 研磨用組成物
CN102585706B (zh) * 2012-01-09 2013-11-20 清华大学 酸性化学机械抛光组合物
CN107617968A (zh) 2012-02-21 2018-01-23 日立化成株式会社 研磨剂、研磨剂组和基体的研磨方法
US9346977B2 (en) 2012-02-21 2016-05-24 Hitachi Chemical Company, Ltd. Abrasive, abrasive set, and method for abrading substrate
KR20150014924A (ko) * 2012-04-18 2015-02-09 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물
SG11201407087XA (en) 2012-05-22 2014-12-30 Hitachi Chemical Co Ltd Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
CN104334675B (zh) 2012-05-22 2016-10-26 日立化成株式会社 悬浮液、研磨液套剂、研磨液、基体的研磨方法及基体
SG11201407086TA (en) 2012-05-22 2015-02-27 Hitachi Chemical Co Ltd Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
EP2888077B8 (en) 2012-08-24 2017-09-27 Ecolab USA Inc. Methods of polishing sapphire surfaces
US8709277B2 (en) 2012-09-10 2014-04-29 Fujifilm Corporation Etching composition
JP6291026B2 (ja) 2013-03-15 2018-03-14 エコラボ ユーエスエー インコーポレイティド サファイアの表面を研磨する方法
KR101348515B1 (ko) * 2013-05-22 2014-01-08 동우 화인켐 주식회사 금속배선 형성을 위한 저점도 식각용액
JP2016529700A (ja) * 2013-07-11 2016-09-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 腐食防止剤としてベンゾトリアゾール誘導体を含む化学的機械研磨組成物
US9994748B2 (en) 2013-08-09 2018-06-12 Fujimi Incorporated Polishing composition
CN104371551B (zh) * 2013-08-14 2018-01-12 安集微电子(上海)有限公司 一种碱性阻挡层化学机械抛光液
CN103526207B (zh) * 2013-09-23 2016-01-20 无锡阳工机械制造有限公司 一种除锈浆料
CN104449564A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 单分散研磨液及其制备方法、无机氧化物溶胶制备方法
CN103498160B (zh) * 2013-09-23 2016-01-20 无锡阳工机械制造有限公司 一种抛光浆料
CN103498161B (zh) * 2013-09-23 2016-01-20 无锡阳工机械制造有限公司 一种金属抛光防腐浆料
CN103484876B (zh) * 2013-09-23 2016-01-13 无锡阳工机械制造有限公司 一种除锈浆料
CN104647197B (zh) * 2013-11-22 2019-01-04 安集微电子(上海)有限公司 一种用于抛光钽的化学机械抛光方法
JP2015203081A (ja) * 2014-04-15 2015-11-16 株式会社フジミインコーポレーテッド 研磨用組成物
JP2017122134A (ja) * 2014-05-22 2017-07-13 日立化成株式会社 金属膜用研磨液及びそれを用いた研磨方法
CN104130715B (zh) * 2014-07-01 2015-09-23 安徽拓普森电池有限责任公司 一种用于半导体集成电路中金属钨的抛光液及其制备方法
CN104592896A (zh) * 2014-12-31 2015-05-06 上海新安纳电子科技有限公司 一种化学机械抛光液
JP2016141765A (ja) * 2015-02-04 2016-08-08 ニッタ・ハース株式会社 研磨用組成物
JP6638208B2 (ja) * 2015-04-02 2020-01-29 日立化成株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
US11458590B2 (en) * 2015-12-09 2022-10-04 Konica Minolta, Inc. Abrasive slurry regeneration method
US10442055B2 (en) * 2016-02-18 2019-10-15 Iowa State University Research Foundation, Inc. Lubricated mechanical polishing
JP6737894B2 (ja) 2016-03-01 2020-08-12 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド ケミカルメカニカルポリッシング方法
TWI601808B (zh) * 2016-03-01 2017-10-11 羅門哈斯電子材料Cmp控股公司 化學機械研磨方法
CN106010297B (zh) * 2016-06-20 2018-07-31 上海新安纳电子科技有限公司 一种氧化铝抛光液的制备方法
US10377014B2 (en) 2017-02-28 2019-08-13 Ecolab Usa Inc. Increased wetting of colloidal silica as a polishing slurry
JP7060573B2 (ja) * 2017-03-06 2022-04-26 株式会社フジミインコーポレーテッド 表面処理組成物、およびその製造方法、ならびに表面処理組成物を用いた表面処理方法および半導体基板の製造方法
CN108690507A (zh) * 2018-07-02 2018-10-23 江西汇诺科技有限公司 高性能磨料抛光液
CN109536042B (zh) * 2018-12-28 2021-06-25 河南联合精密材料股份有限公司 一种油性抛光液及其制备方法与应用
CA3138307A1 (en) * 2019-05-13 2020-11-19 Ecolab Usa Inc. 1,2,4-triazolo[1,5-a] pyrimidine derivative as copper corrosion inhibitor
CN115636592A (zh) * 2021-12-31 2023-01-24 深圳市海风润滑技术有限公司 一种高稳定性蒙砂粉及制备方法
CN114479675B (zh) * 2022-03-08 2023-05-16 昆山捷纳电子材料有限公司 一种用于光纤接头端面的抛光液组合物

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
KR930002764B1 (ko) 1988-06-03 1993-04-10 닛뽄 몬산토 가부시끼가이샤 실리콘 웨이퍼 연마용 화합물
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
JPH05112775A (ja) 1991-10-22 1993-05-07 Sumitomo Chem Co Ltd 金属材料の研磨用組成物
DE4217366A1 (de) * 1992-05-26 1993-12-02 Bayer Ag Imide und deren Salze sowie deren Verwendung
JP3309442B2 (ja) 1992-10-14 2002-07-29 ソニー株式会社 平坦化絶縁膜の形成方法
US5391258A (en) 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
JPH07183288A (ja) 1993-12-24 1995-07-21 Toshiba Corp 半導体ウェーハ処理剤
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
JP3192968B2 (ja) 1995-06-08 2001-07-30 株式会社東芝 銅系金属用研磨液および半導体装置の製造方法
US6046110A (en) * 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
JP3015763B2 (ja) 1996-08-30 2000-03-06 三洋電機株式会社 半導体装置の製造方法
JP3503365B2 (ja) 1996-10-25 2004-03-02 旭硝子株式会社 表面処理された基材
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
JPH1133896A (ja) 1997-05-22 1999-02-09 Nippon Steel Corp 研磨砥粒、研磨剤及び研磨方法
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
JP2000053946A (ja) 1998-08-05 2000-02-22 Showa Denko Kk 研磨材組成物
JP2000144109A (ja) 1998-11-10 2000-05-26 Okamoto Machine Tool Works Ltd 化学機械研磨用研磨剤スラリ−
EP2194570A1 (en) * 1998-12-28 2010-06-09 Hitachi Chemical Co., Ltd. Materials for polishing liquid for metal, polishing liquid for metal, mehtod for preparation thereof and polishing method using the same
JP4171858B2 (ja) 1999-06-23 2008-10-29 Jsr株式会社 研磨用組成物および研磨方法
AU5785700A (en) 1999-07-07 2001-01-30 Cabot Microelectronics Corporation Cmp composition containing silane modified abrasive particles
JP4231950B2 (ja) * 1999-10-18 2009-03-04 株式会社トクヤマ 金属膜用研磨剤
US6435944B1 (en) * 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
AU1054501A (en) 1999-11-04 2001-05-14 Seimi Chemical Co., Ltd. Polishing compound for semiconductor containing peptide
US6720264B2 (en) * 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
JP2001135601A (ja) 1999-11-09 2001-05-18 Speedfam Co Ltd 半導体デバイス平坦化の研磨方法
JP2001144060A (ja) 1999-11-11 2001-05-25 Hitachi Chem Co Ltd 金属積層膜を有する基板の研磨方法
JP3314770B2 (ja) 1999-11-15 2002-08-12 日本電気株式会社 半導体装置及びその製造方法
WO2001044402A1 (en) 1999-12-17 2001-06-21 Cabot Microelectronics Corporation Method of polishing or planarizing a substrate
JP3490038B2 (ja) 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
JP4001219B2 (ja) 2000-10-12 2007-10-31 Jsr株式会社 化学機械研磨用水系分散体及び化学機械研磨方法
TW503154B (en) 2000-02-04 2002-09-21 Showa Denko Kk LSI device polishing composition and method for reproducing LSI device
TWI296006B (zh) * 2000-02-09 2008-04-21 Jsr Corp
JP2001244240A (ja) * 2000-02-25 2001-09-07 Speedfam Co Ltd 半導体ウエハの製造方法
JP3624809B2 (ja) 2000-02-29 2005-03-02 昭和電工株式会社 洗浄剤組成物、洗浄方法及びその用途
JP2001269860A (ja) 2000-03-27 2001-10-02 Shibaura Mechatronics Corp 銅系金属研磨用スラリーおよび銅系金属膜の研磨方法
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6623355B2 (en) * 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US6555510B2 (en) * 2001-05-10 2003-04-29 3M Innovative Properties Company Bis(perfluoroalkanesulfonyl)imides and their salts as surfactants/additives for applications having extreme environments and methods therefor
US6656241B1 (en) 2001-06-14 2003-12-02 Ppg Industries Ohio, Inc. Silica-based slurry
SG115405A1 (en) * 2001-09-17 2005-10-28 Inst Of Microelectronics Method for reducing dishing in chemical mechanical polishing
CN1306562C (zh) * 2001-10-26 2007-03-21 旭硝子株式会社 研磨剂、研磨剂的制造方法以及研磨方法
TW200300168A (en) * 2001-10-31 2003-05-16 Hitachi Chemical Co Ltd Polishing fluid and polishing method
US6746498B1 (en) * 2002-12-12 2004-06-08 Intel Corporation Abrasive with a modified surface and a method for making it

Also Published As

Publication number Publication date
WO2003038883A1 (fr) 2003-05-08
US20070232197A1 (en) 2007-10-04
CN101058713A (zh) 2007-10-24
JPWO2003038883A1 (ja) 2005-02-24
CN1610963A (zh) 2005-04-27
KR20050042038A (ko) 2005-05-04
CN100386850C (zh) 2008-05-07
KR100704690B1 (ko) 2007-04-10
JP2008199036A (ja) 2008-08-28
TWI314950B (en) 2009-09-21
US20120064721A1 (en) 2012-03-15
TWI308926B (zh) 2009-04-21
JP5447437B2 (ja) 2014-03-19
US20090156007A1 (en) 2009-06-18
US8481428B2 (en) 2013-07-09
US8084362B2 (en) 2011-12-27
US8084363B2 (en) 2011-12-27
JP2011205113A (ja) 2011-10-13
TW200300168A (en) 2003-05-16
US20050050803A1 (en) 2005-03-10
TW200831656A (en) 2008-08-01

Similar Documents

Publication Publication Date Title
CN101058713B (zh) 研磨液及研磨方法
KR101396055B1 (ko) 금속막용 연마액 및 연마방법
KR101330956B1 (ko) Cmp 연마액 및 연마 방법
JP5141792B2 (ja) Cmp研磨液及び研磨方法
US7459398B2 (en) Slurry for CMP, polishing method and method of manufacturing semiconductor device
WO2007029465A1 (ja) 研磨剤、被研磨面の研磨方法および半導体集積回路装置の製造方法
JP2005064285A (ja) Cmp用研磨液及び研磨方法
JP2013038237A (ja) Cmp用研磨液及び研磨方法
JP4850167B2 (ja) 研磨液及び研磨方法
JP2004179294A (ja) 研磨液及び研磨方法
CN100468647C (zh) 研磨剂以及研磨方法
JP2015029001A (ja) Cmp用研磨液及び研磨方法
JP2006128552A (ja) Cmp用研磨液及び研磨方法
CN111378366A (zh) 一种化学机械抛光液及其应用
JP2005217360A (ja) 金属用研磨液及び研磨方法
JP6604061B2 (ja) Cmp用研磨液及び研磨方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110209

Termination date: 20141031

EXPY Termination of patent right or utility model