WO2004073053A1 - ステージ装置及び露光装置、並びにデバイス製造方法 - Google Patents
ステージ装置及び露光装置、並びにデバイス製造方法 Download PDFInfo
- Publication number
- WO2004073053A1 WO2004073053A1 PCT/JP2004/000655 JP2004000655W WO2004073053A1 WO 2004073053 A1 WO2004073053 A1 WO 2004073053A1 JP 2004000655 W JP2004000655 W JP 2004000655W WO 2004073053 A1 WO2004073053 A1 WO 2004073053A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- slider
- stage device
- mask
- stage
- stator
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70058—Mask illumination systems
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70716—Stages
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70758—Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70766—Reaction force control means, e.g. countermass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
Definitions
- the present invention relates to a stage apparatus, an exposure apparatus, and a device manufacturing method, and more particularly, to a stage apparatus including a surface plate and a slider that moves along the surface plate, an exposure apparatus including the stage device, And a device manufacturing method using the exposure apparatus.
- reticle a mask or a reticle (hereinafter, collectively referred to as “reticle”) and a photosensitive object such as a wafer or a glass plate (hereinafter, collectively referred to as “wafer”) ) And a reticle pattern are transferred onto a wafer via a projection optical system while synchronously moving along a predetermined scanning direction (scanning direction).
- the loose scanning also called scanner
- a scanning exposure apparatus can expose a large field with a smaller projection optical system than a stationary exposure apparatus such as a stepper.
- a scanning exposure apparatus requires a driving device for driving the reticle on the reticle side in addition to the wafer side.
- a reticle-side driving device is supported on a reticle surface plate by an air bearing or the like.
- a reticle coarse moving stage driven by a pair of linear motors arranged on both sides in a non-scanning direction (non-scanning direction) orthogonal to the scanning direction in a predetermined stroke range in the scanning direction;
- a reticle stage device having a coarse / fine movement structure having a reticle fine movement stage that is minutely driven by a voice coil motor or the like in a scanning direction, a non-scanning direction, and a bowing direction is used.
- a counter mass mechanism having a counter mass (weight member) that moves in a direction opposite to the reticle stage along a stator (linear guide) of a linear motor that extends in a scanning direction of the reticle stage is provided.
- a reticle stage device There is also a reticle stage device.
- the reticle fine movement stage and reticle coarse movement stage were connected with wiring for current supply, piping for vacuum exhaust for vacuum chuck, and piping for supplying pressurized air to air bearing. . For this reason, when the reticle fine movement stage and the reticle coarse movement stage move, the above-mentioned wiring and piping are dragged, and the tension of the wiring and piping will eventually result in the reticle position control accuracy.
- the stage bending due to mechanical vibration and thermal factors around the reticle fine movement stage was the cause of the position measurement error of the reticle fine movement stage.
- the position of the reticle fine movement stage RST (reticle R) is measured by an interferometer having a measurement axis LX via a movable mirror 169 provided on the reticle fine movement stage RST.
- a measurement error of ⁇ (a kind of Abbe error) occurs in the position information measured by the interferometer.
- the Rukoto In FIGS. 12A and 12B, reference symbol CR indicates a neutral plane (bending neutral plane) of reticle fine movement stage RST.
- the deformation of the reticle fine movement stage caused the deformation (bending) of the moving mirror, resulting in a decrease in the position measurement accuracy of the reticle fine movement stage and, consequently, the position control accuracy.
- a first object of the present invention is to provide a stage device capable of improving the position controllability of a moving object on which an object is placed. is there.
- a second object of the present invention is to provide an exposure apparatus capable of realizing highly accurate exposure.
- a third object of the present invention is to provide a device manufacturing method capable of improving the productivity of a highly integrated device. Disclosure of the invention
- a surface plate comprising: a surface plate; three free axes in a two-dimensional plane including a first axis and a second axis orthogonal to the surface while holding the object while floating above the surface plate; A slider capable of moving along the surface plate in the direction of the arrow; and a frame-like member that has at least three degrees of freedom in the two-dimensional plane while floating above the surface plate and surrounds the slider; A first drive including a first stator provided on a frame-shaped member, and a first mover that generates a driving force for driving the slider in the first axial direction in cooperation with the first stator. A second stator provided on the frame-shaped member; and a second movable element for generating a driving force for driving the slider in the second axial direction in cooperation with the second stator. And a second drive mechanism.
- the “cooperation” between the stator and the mover means that the driving force is generated by performing some physical interaction (for example, electromagnetic interaction) between the stator and the mover. Which means that In this specification, terms that cooperate in this sense are used. Shall be.
- the slider holds the object while floating above the surface plate, and moves along the surface plate in a direction of three degrees of freedom in a two-dimensional plane including the first axis and the second axis orthogonal to the first axis.
- the frame-shaped member has at least three degrees of freedom in the two-dimensional plane while floating above the surface plate.
- a first stator and a second stator are provided on the frame member, and a first movable element and a second stator that generate a driving force for driving the slider in the first axial direction in cooperation with the first stator.
- the slider is provided with a second mover that generates a driving force for driving the slider in the second axial direction in cooperation with the stator.
- the frame member is provided so as to surround the slider, the frame member is inevitably increased in size and mass, and a large mass ratio between the frame member and the slider can be secured.
- the movement stroke of the member is relatively short.
- the first drive mechanism includes at least two linear motors
- the second drive mechanism includes at least one voice coil motor. Can be included.
- the first stage device of the present invention may further include an interferometer system for measuring a position of the slider, wherein the slider has a mounting surface for the object on a part of a neutral surface. And the position of the optical path of the length measurement beam from the interferometer system in the third axial direction orthogonal to the two-dimensional plane can be matched with the position of the neutral plane. In such a case, the position measurement error ⁇ ⁇ ⁇ ⁇ caused by the deviation between the neutral plane and the length measurement axis when the slider is deformed, as described with reference to FIG. it can.
- extension portions extending in the first axis direction are provided at one end and the other end of the slider in the first axis direction, respectively.
- a gas static pressure bearing is formed over the entire area in the longitudinal direction up to the extension part on the other side, and pressurized gas is supplied from the surface plate to the gas static pressure bearing without passing through piping. be able to. .
- an exposure apparatus for transferring a pattern formed on a mask to the photosensitive object by synchronously moving the mask and the photosensitive object in a predetermined direction, wherein the mask emits illumination light.
- a first stage device of the present invention in which the mask is mounted on the slider as the object, and the illumination light projected from the mask is projected on the photosensitive object. And a projection optical system unit.
- the first stage device of the present invention since the first stage device of the present invention is provided, it is possible to improve the position control accuracy of the slider and, consequently, the position control accuracy of the mask. Therefore, it is possible to transfer the pattern formed on the mask to the photosensitive object with high accuracy.
- the space including the optical path of the illumination light between the illumination unit and the projection optical system unit is a purge space purged with a specific gas, which has a smaller characteristic of absorbing the illumination light than air.
- the frame-like member may also serve as a partition for isolating the purge space from the outside air.
- the space around the mask can be easily set as the purge space.
- the first gas static pressure bearing using the specific gas as a pressurized gas is substantially entirely provided on a surface of the frame-shaped member opposite to the surface plate opposite to the lighting unit. It may be provided over the circumference.
- a second gas static pressure bearing using the specific gas as a pressurized gas is provided on substantially the entire periphery of the lighting unit side surface of the frame member, and the lighting unit of the frame member is provided.
- a plate disposed substantially opposite to the entire surface of the rack side and with a predetermined clearance therebetween, to which pressurized gas from the second hydrostatic gas bearing is sprayed. it can.
- the frame-shaped member when the frame-shaped member also functions as a partition wall for isolating the purge space from the outside air, the fixed member on the opposite side of the frame-shaped member from the illumination unit.
- the first gas static pressure bearing of the differential exhaust type which simultaneously performs vacuum suction and injection of pressurized gas, is provided on almost the entire surface on the surface facing the panel. be able to.
- a differential exhaust type second gas static pressure bearing for simultaneously performing vacuum suction and pressurized gas ejection is provided over substantially the entire circumference.
- a plate which is disposed to face almost the entire surface on the lighting unit side of the frame-shaped member and is disposed with a predetermined clearance therebetween, and to which a pressurized gas from the second gas static pressure bearing is sprayed. , Can be further provided.
- the interferometer system for measuring the position of the slider is further provided.
- An opening is formed in a side surface portion of the frame-shaped member located on an optical path of a length measurement beam from the interferometer system toward the slider in the purge space, and a cover glass for closing the opening is provided. Can be done.
- a surface plate capable of moving along the surface plate while holding an object while floating above the surface plate;
- a pair of movers arranged symmetrically with respect to a neutral surface of the slider on one side and the other side in a second axis direction orthogonal to the first axis direction of a region where the body is placed,
- a driving mechanism having a pair of stator portions that individually generate the driving force in the first axial direction in cooperation with each of the pair of movers.
- each of the drive mechanisms for driving the slider is symmetrically arranged on one side and the other side in the second axis direction of the area where the object of the slider is placed with respect to the neutral plane of the slider.
- the movable element, the slider, and the movable element have a laminated structure on either one side or the other side in the second axial direction, and the movable elements are arranged symmetrically with respect to the neutral plane of the slider.
- the neutral surface of the slider substantially coincides with the height of the center of gravity (the position in the third axis direction orthogonal to the first axis and the second axis).
- the resultant force of the driving force in the first axial direction generated by cooperation with the corresponding stator acts on the position of the center of gravity of the slider. Therefore, it is possible to improve the position controllability of the slider at least in the first axis direction and to suppress the rotation of the slider around the second axis.
- the slider when the mover is composed of an armature unit, when the slider is driven in the first axial direction along the surface plate, the slider is driven by heat generated by the mover due to the current supplied to the mover. Even when the heater is heated, the deformation of the slider caused by the bimetallic effect that occurs on the upper and lower sides of the neutral surface cancels out in the heat-generating portion, and as a result, the slider may be deformed by the bimetallic effect Absent.
- each of the pair of stator portions may be symmetrically arranged with respect to a neutral surface of the slider.
- one side of the slider in the first axial direction and other An extension portion extending in the first axial direction is provided at the end on the side, and a gas hydrostatic bearing is formed over the entire region in the longitudinal direction from the extension portion on one side to the extension portion on the other side,
- the pressurized gas can be supplied from the platen to the hydrostatic bearing without passing through a pipe.
- an exposure apparatus for synchronously moving a mask and a photosensitive object in a predetermined direction and transferring a pattern formed on the mask to the photosensitive object, wherein the mask emits illumination light.
- a second stage device of the present invention in which the mask is mounted on the slider as the object, and the illumination light emitted from the mask is projected on the photosensitive object.
- the second stage device of the present invention since the second stage device of the present invention is provided, it is possible to improve the position control accuracy of the slider, and furthermore, the synchronization accuracy between the mask and the photosensitive object. Therefore, it is possible to transfer the pattern formed on the mask to the photosensitive object with high precision.
- a surface plate comprising: a surface plate; a two-dimensional plane including a first axis and a second axis orthogonal to the surface while holding an object while floating above the surface plate; A slider movable along the board; a driving mechanism including a linear motor for driving the slider in the first axial direction; and irradiating a reflecting surface provided on the slider with a length measurement beam and based on the reflected light.
- An interferometer system for measuring the position in the first axis direction and the second axis direction, and wherein the reflection surface irradiated with the measurement beam in the second axis direction from the interferometer system is linear.
- a third stage device is provided on a part of the slider located outside the motor.
- the reflection surface on which the measurement beam in the second axis direction is irradiated from the interferometer system is provided outside the linear motor that drives the slider in the first axis direction. Even if temperature fluctuations occur in the gas around the linear motor due to heat generation, there is no effect on the measurement beam in the second axis direction. Therefore, the position of the slider in the second axis direction can be measured with high accuracy by the interferometer.
- the measurement beam in the first axis direction from the interferometer is transmitted to another reflection surface provided on the slider (a reflection surface located at a location that is hardly affected by the heat generated by the linear motor), as usual. Irradiating the slider without any trouble, it is possible to accurately measure the position of the slider in the first axis direction and the second axis direction, thereby improving the position controllability of the slider. .
- the reflection surface is formed on an end surface of a rod-shaped second portion having a predetermined length different from the first portion on which the object of the slider is placed, and the second portion has a longitudinal direction.
- a reinforcing portion is provided at a portion other than both ends of the reinforcing member, and both ends of the reinforcing portion are connected to the first portion via elastic hinge portions, respectively.
- the first portion is further provided with another elastic hinge portion at a position away from the one elastic hinge portion by a predetermined distance on a side opposite to the first portion.
- another elastic hinge portion at a position away from the one elastic hinge portion by a predetermined distance on a side opposite to the first portion.
- the first portion, the elastic hinge portion, and the second portion of the slider may be all integrally formed, or one of them may be formed of another member. Alternatively, they may be entirely composed of different members.
- extension portions extending in the first axial direction are provided at one end and the other end of the slider in the first axial direction, respectively.
- a gas static pressure bearing is formed over the entire area in the longitudinal direction up to the extension part on the other side, and pressurized gas is supplied from the surface plate to the gas static pressure bearing without passing through piping. be able to.
- an exposure apparatus for synchronously moving a mask and a photosensitive object in a predetermined direction to transfer a pattern formed on the mask to the photosensitive object
- the exposure apparatus comprising: An illumination unit illuminated by a third stage device of the present invention in which the mask is mounted on the slider as the object described above; A projection optical unit for projecting the illumination light emitted from the mask onto the photosensitive object.
- the third stage device of the present invention since the third stage device of the present invention is provided, it is possible to improve the position control accuracy of the slider, and furthermore, the synchronization accuracy between the mask and the photosensitive object. Therefore, it is possible to transfer the pattern formed on the mask to the photosensitive object with high precision.
- a slider capable of mounting an object on a mounting surface and being movable; and a pair of first movers symmetrically arranged with respect to the mounting surface of the slider.
- a pair of second movers different from the pair of first movers and arranged symmetrically with respect to the mounting surface of the slider; a pair of first movers and the pair of second movers And a pair of stator portions for driving the slider in the first axial direction in cooperation with the fourth stage device.
- the resultant force of the driving forces in the directions can act near the position of the center of gravity of the slider. Therefore, it is possible to improve the position controllability of the slider at least in the first axis direction and to suppress the rotation of the slider about the second axis.
- the placement surface described above may coincide with the neutral surface of the slider.
- each of the pair of stator portions may be symmetrically arranged with respect to the mounting surface.
- a fourth stage device of the present invention includes a first portion connected to the slider, and a second portion cooperating with the first portion, wherein the slider has a second portion different from the first axis.
- a driving device for driving the shaft may be further provided.
- a frame-shaped member that holds the pair of stator portions and the second portion of the driving device may be further provided.
- the frame member can be driven by a reaction force generated when the slider is driven.
- the slider can be provided on a surface plate.
- the frame-shaped member may be provided on the surface plate.
- the slider further includes a position detecting device that has a reflecting surface, and irradiates a length measuring beam to the reflecting surface to detect a position of the slider in the second axial direction. It can be.
- each of the pair of stator portions may be provided at a position not surrounding the length measuring beam.
- an exposure apparatus for synchronously moving a mask and a photosensitive object in a predetermined direction to transfer a pattern formed on the mask to the photosensitive object.
- a fourth stage device of the present invention in which the mask is mounted on the slider as the object, and the illumination light emitted from the mask is projected on the photosensitive object. And a projection optical system unit.
- the fourth stage device of the present invention since the fourth stage device of the present invention is provided, it is possible to improve the position control accuracy of the slider and, consequently, the position control accuracy of the mask. Therefore, it is possible to transfer the pattern formed on the mask to the photosensitive object with high accuracy.
- a slider that holds an object and is movable; a slider connected to the slider; and a stator cooperating with the slider.
- a driving device for driving along the first axial direction; a counter mass having a holding portion for holding the stator and a weight portion, and being re-driven by a reaction force generated when the slider is driven; ; So as to be located between the weight part and the stator.
- a fifth stage apparatus comprising: a reflecting member provided on the slider; and a position detecting device that irradiates the reflecting member with a measurement beam to detect a position of the slider.
- the counter mass moves substantially in accordance with the law of conservation of momentum due to the reaction force generated during the driving. Due to the movement of the counter mass, the reaction force is almost completely cancelled, and the center of gravity of the system including the slider and the counter mass does not move. Therefore, no offset load acts on the support member supporting the slider and the counter mass. . Further, a length measuring beam is applied to a reflecting member provided on the slider so as to be located between the weight part and the stator from the position detecting device, and the position of the slider is measured.
- the reflecting member to which the length measuring beam from the position detecting device is applied is provided outside the stator of the driving device that drives the slider in the first axial direction, the reflection member is caused by heat generated by the driving device. Even if temperature fluctuations occur in the gas around the drive device, the length measurement beam does not have any effect, so that the position of the slider can be detected with high accuracy. Therefore, the position controllability of the slider on which the object is placed can be improved.
- the slider may have a mounting portion for mounting the object on a neutral surface of the slider.
- the slider may be provided on a surface plate.
- the force pentamas can be provided on the surface plate.
- the present invention is an exposure apparatus for transferring a pattern formed on the mask to the photosensitive object by synchronously moving the mask and the photosensitive object in a predetermined direction, wherein the mask emits illumination light.
- the fifth stage device of the present invention since the fifth stage device of the present invention is provided, it is possible to improve the position control accuracy of the slider and, consequently, the position control accuracy of the mask. Therefore, it is possible to transfer the pattern formed on the mask to the photosensitive object with high accuracy.
- a slider provided with a reflecting member and capable of holding and moving an object; a slider connected to the slider; and a stator cooperating with the slider.
- a driving device for driving the slider along a first axial direction; a counter mass having a transparent portion and being driven by a reaction force generated when the slider is driven while holding the stator.
- a position detecting device for irradiating the reflecting member with the length measuring beam through the transparent portion to detect a position of the slider.
- the reaction force generated at the time of the drive moves the counter mass substantially in accordance with the law of conservation of momentum. Due to the movement of the counter mass, the reaction force is almost completely canceled, and the center of gravity of the system including the slider and the force mass does not move. Therefore, no offset load acts on the support member supporting the slider and the counter mass. .
- the position detection device detects the slider position by irradiating the reflective member with a length measuring beam through the transparent portion of the counter mass, the position of the slider is detected. And the position of the slider can be detected with high accuracy.
- the position detecting device is disposed inside the counter mass. (For example, an art gas generated from an optical member and a detector constituting the position detecting device adversely affects the atmosphere inside the counter mass.) ) Can be prevented.
- the slider mounts the object on a neutral surface of the slider. It is possible to have a mounting portion for mounting.
- the slider may be provided on a surface plate.
- the counter mass can be provided on the surface plate.
- an exposure apparatus for synchronously moving a mask and a photosensitive object in a predetermined direction to transfer a pattern formed on the mask to the photosensitive object.
- a sixth stage device of the present invention in which the mask is mounted as the object on the slider; and a projection for projecting the illumination light emitted from the mask onto the photosensitive object.
- a sixth exposure apparatus comprising: an optical system unit;
- the sixth stage device of the present invention since the sixth stage device of the present invention is provided, it is possible to improve the position control accuracy of the slider and, consequently, the position control accuracy of the mask. Therefore, it is possible to transfer the pattern formed on the mask to the photosensitive object with high accuracy.
- the present invention can be said to be a device manufacturing method using any of the first to sixth exposure apparatuses of the present invention.
- FIG. 1 is a view schematically showing a configuration of an exposure apparatus according to one embodiment of the present invention.
- FIG. 2 is a perspective view showing the reticle stage device of FIG.
- FIG. 3 is an exploded perspective view showing the reticle stage device of FIG.
- FIG. 4A is a perspective view of the reticle stage
- FIG. 4B is a cross-sectional view of the reticle stage.
- FIGS. 5A and 5B are diagrams for explaining the configuration and effects of the mirror unit provided on the reticle stage.
- 6A and 6B are views for explaining the configuration of the bearing device.
- FIG. 7 is an XZ sectional view of the reticle stage device.
- FIG. 8 is a YZ sectional view of the reticle stage device.
- FIG. 9 is a diagram for explaining the lower surface side of the frame-shaped member.
- FIG. 10 is a flowchart for explaining the device manufacturing method according to the present invention.
- FIG. 11 is a flowchart showing a specific example of step 204 in FIG.
- FIG. 12A and FIG. 12B are diagrams for explaining a conventional technique.
- FIG. 1 shows a schematic configuration of an exposure apparatus 10 according to one embodiment.
- the exposure apparatus 10 is a step-and-scan type scanning exposure apparatus, that is, a so-called scanning stepper (also referred to as a “scanner J”).
- a unit PL is provided.
- the optical axis AX of the projection optical system constituting the projection optical unit PL is set in the Z-axis direction (third axis direction), and a mask is formed in a plane orthogonal to the Z-axis direction.
- the direction in which the reticle R (and the object) and the wafer W as the photosensitive object are scanned relative to each other (the horizontal direction in FIG.
- the exposure apparatus 10 moves the illumination unit IOP and the reticle R in a predetermined direction in the Y-axis direction.
- a reticle stage device 12 as a stage device that drives with a stroke and minutely drives in the X-axis direction, the Y-axis direction, and the 0- Z direction (the rotation direction about the Z-axis). It has a wafer stage WST that drives in two-dimensional XY directions, and a control system for these.
- the illumination unit I ⁇ includes a light source and an illumination optical system, and forms an energy beam as an energy beam in a rectangular or arc-shaped illumination area defined by a field stop (also called a masking blade or a reticle blind) disposed therein.
- the illumination light IL is applied to illuminate the reticle R on which the circuit pattern is formed with uniform illuminance.
- An illumination system similar to the illumination unit I ⁇ is disclosed in, for example, Japanese Patent Application Laid-Open No. Hei 6-349701 and US Patent No. 5,534,970 corresponding thereto.
- illumination light IL it shall A r F excimer laser beam (wavelength 1 9 3 nm) is There is the F 2 laser beam (wavelength 1 5 7 nm) vacuum ultraviolet light such as is used.
- the illumination light I far ultraviolet light such as KrF excimer laser light (wavelength 248 nm) or ultraviolet bright line (g-ray, ⁇ -ray, etc.) from an ultra-high pressure mercury lamp may be used. It is possible.
- the disclosure in the above-mentioned U.S. patent shall be incorporated as a part of the description of this specification.
- a gas having a strong absorption characteristic for light in such a wavelength band such as oxygen, water vapor, or a hydrocarbon-based gas, from the optical path (hereinafter, referred to as a gas). Therefore, it is necessary to eliminate “absorbent gas J” as appropriate. For this reason, in the present embodiment, in the space on the optical path of the illumination light IL inside the illumination unit IOP, absorption of light in the vacuum ultraviolet region is air.
- the reticle stage device 12 includes an illumination system side plate (a plate having an annular mounting portion 101 connected to an outer periphery of a lower end portion of the illumination unit IOP via a sealing member 99 such as an O-ring or the like).
- the cap plate is placed below in Fig. 1 of 14.
- the illumination system side plate 14 is supported substantially horizontally by a support member (not shown), and a rectangular opening 14a serving as an optical path (passage) of the illumination light IL is formed at a substantially central portion thereof.
- FIG. 1 and FIG. 2 which is a perspective view of the reticle stage device 12, the reticle stage device 12 has a fixed position arranged substantially parallel to the illumination system side plate 14 at a predetermined interval below the illumination system side plate 14.
- Reticle stage base plate 16 as a plate
- reticle stage RS as a slider arranged between reticle stage base plate 16 and illumination system side plate 14, RS surrounding reticle stage RST
- a frame-like member (weight part) 18 disposed between the reticle stage base 16 and the illumination system side plate 14, and a reticle stage drive system for driving the reticle stage RST are provided. I have.
- the reticle stage base 16 is supported substantially horizontally by a support member (not shown).
- a support member not shown.
- the reticle stage base 16 is formed of a substantially plate-like member, and a projection 16a is formed substantially at the center thereof. I have.
- a rectangular opening 16b extending in the X-axis direction for passing the illumination light IL is provided.
- the upper surface of the projection 16a and the reticle swage platen are provided. It is formed so as to communicate with the lower surface of 16.
- FIG. 3 is an exploded perspective view of FIG. 2
- the reticle stage base 16 is formed of a substantially plate-like member, and a projection 16a is formed substantially at the center thereof. I have.
- a rectangular opening 16b extending in the X-axis direction for passing the illumination light IL is provided.
- the upper surface of the projection 16a and the reticle swage platen are provided. It is formed so as to communicate with the lower surface of 16.
- a sealing member 98 such as a V-ring or a telescopic bellows is provided on the lower surface of the reticle stage base 16 so as to surround the rectangular opening 16b as shown in FIG.
- the upper end of the lens barrel of the projection optical unit PL is connected to the projection optical system unit PL.
- the reticle stage RST includes a reticle stage main body 22 having a special shape as shown in FIG. 4A and various magnetic pole units (which will be described later) fixed to the reticle stage main body 22.
- the reticle stage main body 22 has a substantially rectangular plate-like portion 24 A in a plan view (as viewed from above), a mirror portion 24 B provided at one X end of the plate-like portion 24 A, A pair of extending portions 24 C i, 24 C 2 , 24 D i each protruding in the Y-axis direction from one end and the other side of the plate-shaped portion 24 A in the Y-axis direction. , and a 2 4 D 2.
- a stepped opening 22a having an opening serving as a passage for the illumination light 1 formed at the center (inner bottom surface).
- a plurality of (for example, three) reticle support members 34 for supporting the reticle R at a plurality of points (for example, three points) from below are provided on the step portion (a part dug down one step) of the stepped opening 22. Is set up.
- the reticle R is supported by the plurality of support members 34 in a state where the pattern surface (lower surface) of the reticle R substantially matches the neutral surface CT of the reticle stage main body 22 (reticle stage RST). It has become. That is, the mounting surface (mounting portion) of the reticle R almost coincides with the neutral surface CT of the reticle stage RST (see FIG. 4B).
- a plurality (for example, three) of reticle fixing mechanisms 36 are provided near the reticle support member 34 of the plate-shaped portion 24A in correspondence with the respective reticle support members 34.
- Each reticle fixing mechanism 36 has an L-shaped XZ cross-section, and is a plate-like portion that is rotatable about an axis (rotation axis in the Y-axis direction) provided at a corner of the letter.
- Each has a fixing member attached to A.
- reticle support member 34 and reticle fixing mechanism 36 instead of reticle support member 34 and reticle fixing mechanism 36, at the same time, it is possible to use various chucks such as a vacuum chuck and an electrostatic chuck.
- the mirror portion 24B has a substantially prismatic shape with the Y-axis direction as a longitudinal direction, and a central portion for reducing weight.
- the end face on the X side of the rod-shaped portion 124a is a mirror-finished reflecting surface (reflecting member) 124m.
- the mirror portion 24B and the plate-shaped portion 24A are locally connected at two places by a hinge portion 124C124d shown in FIG. 5A.
- the reticle stage main body 22 including the plate-like portion 24A, the mirror portion 24B, and the hinge portions 124c and 124d is formed temporarily (for example, by forming a single member by cutting it out).
- expressions for assuming that each part is a separate member will be used as necessary to make the description easier to understand.
- any one of the above-described portions may be formed of another member, or all of the portions may be formed of separate members. To explain this in more detail, as shown in FIG.
- the mirror portion 24B is provided at one end on the X side of the plate portion 24A and at two places on the soil side surface of the reinforcing portion 124b.
- the hinges 124c and 124d are connected via rotating fulcrums. In this case, the connection line # 3 connecting the two hinge portions 124c and 124 becomes a neutral surface when the mirror portion 24B is bent in the horizontal plane.
- FIG. 5B A similar hinge portion 124e is provided at a position separated by a predetermined distance in the + X direction from one hinge portion 124c of 4c and 124d.
- the hinge portion 124c and the hinge portion 124e can be connected. Since the part 124 f between them is partially deformed such that it rotates around the hinge part 124 c, the deformation of the plate-shaped part 24 A with respect to the reflecting surface 124 m of the mirror part 24 B is generated. The effect is minimized. That is, the portion 124 f sandwiched between the hinge portions 124 c and 124 e has a function as a kind of flexure.
- one recess 24 gl, 24 g 2 is formed, of the recess 24 gl, 24 g 2 each retro-reflector 32L 32 2, respectively.
- the four extended portions 24 Ci, 24 C 2 , 24 Di, and 24 D 2 have a substantially plate shape as shown in FIG. 4A, and each extended portion has A reinforcing portion having a triangular cross section is provided.
- a first static gas bearing over the entire area in the Y-axis direction to reach the extending portion 24 from the extending portion 24 is formed, extending portion 24 D from extended portion 24 C 2
- a second hydrostatic gas bearing is formed over the entire area in the Y-axis direction up to 2 .
- FIG. 6A shows a plan view (bottom view) of reticle stage main body 22 as viewed from below.
- three grooves are formed on the bottom surface of the reticle stage main body 22 including the extended portions 24d, 24Di and the portion therebetween.
- the groove located at the center in the X-axis direction is a trunk groove 55A extending in the Y-axis direction, communicating with both sides of the trunk groove 55A in the X-axis direction, and in the Y-axis direction.
- a plurality of T-shaped surface constriction grooves 55B formed at predetermined intervals, and an air supply groove 56 composed of.
- the main groove 55 A and the surface drawing groove 55 B correspond to D in Fig. 6A.
- FIG. 6B which is a cross-sectional view along the line D
- the main groove 55A is formed deeper than the surface drawing groove 55B.
- the remaining two of the three grooves are exhaust grooves 57A and 57B extending in the Y-axis direction. These exhaust grooves 57A and 57B have substantially the same cross-sectional shape as the main groove 55A.
- the reticle stage base 16 faces at least a part of each of these three grooves 56, 57A and 57B, and has a convex portion 16
- three openings (58, 59A, 59B) are respectively formed.
- the opening located at the center of these three openings is an air supply port 58, and the openings located at both ends are exhaust ports 59A and 59B.
- the gas supply port 58 is connected to a gas supply device (not shown) via a gas supply line 60 shown in FIG. 6B.c
- the gas supply device supplies a rare gas such as helium or a low absorption gas such as nitrogen. Is supplied.
- the exhaust ports 59A, 59B are connected to a vacuum pump (not shown) via exhaust pipes 61A, 61B.
- the low-absorbent gas when a low-absorbent gas is supplied from a gas supply device (not shown) via an air supply line 60, the low-absorbent gas is supplied from an air supply port 58 as shown in FIG. 6B.
- the water is supplied to the main groove 55 A of the air supply groove 56, and reaches the entire area of the main groove 55 A in the Y direction.
- the low-absorbent gas is sprayed onto the upper surface of the reticle stage base 16 from the plurality of surface throttle grooves 55B of the air supply groove 56.
- the static pressure of the gas becomes constant, and a clearance of, for example, about several j jm is formed between the reticle stage RST and the reticle stage base 16 and is maintained. That is, in the present embodiment, the supply groove 56, the exhaust groove 57A, 57B, the supply port 58, the supply pipe 60, the exhaust port 59A, 59B, the exhaust pipe 61
- the first and second reticle stage main bodies 22 on which A, 61B are formed are supplied with pressurized gas from a gas supply device (not shown) from the reticle stage base 16 without passing through pipes.
- a differential exhaust gas static pressure bearing is substantially configured.
- the bottom portion of the reticle stage main body 22 including the extension portions 24 C 2 , 24 D 2 and a portion therebetween also includes the above-described trunk groove 55 A and a plurality of surface throttle grooves 55 B.
- a groove 56 and exhaust grooves 57A, 57B on both sides thereof are formed.
- pressurized gas from a gas supply device (not shown) is supplied to the reticle.
- a second differential exhaust type hydrostatic bearing that is supplied from the stage base 16 without passing through a pipe is substantially configured.
- the pressurized gas blown from the surface throttle groove 55B of the first and second differential exhaust type gas static pressure bearings via the upper surface of the reticle stage base plate 16 is used.
- the reticle stage RST is levitated and supported in a non-contact manner above the upper surface of the reticle stage base 16 via a clearance of about several microns by the balance between the static pressure of the reticle stage and the overall weight of the reticle stage RST. It has become.
- substantially annular concave grooves (annular concave grooves) 83, 85 are formed double.
- a plurality of air inlets (not shown) are formed in the inner annular groove 83, and a plurality of outlets (not shown) are formed in the outer annular groove 85.
- the inner annular groove 83 is referred to as “air supply groove 83”
- the outer annular groove 85 is referred to as “exhaust groove 85”.
- An air supply port formed inside the air supply groove 83 is connected to a gas supply device (not shown) that supplies a low-absorbing gas such as nitrogen or a rare gas through a gas supply line and a gas supply tube (not shown).
- An exhaust port formed inside the exhaust groove 85 is an exhaust port (not shown). It is connected to a vacuum pump (not shown) via a pipe and an exhaust pipe.
- FIG. 9 which is a perspective view of the frame member 18 turned upside down, a substantially annular groove (annular groove) 8 2, 8 4 is doubly formed.
- a plurality of air inlets (not shown) are formed in the inner annular groove 82, and a plurality of outlets (not shown) are formed in the outer annular groove 84.
- the inner annular groove 82 is referred to as an “air supply groove 82”
- the outer annular groove 84 is referred to as an “exhaust groove 84”.
- An air supply port formed inside the air supply groove 82 is connected to a gas supply device (not shown) that supplies a low-absorbent gas such as nitrogen or a rare gas via an air supply pipe and an air supply pipe.
- a gas supply device not shown
- an exhaust port formed inside the exhaust groove 84 is connected to a vacuum pump (not shown) via an exhaust pipe and an exhaust pipe.
- the pressurized gas (low absorption) is applied to the upper surface of the reticle stage surface plate 16 from the air supply groove 82 formed on the bottom surface of the frame member 18. Gas) is sprayed, and the static pressure of the sprayed pressurized gas supports the weight of the frame-shaped member 18.
- the frame-shaped member 18 is several meters above the upper surface of the reticle stage base 16. It is levitated and supported through a certain degree of clearance. Also in this case, the gas in the clearance is exhausted to the outside by the suction force of the vacuum pump through the exhaust groove 84. In this case, a gas flow is generated from the supply groove 82 to the exhaust groove 84. For this reason, outside air is effectively prevented from entering the inside of the frame member 18 via the clearance.
- the entire bottom surface of the frame member 18 substantially serves as a differential exhaust gas static pressure bearing that floats and supports the frame member 18 above the upper surface of the reticle stage base 16. It is configured.
- the pressurized gas flows from the air supply groove 83 formed on the upper surface of the frame member 18 to the lower surface of the illumination system side plate 14. Gas) is sprayed, and the illumination system side plate 14 and the frame The gas in the clearance between the material 18 and the material 18 is exhausted to the outside by the suction I force of the vacuum pump through the exhaust groove 85. In this case, a gas flow is generated from the supply groove 83 to the exhaust groove 85. Therefore, the outside air is effectively prevented from entering the inside of the frame member 18 through the clearance.
- a clearance is maintained between the frame member 18 and the illumination system side plate 14 by the balance between the static pressure of the injected pressurized gas and the vacuum suction force.
- the entire upper surface of the frame member 18 substantially constitutes a differential exhaust gas static pressure bearing that maintains the clearance between the frame member 18 and the illumination system side plate 14.
- the above-mentioned clearance (that is, the bearing gap) between the frame member 18 and the reticle stage base 16 is the same as the differential exhaust gas static pressure bearing above and below the frame member 18. Is actually determined by the overall balance of the force exerted on the frame member 18 by the force of the frame member 18 and the weight of the entire frame member 18.
- the clearance between the frame member 18 and the illumination system side plate 14 and the clearance between the reticle stage base 16 and the frame member 18 are hermetically sealed by the gas flow described above. Further, as described above, since the upper end of the projection optical system unit PL and the reticle stage base 16 are connected by the seal member 98 described above (see FIGS. 7 and 8), The space surrounded by the frame member 18 is a very airtight space. Hereinafter, the space surrounded by the frame member 18 is referred to as an “airtight space” for convenience.
- an optical path from the illumination unit IOP to the projection optical system unit PL is used to avoid absorption of exposure light by an absorbing gas such as oxygen. That is, it is necessary to replace the (light path) in the hermetic space with nitrogen or a rare gas.
- an air supply pipe and an exhaust pipe are respectively connected to the side wall of the frame-shaped member 18, a low-absorbent gas is supplied to the above-mentioned airtight space through the air supply pipe, and the internal gas is exhausted through the exhaust pipe. May be exhausted to the outside.
- a part of the nitrogen or rare gas flowing through the air supply pipe (not shown) connected to the frame member 18 is supplied to the air supply branch branched from a part of the air supply pipe in the frame member 18.
- Nitrogen or a rare gas is supplied into the hermetic space by flowing into the hermetic space through a pipe, while the inside of the hermetic space is provided through an exhaust branch pipe branched from a part of the exhaust pipe.
- a configuration in which the gas is exhausted may be adopted. By doing so, it is possible to replace the inside of the space holding the reticle R with nitrogen or a rare gas that absorbs less exposure light, in addition to the above airtightness.
- helium gas When helium gas is used as the gas supplied to the hermetic space, it is desirable to recover the helium gas through a gas exhaust mechanism, remove impurities, and then reuse the gas.
- the reticle stage drive system includes a pair of stator units (a pair of stator units) 36, 38, which are respectively installed in the frame member 18 in the Y-axis direction.
- a first drive mechanism that drives the reticle stage RST in the Y-axis direction and minutely drives the reticle stage RST in the 0- Z direction (rotation direction around the Z-axis), and one of the stators inside the frame member 18.
- a second drive mechanism that minutely drives the reticle stage RST in the X-axis direction, and includes a stator unit 40 erected in the Y-axis direction on the + X side of the unit 38.
- the one stator unit 36 is a pair of first stators composed of an armature unit whose longitudinal direction is in the Y-axis direction.
- Y-axis linear guide 1 3 6 L, 1 3 6 2, a pair of holding at one end and the other end of the Y axis linear guides 1 3 6 1 3 6 2 ⁇ direction (longitudinal direction)
- a fixing member (holding portion) 15 2 is provided.
- the Y-axis linear guides 1 3 6 1 3 6 2 are opposed to each other at a predetermined interval in the Z-axis direction (vertical direction) and parallel to the XY plane by a pair of fixing members 15 2. Is held.
- Each of the pair of fixing members 15 2 is formed by the frame member 18 described above. It is fixed to the inner wall surface (the inner surface of the side wall) on one side and the other side in the Y-axis direction.
- the ⁇ -axis linear guide 1 36 ⁇ 1 36 2 as can be seen from Figure 7 showing the ⁇ sectional view of FIG. 3 and the reticle stage device, comprising a frame made of non-magnetic materials having a rectangular cross section (rectangular), Inside thereof, a plurality of armature coils are arranged at predetermined intervals in the axial direction.
- the other stator unit 38 has the same configuration as the one stator unit 36 described above. That is, the stator unit 38, Upsilon and axially Upsilon axis linear guides 1 3 8i as a first stator composed of a pair of upper and lower armature Yuni' Bok whose longitudinal direction, 1 38 2, these Y-axis linear guide and a 1 3 1 38 a pair of fixing members (holding portion) for fixing at both ends in a state where 2 was maintained Jo Tokoro intervals in the Z axis direction 1 54. Each of the pair of fixing members 154 is fixed to the inner wall surface on one side and the other side in the Y-axis direction of the frame member 18 described above.
- the Y-axis linear guide 1 38 1 38 2 in the same configuration as the Y axis linear guide 1 36 ⁇ 1 36 2 described above (see FIG. 7).
- a pair of magnetic pole units 26 26 2 as the first mover are embedded in the upper and lower surfaces of the reticle stage RST, respectively, and the Y-axis linear guide 1 38 ⁇ , opposite the 1 38 2, the upper surface of the reticle stage RST, the lower surface, the magnetic pole unit 28 28 2 as a pair of first movable element is embed rare respectively.
- Each pole unit 26 26 as shown in FIG. 4 B, one X side of the stepped opening 22 a of the plate portion 24 A of the aforementioned reticle stage main body 22, a neutral Les chicle stage body 22 They are arranged in the concave portions 24 ei and 24 e 2 formed on the upper and lower surfaces symmetrically with respect to the surface CT.
- Y-axis linear guide 1 36 1 36 2 is disposed substantially symmetrical positions with respect to the said neutral plane CT.
- It said pair of magnetic poles Yunitto 26 ⁇ 26 2, and the magnetic member, and a plurality of field magnets along the ⁇ axially on the front surface are arranged at predetermined intervals of the magnetic member comprises respectively.
- the plurality of field magnets have opposite polarities between adjacent field magnets. Accordingly, the space above the magnetic pole Yunitto 26i are formed alternating magnetic field along the Y-axis direction, in the space below the magnetic pole Yuni' Bok 26 2 are alternating magnetic field along the Y-axis direction formed.
- a pair of magnetic pole units 28 ⁇ , 28 2 is the Z-axis passing through the center position in the X-axis direction of the stepped opening 22 a (substantially coincides with the X-axis direction position of the center of gravity of the reticle stage RS T), the magnetic pole unit 26 It is almost symmetrical with 26 2 .
- the Y axis linear guides 1 38 ⁇ , 1 38 2 is disposed substantially symmetrical positions relative to the neutral plane CT.
- It said pair of magnetic poles Yunitto 2 28 2 includes a magnetic member, and a plurality of field magnets arranged at predetermined intervals along the Y-axis direction on the front surface of the magnetic member comprises respectively.
- the plurality of field magnets have opposite polarities between adjacent field magnets. Accordingly, the space above the magnetic pole Yuni' Bok 28] L is formed alternating magnetic field along the Y-axis direction, in the space below the magnetic pole Yunitto 28 2 are alternating magnetic field along the Y-axis direction formed.
- the first drive mechanism is constituted by a 28 2.
- This first drive According to mechanism, when a current is supplied to the armature coils of the Y-axis linear guide 1 36 1 36 2, the current flowing magnetic field generated in the magnetic pole unit 26 262 and armature Interview knit 1 36Iota, 1 36 2 and ⁇ axial direction of the electromagnetic force by electromagnetic interaction between the (Lorentz force) is generated, reaction force is the magnetic pole unit 26 ⁇ of this Lorentz force, and 26 2 driving force for driving the (reticle stage RS T) in ⁇ axially Become.
- magnetic pole Yuni' Bok 26 ⁇ and 26 2 pole Yunitto 28 ⁇ and 28 2 are arranged symmetrically respectively, Y-axis corresponding to these magnetic pole units
- the linear guides 136 ⁇ and 136 2 and the Y-axis linear guides 138 ⁇ and 1382 are also arranged vertically symmetrically with respect to the neutral plane CT. Therefore, by supplying the same current to each of the armature coils of the Y-axis linear guides 1 36 ⁇ , 1 362, 1 381, and 1 382, the same drive is applied to each of the magnetic pole units 26, 262, 281, and 282. force is applied, the neutral plane CT Y-axis direction of the driving force in two places on (see FIG. 4B) of Rechikurusu stage RST (magnetic pole units 26Iota, 26 second driving force resultant force, the magnetic pole units 281, 28 2 Thus, the pitching moment acts on the reticle stage RST as little as possible.
- the magnetic pole Yuni' Bok 26 ⁇ and 26 2, and pole Yunitto 28 ⁇ and 28 2 with respect to the X-axis direction, because it is disposed almost symmetrically with respect to the center of gravity position near the reticle stage RS T, the reticle stage RS Since the above-described driving force in the Y-axis direction is applied to two points equidistant from the center of gravity of T, the same force is generated at the two points to drive the driving force in the Y-axis direction near the position of the center of gravity of the reticle stage RST. Resultant force Can be operated. Therefore, the operating moment on the reticle stage RST is minimized.
- the jogging of reticle stage RST can be controlled by making the driving forces in the left and right Y-axis directions different.
- the pole unit 26L 26 2 the corresponding linear guides 1 36 ⁇ , 1 36 2 and the pair of moving magnet type driving the reticle stage RS T in the Y-axis direction Y-axis linear motor is configured
- the magnetic pole unit 28L 28 2 the corresponding Y-axis linear guide 1 38, 1 382 and the I re reticle stage RS T of the pair of moving magnetic Tsu Bok type for driving the Y-axis direction Y-axis linear motors Is configured.
- the stator unit 40 includes, as a pair of second stators having a longitudinal direction in the Y-axis direction, armature units 14 and 140 2 , and these armature units 140 ⁇ 1 40 2 and a pair of fixing members 1 56 for holding at one end and the other end of the Y-axis direction (longitudinal direction).
- the pair of fixing members 1 56, the armature unit 1 4 1 40 2 are respectively held parallel to the and the XY plane as to face each other at a Z-axis direction Jo Tokoro intervals (vertical direction) I have.
- Each of the pair of fixing members 156 is fixed to the inner wall surface on one side and the other side in the Y-axis direction of the frame member 18 described above.
- Armature Yuni' Bok 1 4 C, 1 40 2 as can be seen from Figure 7, has a frame made of a nonmagnetic material having a rectangular cross section (rectangle), the inside, it is arranged armature coils I have. As shown in FIG. 7, between the armature units 140 0 ⁇ and 140 2, each of them is fixed to the end of the reticle stage RST in the X-axis direction through a predetermined clearance. (2) A plate-shaped permanent magnet 30 having a rectangular cross section (rectangle) as a mover is arranged. Instead of the permanent magnet 30, a magnetic pole unit composed of a flat magnetic member and a pair of flat permanent magnets fixed to the upper and lower surfaces thereof may be used.
- the same current is supplied to the armature coils composing the armature units 14 Ch and 140 2 , respectively, so that the reticle stage RST is on the neutral plane CT (see FIG. 4B).
- the driving force in the X-axis direction can be applied to the position, so that the rolling moment acts on the reticle stage RST as little as possible.
- the armature unit 14 O i, 140 2 and the permanent magnet 30 constitute a moving magnet type voice coil motor capable of minutely driving the reticle stage RST in the X-axis direction.
- this voice coil motor is also referred to as a voice coil motor 30 using the movable element constituting the voice coil motor, that is, the permanent magnet.
- the voice coil motor 30 constitutes a second drive mechanism.
- the movable element 6 Omicron iota consisting pole unit, 6 0 2, 6 0 3 is eclipsed set.
- the reticle stage base plate 16 corresponding to these movers (first part) 660 2 , 603 is mounted on the reticle stage base 16 via the support bases 6 4 6 4 2 , 6 4 3 , and the armature unit.
- a stator (second part) composed of 6 2 ⁇ 6 2 2 and 6 2 2 is provided.
- the movers 60 ⁇ and 60 2 have permanent magnets therein, and form a magnetic field in the Z-axis direction.
- the stator 6 2 ⁇ , 6 2 2 has an armature coil therein, a current through the magnetic field of the Z-axis direction are summer to flow in the Y-axis direction.
- the stator 6 2 iota, 6 2 by the armature coils within 2 to the current of the Y-axis direction is supplied Li, movable element 6 0 6 0 to 2 driving force in the X-axis direction (mouth one The reaction force of the Lenz force) acts.
- the movable element 6 and the stator 6 2 is constructed the Bok Rimumota for X-axis direction drive consisting of a voice coil motor of Mubin Gumagune' Bok type, the movable element 6 0 2 and the stator 6 2 2, moving the c trim motor for the X-axis direction drive consisting magnet type voice coil motor is configured, the movable element 6 0 3 is provided with a permanent magnet therein, to form a magnetic field in the Z-axis direction .
- the stator 6 2 3 has an armature coil therein, a current through the magnetic field of the Z-axis direction are summer to flow in the X-axis direction.
- a concave portion 18a is formed substantially at the center of the side wall on the 1X side of the frame member 18.
- the concave portion 18a is formed with a rectangular opening 18b for communicating the inside and the outside of the frame-shaped member 18 with the window opening 18b. 2004/000655
- a rectangular opening 18c communicating between the inside and the outside of the frame member 18 is formed on one Y side wall of the frame member 18 and a window glass ( transparent portion) g 2 is fitted.
- These windows glass gi, g 2 as no gas leakage from the mounting portion, the mounting portion, and a metal seal of indium or copper, sealing with a fluorine resin (sea-ring) is facilities Have been. It is desirable to use a fluororesin that has been heated at 80 ° C. for 2 hours and degassed.
- an X-axis laser which is a position detecting device, is located on the outside (one X side) of the window glass gi, facing the reflecting surface 124 m of the mirror part 24 B of the reticle stage RST.
- An interferometer 69 X is provided. The measurement beam from the X-axis laser interferometer 69 X is projected through the window glass gi onto the reflecting surface 124 of the mirror section 24 B, and the reflected light is transmitted through the window glass gi to the X-axis. Return to the laser interferometer 6 9 X. In this case, the position of the optical path of the measurement beam in the Z-axis direction matches the position of the neutral plane CT described above.
- a fixed mirror Mrx is provided via a mounting member 92 near the upper end of the lens barrel of the projection optical system unit PL.
- X-axis laser interferometer 69 The reference beam from X is projected through a through-hole (optical path) 71 formed in reticle stage base 16 onto fixed mirror Mrx, and the reflected light is projected on X-axis. Return to the laser interferometer 6 9 X.
- the reflected light of the measurement beam and the reflected light of the reference beam are coaxially combined into light of the same polarization direction by the internal optical system, and the interference light of both reflected lights is Is received by the detector of.
- the X-axis laser interferometer 69 X determines the position of the reticle stage body 22 in the X-axis direction by the fixed mirror Mrx. As a reference, for example, detection is always performed at a resolution of about 0.5 to 1 nm.
- detection is always performed at a resolution of about 0.5 to 1 nm.
- FIG. 8 which is a YZ sectional view near the reticle stage device 12, a reticle stage body 22 is provided.
- a Y-axis laser interferometer 69Y which is a position detecting device, is provided facing the reflecting surface of the retroreflectors 32, 322 described above.
- Y-axis laser interferometer 6 9 Y is provided a pair to correspond to the retroreflector 3 2 1, 3 2 2.
- the measuring beam from each Y-axis laser interferometer 69 Y is projected onto the reflecting surface of the retroreflector 3 2 3 2 2 through the window glass g 2 , and each reflected light passes through the window glass g 2.
- the position of the irradiation point of the measurement beam in the Z-axis direction substantially coincides with the position of the neutral plane CT described above.
- a fixed mirror Mry is provided via a mounting member 93 near the upper end of the lens barrel of the projection optical system unit PL.
- the reference beam from each Y-axis laser interferometer 6 9 Y is projected onto a fixed mirror Mry via a through-hole (optical path) 72 formed in the reticle stage base 16, respectively.
- the reflected light returns to each Y-axis laser interferometer 69 Y.
- each Y-axis laser interferometer 69Y is based on the interference light between the reflected light of the measurement beam and the reflected light of the reference beam, and projection position measurement beams location of Rechikurusute one di body 2 2 in (retroreflector 3 2 iota, 3 2 2 the position of the reflection surface) of the position in the Y-axis direction, for example, a fixed mirror Mry based respectively 0. 5
- Rechikurusute one di body 2 2 in (retroreflector 3 2 iota, 3 2 2 the position of the reflection surface) of the position in the Y-axis direction, for example, a fixed mirror Mry based respectively 0. 5
- the pair of Y-axis laser interferometers 69Y can detect the amount of rotation of the reticle stage RST around the Z-axis.
- the mirror unit 2 4 B are stator Yuni' Doo 3 6 (Y-axis linear motor 1 3 6 ⁇ , 1 3 6 2) are disposed outside the. For this reason, since the length measuring beam from the X-axis laser interferometer 69 X does not pass above the stator of the Y-axis linear motor 13 6 13 6 2 , the Y-axis linear motor 13 6 ⁇ , 1 3 by heat generated by current flowing through the 6 second stator, Y-axis linear motor 1 3 6 iota, 1 3 be 6 2 near the air fluctuation is generated, X Jikure by the air fluctuation Since there is no effect on the measurement value of the one-the-interferometer 69 X, it is possible to detect the position of the reticle stage RST and thus the reticle R in the X-axis direction with high accuracy.
- the position of the optical path of the measurement beam of the X-axis laser interferometer 69 X in the Z-axis direction matches the position of the neutral plane CT, and the mounting surface of the reticle R Since the position of the reticle stage coincides with the neutral plane CT, the position of the reticle stage RST and thus the reticle R in the X-axis direction can be accurately measured without any so-called Abbe error.
- the pair of ⁇ -axis interferometers 69 ⁇ can accurately measure the ⁇ -axis direction position of the reticle stage RS ⁇ and thus the reticle R without the so-called Abbe error.
- each interferometer is placed inside the frame member 18. It is possible to prevent the occurrence of inconvenience that is highly likely to occur when arranging. For example, even if a small amount of absorptive gas is generated from optical members such as prisms and detectors that constitute each interferometer, this will not be mixed into the low absorptive gas in the aforementioned hermetic space. This has no adverse effect on exposure.
- the mirror unit 2 4 beta As described above, in fact, as moving mirrors, the mirror unit 2 4 beta, three retro Reflecting motor 3 2 i, 3 2 2 but provided, the laser interferometer Correspondingly also the X-axis laser interferometer 6 9 X and a force provided with a pair of Y-axis laser interferometers 69 Y In FIG. 1, these are typically shown as a reticle moving mirror M m and a reticle interferometer system 69. In FIG. 1, the fixed mirrors (fixed mirror Mrx, fixed mirror Mry) are not shown.
- the position (including 0z rotation) of reticle stage RST in the XY plane is measured by reticle interferometer system 69.
- the position information (or speed information) of the reticle stage RST from the reticle interferometer system 69 is sent to the stage control system 90 in FIG. 1 and the main controller 70 via the stage control system 90.
- the drive of the reticle stage RST is controlled based on the position information (or speed information) of the RST.
- the projection optical system unit PL a dioptric system composed of a plurality of lens elements having a bilateral telecentric reduction system and a common optical axis in the Z-axis direction is used.
- the projection optical system unit P L is actually held by a holding member (not shown) via a flange portion FLG provided on a lens barrel of the projection optical system unit P.
- the projection magnification ⁇ of the projection optical system unit PL is, for example, 14 or 1/5. Therefore, as described above, when the reticle R is illuminated by the illumination light IL from the illumination unit I ⁇ , the circuit pattern in the illumination area formed on the reticle R is changed by the projection optical system unit PL. A reduced image is projected onto the irradiation area (exposure area) of the illumination light IL on the wafer W, which is conjugate to the illumination area, and a reduced image (partially standing image) of the circuit pattern is transferred and formed.
- One end of an air supply pipe 50 and one end of an exhaust pipe 51 are connected to the lens barrel of the projection optical unit PL.
- the other end of the air supply conduit 50 is connected to a low-absorbency gas supply device (not shown), for example, a helium gas supply device.
- the other end of the exhaust pipe 51 is connected to an external gas recovery device. Then, high-purity helium gas is flown from the helium gas supply device into the lens barrel of the projection optical system unit PL via the air supply line 50. In this case, the helium gas is collected in the gas recovery device.
- helium gas is used as the low-absorbing gas
- the fluorite with a large thermal expansion coefficient is used as the lens material of the projection optical system unit PL in addition to the same reason as described above. It is desirable to use helium gas, which is a low-absorbing gas with a large cooling effect, considering that the temperature rise caused by absorbing the illumination light I will deteriorate the imaging characteristics of the lens. is there.
- the wafer stage WST is arranged in the wafer chamber 80.
- the wafer chamber 80 is formed by a partition 71 having a circular opening 71a formed substantially at the center of the ceiling. It is formed.
- the partition wall 1 is formed of a material with low degassing such as stainless steel (SUS).
- SUS stainless steel
- the lower end of the lens barrel of the projection optical system unit PL is inserted into the opening 71 a of the ceiling of the partition 71.
- a flexible bellows 97 connects the periphery of the opening 71 a of the ceiling wall of the bulkhead 71 with the flange portion FLG of the projection optical system unit P without gaps. Thus, the gas inside the wafer chamber 80 is isolated from the outside.
- a stage base BS is supported substantially horizontally via a plurality of vibration isolating units 86.
- These vibration isolation units 86 insulate the micro vibration (dark vibration) transmitted from the floor F to the stage base BS at, for example, a micro G level.
- a so-called active vibration isolator that actively dampens the stage base BS based on the output of a vibration sensor such as a semiconductor accelerometer attached to a part of the stage base BS is used as the vibration isolation unit 86. It is also possible &).
- the wafer stage WST holds the wafer W by vacuum suction or the like via a wafer holder 25, and moves along the upper surface of the base BS by a wafer drive system (not shown) including, for example, a linear motor. It can be driven freely in XY two-dimensional directions.
- the projection optical system unit P and the wafer W are used in order to avoid the absorption of the exposure light (illumination light IL) by an absorbing gas such as oxygen. It is necessary to replace the optical path up to nitrogen or a rare gas.
- one end of an air supply pipe 41 and one end of an exhaust pipe 43 are connected to the partition wall 71 of the wafer chamber 80, respectively.
- the other end of the air supply pipe 41 is connected to a low-absorbency gas supply device (not shown), for example, a helium gas supply device.
- the other end of the exhaust pipe 43 is connected to an external gas recovery device. Then, in the same manner as described above, helium gas is constantly flowed into the wafer chamber 80. ing.
- a light transmitting window 85 is provided on a side wall on the one Y side of the partition wall 71 of the wafer chamber 80. Similarly, although not shown, a light-transmitting window is also provided on the side wall on the + X side of the partition wall 71 (on the front side in FIG. 1). These light-transmitting windows are configured by attaching a light-transmitting member that closes the window to a window (opening) formed in the partition wall 71, here, general optical glass. In this case, a metal seal such as indium or copper, or a sealing with a fluororesin (sealing) is used to prevent gas from leaking from a mounting portion of the light transmitting member constituting the light transmitting window 85. ) Is given. It is desirable to use a fluororesin which has been heated at 80 ° C. for 2 hours and degassed.
- a Y moving mirror 56Y composed of a plane mirror is extended in the X-axis direction.
- the length measurement beam from the Y-axis laser interferometer 57 Y arranged almost perpendicularly to the Y moving mirror 56 Y outside the wafer chamber 80 is projected through the light transmission window 85, and the beam is irradiated.
- the reflected light is received by the detector inside the Y-axis laser interferometer 57 Y through the light transmission window 85, and the Y-moving mirror 56 Y is referenced with the position of the reference mirror inside the Y-axis laser interferometer 57 Y.
- the position, that is, the Y position of the wafer W is detected.
- an X moving mirror composed of a plane mirror is extended in the Y-axis direction. Then, the position of the X movable mirror, that is, the X position of the wafer W is detected by the X axis laser interferometer through the X movable mirror in the same manner as described above.
- the detection values (measured values) of the above two laser interferometers are supplied to the stage control system 90 and the main controller 70 via the stage control system 90. Based on the above, the position of the wafer stage WST is controlled via a wafer drive system while monitoring the detection values of the above two laser interferometers.
- the laser interferometer ie, the laser light source, the prism
- the optical members such as the optical system and the detector are disposed outside the wafer chamber 80, even if a small amount of the absorbing gas is generated from the detector or the like, this may adversely affect the exposure. It's not like that.
- the other end of the air supply line 50 and the other end of the exhaust line 51 connected to the lens barrel of the projection optical unit PL described above are connected to a helium gas supply device (not shown), respectively.
- High-purity helium gas is always supplied from the supply device via the air supply line 50 into the lens barrel of the projection optical system unit PL, and the gas inside the lens tube is supplied via the exhaust line 51 with the helium gas supply device.
- a configuration in which helium gas is circulated and used may be adopted.
- the operation of the gas purification device allows the projection optical system unit to be used even if the helium gas is circulated for a long time by the circulation path including the helium gas supply device and the interior of the projection optical system unit PL.
- the concentration of absorbing gas (oxygen, water vapor, organic matter, etc.) other than helium gas in the PL can be maintained at a concentration of ⁇ ippm or less.
- a sensor such as a pressure sensor or an absorptive gas concentration sensor is provided in the projection optical system unit PL, and is built in the helium gas supply device via a control device (not shown) based on the measured value of the sensor. It is also possible to appropriately control the operation and stop of the pump that has been performed.
- a helium gas circulation path similar to the above may be employed in the wafer chamber 80.
- reticle loading and wafer loading are performed by a reticle loader and wafer loader (not shown) under the control of the main controller 70, and a reticle alignment system, a reference mark plate on the wafer stage WST, and an offset detection Reticle alignment, baseline measurement of alignment detection system (all not shown), etc. (projection light from the detection center of alignment detection system)
- Preparation work such as measurement of the optical axis distance of the academic unit PL is performed according to a predetermined procedure.
- the main controller 70 executes alignment measurement such as EGA (Enhanced 'global' alignment) using an alignment detection system (not shown).
- the stage control system 90 transmits a wafer stage holding the wafer W via a wafer drive system (not shown). Move WST in a predetermined direction.
- the above reticle alignment and baseline measurement are disclosed in detail in, for example, Japanese Patent Application Laid-Open No. 7-176468 and US Patent No. 5,646,413 corresponding thereto. EGA is disclosed in detail in JP-A-61-44429 and the corresponding US Patent No. 4,780,617.
- the disclosures in each of the above-mentioned publications and the corresponding U.S. patents are incorporated herein by reference to form a part thereof. .
- the step-and-scan exposure operation is performed as follows.
- the wafer stage WST is moved so that the XY position of the wafer W becomes the scanning start position (acceleration start position) for exposing the first shot area (first 'shot) on the wafer W. Be moved.
- the reticle stage RST is moved so that the position of the reticle R becomes the scanning start position.
- the stage control system 90 causes the reticle interferometer system 69 to measure the position information of the reticle R, the Y-axis laser interferometer 57 on the wafer side, and the X-axis laser interference. Scanning exposure is performed by synchronously moving reticle R (reticle stage RST) and wafer W (wafer stage WST) based on the wafer W positional information measured by the meter.
- the transfer of the reticle pattern to the first shot area is completed.
- the wafer stage WS is stepped by one shot area in the non-scanning direction (X-axis direction), and then scanning exposure is performed on the next shot area.
- the stepping operation between shots and the scanning exposure are sequentially repeated, and the pattern of the reticle R is transferred onto the plurality of shot areas on the wafer W.
- the follow control of the reticle stage RST with respect to the re-wafer stage WS is performed by the stage control system 90 based on the instruction of the main controller 70.
- the reaction force has been canceled by the movement of the frame member 18.
- the mover of the voice coil motor 30 is driven in the X-axis direction integrally with the reticle stage RST.
- the reaction force of the force is to act on the voice coil motor 3 0 of the stator (armature Yunitto 1 4 C, 1 4 0 2 ) ⁇ Pi stator is fixed frame member 1 8.
- the frame-shaped member 18 is not in contact with the reticle stage base 16 and the illumination system side plate 14 via a predetermined clearance.
- the shape member 18 moves by a distance according to the law of conservation of momentum in a direction corresponding to the reaction force.
- the reaction force is absorbed by the movement of the frame member 18.
- the joing moment due to the reaction force of the driving force in the X-axis direction may act on the frame member 18.
- the frame-shaped member 18 makes a free motion with a 0z rotation so as to absorb the reaction force in accordance with the law of conservation of momentum by the action of the jowing moment and the reaction force in the X-axis direction.
- the Y-axis linear motors 1 3 6 1 3 6 2 , 1 3 8 ⁇ , 1 3 8 2 are driven in the Y-axis direction integrally with the reticle stage RST, and the resultant of the reaction force of the driving force of each mover is the Y-axis linear motor 1 3 6 ⁇ 1 3 6 2 , 1 04 000655
- Y -axis linear motor 1 3 8 ⁇ , 1 3 8 2 and the driving force for generating a different allowed by reticle stage RST and (thrust) is 0 z rotation
- the bowing moment may act on the frame member 18, but in such a case, the frame member 18 also has a momentum due to the action of the bowing moment and the reaction force in the Y-axis direction. Free motion with 0 z rotation to absorb reaction force according to the law of conservation.
- the reaction force (reaction force in the X-axis direction and the Y-axis direction) generated by driving the reticle stage RST and the The reticle stage RST can be reliably canceled, and the vibration accompanying the driving of the reticle stage RST can be suppressed.
- the occurrence of an eccentric load can be prevented as described above, it is also possible to prevent a change in the attitude of the reticle stage base 16 due to this.
- the amount of deviation from the reference position should not exceed an allowable value (That is, for example, the movement of the frame member 18 makes it impossible to control the voice coil motor 30, or the outside air flows through the gap between the frame member 18 and the reticle stage base 16.
- the main controller 70 is connected to the stage control system 90 via the stage control system 90 at an appropriate time so as not to affect the exposure, for example, so as not to cause a situation such as mixing in the hermetic space inside the shaped member 18).
- Three trimmo The frame member 18 is returned to a predetermined reference position using a motor.
- the reticle stage RS is configured to hold the reticle R while floating above the reticle stage base 16 and to move the Y axis and the reticle R.
- the reticle stage 16 can move along the reticle stage base 16 in three degrees of freedom in a two-dimensional plane including the X axis orthogonal to the reticle stage base 16 while floating above the reticle stage base 16. It has three degrees of freedom in the two-dimensional plane.
- the frame member 1 8 Y-axis linear motor 1 36, 1 3 6 2, 1 38 ⁇ , 1 38 2 of the stator (Riniagai de 1 36 ⁇ , 1 36 2, 1 38 ⁇ , 1 38 2) , and provided a stator of the voice coil motor 30 (armature Yuni' Bok 1 4 1 40 2), Y-axis linear motors 1 361, 1 36 2, 1 38i, 1 382 each moving element (magnetic pole units 26 26 2 , 28i, 28 2), the movable element (permanent magnet 30 of ⁇ Pi voice coil motor 30), that provided in the reticle stage RS T.
- the reticle stage RS T is Y-axis linear motor 1 36 ⁇ , 1 36 2, 1 38 ⁇ , by 1 38 2 or the voice coil motor 30 when it is moving drive in the Y-axis direction or X-axis direction, corresponding to the driving force reaction force stator (linear guide 1 36L 1 36 2, 1 38 ⁇ , 1 38 2) arising in or stator (armature units 1 4 1 40 2) (acts). Due to the action of this reaction force, the frame member 18 moves in the three-degree-of-freedom direction in the two-dimensional plane substantially according to the law of conservation of momentum. That is, the frame member 18 serves as a counter mass.
- the frame member 18 is provided so as to surround the reticle stage RST, the frame member 18 is inevitably increased in size and the mass is increased. Since a large mass ratio with the restage RST can be secured, the movement stroke of the frame member 18 is relatively short. Also, even when the frame member 18 is enlarged, there is almost no problem.
- reticle stage RS ⁇ has a mounting surface for reticle R formed on a part of neutral plane CT, and the ⁇ axis position of the optical path of the measurement beam from reticle interferometer system 69 is
- the position of the reticle stage RS ⁇ is different from that of the conventional example described with reference to Fig. Both the position measurement error and the Abbe error that is different from the displacement between the measurement axis and the pattern surface of the reticle R can be reduced to almost zero. It becomes possible to measure.
- the first drive mechanism for driving the reticle stage RST is symmetrically arranged on one side and the other side in the X-axis direction of the mounting area of the reticle R of the reticle stage RST with respect to the neutral plane CT.
- Each pair of movers (magnetic pole units 26 26 2 , 2 Si, 28 2 ) and two pairs of stators that individually generate a driving force in the axial direction in cooperation with the respective movers.
- the movable element, the reticle stage body, and the movable element have a laminated structure on either one side or the other side in the X-axis direction, and the movable elements are arranged symmetrically with respect to the neutral plane CT.
- the neutral plane of the reticle stage RST substantially coincides with the height position of the center of gravity (the position in the Z-axis direction).
- the resultant of the driving forces in the Y-axis direction generated on the reticle stage RST acts on the center of gravity of the reticle stage RST.
- the stators (linear guides 136 ⁇ , 136 2 , 138i, 138 2 ) of each pair are arranged symmetrically with respect to the neutral plane CT, the reticle stage R ST Driving in Y-axis direction along reticle stage surface plate 16
- Riniagai de 1 36, 1 36 2, 1 38 ⁇ , 1 38 2 Riniagai de 1 36L 1 36 1 38 ⁇ by electric current supplied to the armature co I le, heat generated by the 1 38 2, the reticle stage RS Even if T is heated, the deformation of the reticle stage body 22 due to the bimetallic effect that occurs above and below the neutral plane CT cancels out the reticle due to the bimetallic effect in the heating part. There is no deformation of the stage RST.
- the position of reticle stage RST in the Y-axis direction is measured by a pair of Y-axis interferometers 69Y via a pair of retroreflectors 32u 32.2 provided on reticle stage RS, and the reticle stage is determined based on the measurement results. Since the position of the RST in the Y-axis direction is controlled, the position controllability of the reticle stage RST in the Y-axis direction can be made extremely good.
- the reflecting surface irradiated with the measurement beam in the X-axis direction from the reticle interferometer system 69 is a linear surface that drives the reticle stage RST in the Y-axis direction.
- motor 1 36Iota since is provided outside the 1 36 2, even if the temperature fluctuation is generated in a gas near the Riniamota due to heat generation of the Riniamota, any of its X-axis direction of the measurement beam There is no impact. This makes it possible to measure the position of the reticle stage RS in the X-axis direction with high accuracy using the X-axis interferometer 69X.
- the measurement beam in the Y-axis direction from the reticle interferometer system 69 is, as usual, reflected on the reflection surface of the retro-reflector 32 322 provided on the reticle stage RST (the linear The reticle stage RST in the Y-axis and X-axis directions can be measured with high accuracy. As a result, it is possible to improve the position controllability of the reticle stage RST.
- the reflecting surface 124 m on which the measurement beam in the X-axis direction from the reticle interferometer system 69 is irradiated is different from the plate-like portion 24 A on which the reticle R of the reticle stage RST is mounted.
- a reinforcing portion 124b is provided on each side, and both ends of the reinforcing portion 124b are connected to the plate portion 24A via elastic hinge portions 124c and 124d. For this reason, the deformation of the portion between the elastic hinge portions 124c and 124d of the reflecting surface, that is, the portion mainly used for controlling the position of the reticle stage RST should be minimized. Can be.
- the end portion of the one side and the other side of the Y-axis direction of the reticle stage RST is provided extending portion 2 4 ( ⁇ ⁇ 2 4 D 2 extending in the Y-axis Direction respectively, on the bottom surface of the reticle stage RST
- a gas static pressure bearing is formed over the entire area in the longitudinal direction from the one-side extending portion to the other-side extending portion, and the gas static pressure bearing is formed without a pipe from the reticle stage base 16.
- the reticle stage RST is not driven while dragging the pipe, and during exposure in which the reticle stage moves at a constant speed. It requires very little thrust to maintain fast motion, and is therefore immune to linear motor thrust ripples and other effects.
- the position controllability of the reticle stage RST can be extremely excellent, so that the synchronization control accuracy between the reticle stage RST and the wafer stage WST can be improved. This allows the pattern formed on the reticle R to be accurately placed on the wafer W. It becomes possible to transfer.
- the space including the optical path of the illumination light IL between the illumination unit IOP and the projection optical system unit PL has a low absorption gas (the characteristic of absorbing the illumination light IL is lower than that of air).
- the purge space is purged with a small specific gas, and the frame 18 also serves as a partition wall for isolating the purge space from the outside air, so that the space around the reticle stage RST can be easily purged.
- the absorption of the illumination light I in the purge space can be suppressed as much as possible.
- the first drive mechanism for driving the reticle stage RST in the Y-axis direction is constituted by a pair of left and right Y-axis linear motors
- the second drive mechanism for driving the reticle stage RST in the X-axis direction is a voice coil.
- the motor is configured, it is needless to say that the present invention is not limited to this.
- the hollow portion CH is formed in the mirror portion 24B
- the hollow portion may not be formed in the mirror portion 24B.
- the present invention is not limited to this. It is good to do it.
- the illumination system side plate 14 is provided above the reticle stage device 12.
- a window through which the illumination light is transmitted is provided above the frame member (the illumination system side).
- the frame member 18 surrounding the reticle stage RST also functions as a partition wall for isolating the space around the reticle stage from the outside air
- the present invention is not limited to this. Instead, the reticle stage RST and the frame member are housed in a chamber (reticle stage chamber), and the space around the reticle stage RST is replaced with a low-absorbing gas.
- the frame-shaped member only needs to be formed with a predetermined distance between the reticle stage base and the reticle stage so as to be movable in a two-dimensional plane (in the XY plane). There is no need to provide a hydrostatic bearing mechanism on the top surface of the member.
- the stage device according to the present invention is applied to a reticle stage device of a scanning type VUV exposure apparatus.
- the present invention is not limited to this.
- a mask stage device such as a proximity type aligner mask stage device that transfers the mask pattern to the substrate by bringing the mask and substrate into close contact with each other without using a system, or a batch transfer scanning exposure device for liquid crystal.
- stage apparatus can be applied to an electron beam exposure apparatus of the EBPS type or an exposure apparatus such as a so-called EUVL which uses light in a soft X-ray region having a wavelength of about 5 to 30 nm as exposure light.
- any device that can drive a moving body on which an object (sample) is mounted in a predetermined first axis direction and requires minute driving in a second axis direction and a rotation direction orthogonal to the first axis direction.
- the stage apparatus according to the present invention can be suitably applied to not only the exposure apparatus but also other precision machines.
- the illumination light I teeth A r F excimer laser beam (wavelength 1 9 3 nm) or F 2 laser beam (wavelength 1 5 7 nm) vacuum ultraviolet light such as, K r F excimer Far ultraviolet light such as laser light (wavelength 248 nm) and ultraviolet bright lines (g-line, i-line, etc.) from an ultra-high pressure mercury lamp were used, but this is not a limitation.
- K r F excimer Far ultraviolet light such as laser light (wavelength 248 nm) and ultraviolet bright lines (g-line, i-line, etc.) from an ultra-high pressure mercury lamp were used, but this is not a limitation.
- Other vacuum ultraviolet light such as light (wavelength 126 nm) may be used.
- vacuum ultraviolet light is not limited to the above laser light
- a single-wavelength laser light in the infrared or visible range oscillated from a DFB semiconductor laser or a fiber laser may be, for example, erbium (Er) (or erbium).
- ytterbium both Y b
- Y b may be amplified by a fiber-amplifier doped with harmonics and converted to ultraviolet light using a non-linear optical crystal.
- the illumination light I instead, X-rays (including EUV light) or charged particle beams such as electron beams and ion beams may be used.
- the projection optical system may be either a unit magnification system or an enlargement system.
- vacuum ultraviolet light such as Ar 2 laser light
- the projection optical system uses, for example, vacuum ultraviolet light such as Ar 2 laser light as the illumination light I, for example, Japanese Patent Application Laid-Open No. HEI 3-282527 and U.S. Pat. No. 5,220,454, JP-A-8-171504 and corresponding US Pat. Nos. 5,668,672, and — Refractive optical elements and reflective optical elements (such as M-plane mirrors and beam splitters) disclosed in US Pat. No. 2,019,955 and corresponding US Pat. Nos.
- catadioptric system catadioptric system
- catoptric optical system consisting only of a reflective optical element
- the present invention is applied to an exposure apparatus for manufacturing a semiconductor.
- the present invention is not limited to this.
- the present invention is applied to a liquid crystal display device for transferring a liquid crystal display element pattern to a square glass plate.
- the present invention can be widely applied to an exposure apparatus, an exposure apparatus for manufacturing a thin-film magnetic head, an image sensor, an organic EL, a micromachine, a DNA chip, and the like.
- micro devices such as semiconductor devices
- glass substrates or silicon wafers are used to manufacture reticles or masks used in light exposure equipment, EUV exposure equipment, X-ray exposure equipment, electron beam exposure equipment, etc.
- the present invention can also be applied to an exposure apparatus that transfers a circuit pattern to a substrate.
- a transmission type reticle is generally used, and a reticle substrate is made of quartz glass, fluorine-doped quartz glass, or fluorite. , Magnesium fluoride, quartz, or the like is used.
- the present invention may be applied to an immersion exposure apparatus disclosed in, for example, International Publication WO99Z4954, in which a liquid is filled between a projection optical system unit PL and a wafer. good.
- FIG. 10 shows a flowchart of an example of manufacturing devices (semiconductor chips such as IC and LSI, liquid crystal panels, CCDs, thin-film magnetic heads, micromachines, etc.).
- a function / performance design of a device for example, a circuit design of a semiconductor device, etc.
- a pattern for realizing the function is performed.
- step 202 mask manufacturing step
- step 203 wafer manufacturing step
- a wafer is manufactured using a material such as silicon.
- step 204 wafer processing step
- step 204 wafer processing step
- step 205 device assembly step
- step 205 includes, as necessary, processes such as a dicing process, a bonding process, and a packaging process (chip encapsulation).
- step 206 inspection step
- inspections such as an operation confirmation test and a durability test of the device manufactured in step 205 are performed. After these steps, the device is completed and shipped.
- FIG. 11 shows a detailed flow example of the above step 204 in the case of a semiconductor device.
- Step 2 1 1 oxidation step
- Step 2 1 2 CVD step
- step 2 13 electrode formation step
- step 2 14 ion implantation step
- ions are implanted into the wafer.
- steps 21 1 to 21 4 constitutes a pre-processing step of each stage of the wafer processing, and is selected and executed according to a necessary process in each stage.
- step 2 15 resist forming step
- step 2 1 6 exposure step
- step 2 1 7 development step is transferred to the wafer to the circuit pattern of the mask by the exposure apparatus in the exposure apparatus 1 0
- step 218 etching step
- step 219 resist removing step
- the exposure apparatus of the present invention such as the exposure apparatus 10 of the above embodiment is used in the exposure step (step 2 16).
- the productivity including yield of highly integrated devices can be improved.
- the stage device of the present invention can be used for placing and moving an object. Suitable for. Further, the exposure apparatus of the present invention is suitable for transferring a pattern formed on a mask to a photosensitive object. Further, the device manufacturing method of the present invention is suitable for the production of micro devices.
Landscapes
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Reciprocating, Oscillating Or Vibrating Motors (AREA)
- Linear Motors (AREA)
Abstract
Description
Claims
Priority Applications (9)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2005504935A JP4356114B2 (ja) | 2003-02-17 | 2004-01-26 | ステージ装置及び露光装置、並びにデバイス製造方法 |
KR1020057011599A KR101134958B1 (ko) | 2003-02-17 | 2004-01-26 | 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법 |
EP15196737.9A EP3038138B1 (en) | 2003-02-17 | 2004-01-26 | Exposure apparatus, and method of manufacturing devices |
EP04705159.4A EP1596423B1 (en) | 2003-02-17 | 2004-01-26 | Stage device, exposure device, and device manufacturing method |
EP18172883.3A EP3401947B1 (en) | 2003-02-17 | 2004-01-26 | Exposure apparatus, and method of manufacturing devices |
KR1020117031715A KR101313509B1 (ko) | 2003-02-17 | 2004-01-26 | 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법 |
US11/147,287 US7489389B2 (en) | 2003-02-17 | 2005-06-08 | Stage device with frame-shaped member movable in at least three degrees of freedom within a two-dimensional plane |
US12/318,575 US9152060B2 (en) | 2003-02-17 | 2008-12-31 | Stage device with two pairs of movable elements and two pairs of stators, exposure apparatus, and method of manufacturing devices |
US14/844,990 US10120288B2 (en) | 2003-02-17 | 2015-09-03 | Stage device, exposure apparatus, and method of manufacturing devices |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003037929 | 2003-02-17 | ||
JP2003-037929 | 2003-02-17 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/147,287 Continuation-In-Part US7489389B2 (en) | 2003-02-17 | 2005-06-08 | Stage device with frame-shaped member movable in at least three degrees of freedom within a two-dimensional plane |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2004073053A1 true WO2004073053A1 (ja) | 2004-08-26 |
Family
ID=32866377
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2004/000655 WO2004073053A1 (ja) | 2003-02-17 | 2004-01-26 | ステージ装置及び露光装置、並びにデバイス製造方法 |
Country Status (8)
Country | Link |
---|---|
US (3) | US7489389B2 (ja) |
EP (4) | EP3038138B1 (ja) |
JP (3) | JP4356114B2 (ja) |
KR (2) | KR101313509B1 (ja) |
CN (3) | CN101216673B (ja) |
HK (2) | HK1221553A1 (ja) |
TW (1) | TWI338323B (ja) |
WO (1) | WO2004073053A1 (ja) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006295160A (ja) * | 2005-04-05 | 2006-10-26 | Asml Netherlands Bv | リソグラフィ装置及び物体テーブルを位置決めするための位置決めデバイスを利用したデバイス製造方法 |
JP2010135596A (ja) * | 2008-12-05 | 2010-06-17 | Nikon Corp | ステージ装置、露光装置及びデバイス製造方法 |
WO2010131485A1 (ja) * | 2009-05-15 | 2010-11-18 | 株式会社ニコン | 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法 |
WO2011016255A1 (ja) * | 2009-08-07 | 2011-02-10 | 株式会社ニコン | 露光装置及び露光方法、並びにデバイス製造方法 |
JP5040657B2 (ja) * | 2005-10-24 | 2012-10-03 | 株式会社ニコン | 露光装置、露光方法、デバイスの製造方法、デバイス組立方法 |
US8638422B2 (en) | 2005-03-18 | 2014-01-28 | Nikon Corporation | Exposure method, exposure apparatus, method for producing device, and method for evaluating exposure apparatus |
EP1806828A4 (en) * | 2004-10-01 | 2016-11-09 | Nikon Corp | LINEAR MOTOR, FLOOR APPARATUS AND EXPOSURE APPARATUS |
JP2018142023A (ja) * | 2010-09-07 | 2018-09-13 | 株式会社ニコン | 移動体装置及び露光装置 |
DE102006052140B4 (de) * | 2005-11-04 | 2019-01-17 | Nuflare Technology, Inc. | Ladungsteilchenstrahl-Schreibverfahren und Ladungsteilchenstrahl -Schreibvorrichtung |
DE102006052015B4 (de) | 2005-11-04 | 2019-03-21 | Nuflare Technology, Inc. | Positionsmessvorrichtung und Positionsabweichungsmessverfahren |
WO2024128069A1 (ja) * | 2022-12-16 | 2024-06-20 | 株式会社ニコン | 物体保持装置、露光装置、物体移動方法、及び物体保持システム |
Families Citing this family (416)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI338323B (en) | 2003-02-17 | 2011-03-01 | Nikon Corp | Stage device, exposure device and manufacguring method of devices |
US7375800B2 (en) * | 2004-09-09 | 2008-05-20 | Nikon Corporation | Non-contact pneumatic transfer for stages with small motion |
US7417714B2 (en) * | 2004-11-02 | 2008-08-26 | Nikon Corporation | Stage assembly with measurement system initialization, vibration compensation, low transmissibility, and lightweight fine stage |
US7869000B2 (en) * | 2004-11-02 | 2011-01-11 | Nikon Corporation | Stage assembly with lightweight fine stage and low transmissibility |
KR20070085764A (ko) * | 2004-11-04 | 2007-08-27 | 가부시키가이샤 니콘 | 미동 스테이지 z 지지 장치 |
JP2006211873A (ja) * | 2005-01-31 | 2006-08-10 | Canon Inc | 移動体制御装置及び移動体制御方法 |
EP1865327A1 (en) * | 2005-02-23 | 2007-12-12 | Kyocera Corporation | Speed detection device for movable body and drive stage using the same |
CN100514193C (zh) * | 2005-03-29 | 2009-07-15 | 株式会社尼康 | 曝光装置、曝光装置的制造方法以及微元件的制造方法 |
US20070267995A1 (en) * | 2006-05-18 | 2007-11-22 | Nikon Corporation | Six Degree-of-Freedom Stage Apparatus |
US7502103B2 (en) * | 2006-05-31 | 2009-03-10 | Asml Netherlands B.V. | Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate |
US7804582B2 (en) * | 2006-07-28 | 2010-09-28 | Asml Netherlands B.V. | Lithographic apparatus, method of calibrating a lithographic apparatus and device manufacturing method |
US20080073596A1 (en) * | 2006-08-24 | 2008-03-27 | Asml Netherlands B.V. | Lithographic apparatus and method |
EP2068349A4 (en) * | 2006-09-29 | 2011-03-30 | Nikon Corp | STAGE EQUIPMENT AND EXPOSURE DEVICE |
US7603785B2 (en) * | 2007-02-20 | 2009-10-20 | Electro Scientific Industries, Inc. | Air bearing assembly for guiding motion of optical components of a laser processing system |
US7889322B2 (en) * | 2007-02-20 | 2011-02-15 | Electro Scientific Industries, Inc. | Specimen inspection stage implemented with processing stage coupling mechanism |
US7886449B2 (en) * | 2007-02-20 | 2011-02-15 | Electro Scientific Industries, Inc. | Flexure guide bearing for short stroke stage |
US8749753B2 (en) * | 2007-04-27 | 2014-06-10 | Nikon Corporation | Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method |
US20080285004A1 (en) * | 2007-05-18 | 2008-11-20 | Nikon Corporation | Monolithic, Non-Contact Six Degree-of-Freedom Stage Apparatus |
WO2008149853A1 (ja) * | 2007-06-04 | 2008-12-11 | Nikon Corporation | 環境制御装置、ステージ装置、露光装置、及びデバイス製造方法 |
EP2203784B1 (en) * | 2007-10-19 | 2011-08-10 | Koninklijke Philips Electronics N.V. | Displacement device with precision position measurement |
US8964166B2 (en) * | 2007-12-17 | 2015-02-24 | Nikon Corporation | Stage device, exposure apparatus and method of producing device |
US8063630B2 (en) * | 2008-03-14 | 2011-11-22 | Tdk Corporation | Testing method for thin-film magnetic head and jig used therefor |
JPWO2009125867A1 (ja) * | 2008-04-11 | 2011-08-04 | 株式会社ニコン | ステージ装置、露光装置、及びデバイス製造方法 |
JP5146183B2 (ja) * | 2008-07-31 | 2013-02-20 | 株式会社ニコン | 露光方法、デバイスの製造方法及び露光装置 |
US9304385B2 (en) * | 2008-09-16 | 2016-04-05 | Nikon Corporation | Exposure method and device manufacturing method including selective deformation of a mask |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8659746B2 (en) * | 2009-03-04 | 2014-02-25 | Nikon Corporation | Movable body apparatus, exposure apparatus and device manufacturing method |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
TWI579659B (zh) * | 2009-08-07 | 2017-04-21 | 尼康股份有限公司 | An exposure apparatus, and an element manufacturing method |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
CN102375345B (zh) * | 2010-08-18 | 2013-09-11 | 上海微电子装备有限公司 | 可动光学元件调节驱动装置 |
NL2007279A (en) * | 2010-09-28 | 2012-03-29 | Asml Netherlands Bv | Method for calibrating a target surface of a position measurement system, position measurement system, and lithographic apparatus. |
US9746787B2 (en) | 2011-02-22 | 2017-08-29 | Nikon Corporation | Holding apparatus, exposure apparatus and manufacturing method of device |
CN102789136B (zh) * | 2011-05-19 | 2014-08-20 | 上海微电子装备有限公司 | 气浮支撑系统 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP5689047B2 (ja) * | 2011-10-12 | 2015-03-25 | 東京エレクトロン株式会社 | 基体処理システム用の基体搬送装置 |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
USD702245S1 (en) * | 2012-01-11 | 2014-04-08 | Victor Susman | Scanning frame |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US9651849B2 (en) * | 2012-03-16 | 2017-05-16 | Toptica Photonics Ag | Low outgassing resonator |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8772731B2 (en) * | 2012-04-15 | 2014-07-08 | Kla-Tencor Corporation | Apparatus and method for synchronizing sample stage motion with a time delay integration charge-couple device in a semiconductor inspection tool |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
JP6255152B2 (ja) * | 2012-07-24 | 2017-12-27 | 株式会社日立ハイテクノロジーズ | 検査装置 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) * | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
CN104641297B (zh) * | 2012-09-18 | 2016-11-23 | Asml荷兰有限公司 | 平台系统以及包括该平台系统的光刻设备 |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9331624B2 (en) * | 2013-02-25 | 2016-05-03 | National Taiwan University | Thrust ripple mapping system in a precision stage and method thereof |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
TWI486569B (zh) * | 2013-06-10 | 2015-06-01 | Pegatron Corp | 氣密測試設備及氣密測試方法 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
KR101862311B1 (ko) * | 2013-10-22 | 2018-05-29 | 에이피시스템 주식회사 | 기판 처리 장치 |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
WO2015133391A1 (ja) * | 2014-03-07 | 2015-09-11 | 富士フイルム株式会社 | トランジスタの製造方法 |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10082461B2 (en) * | 2014-07-29 | 2018-09-25 | Nanometrics Incorporated | Optical metrology with purged reference chip |
US9958673B2 (en) * | 2014-07-29 | 2018-05-01 | Nanometrics Incorporated | Protected lens cover plate for an optical metrology device |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN107111249A (zh) * | 2014-12-31 | 2017-08-29 | Asml控股股份有限公司 | 具有图案化装置环境的光刻设备 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10018920B2 (en) * | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10451542B2 (en) | 2017-12-05 | 2019-10-22 | Nanometrics Incorporated | Local purge within metrology and inspection systems |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11048178B2 (en) | 2017-12-14 | 2021-06-29 | Asml Netherlands B.V. | Lithographic apparatus with improved patterning performance |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111381452B (zh) * | 2018-12-29 | 2021-11-02 | 上海微电子装备(集团)股份有限公司 | 一种掩模板冷却装置及光刻设备 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN213304093U (zh) * | 2021-03-19 | 2021-05-28 | 台湾积体电路制造股份有限公司 | 晶圆位置采集机构及晶圆纠偏系统 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP2023104051A (ja) * | 2022-01-17 | 2023-07-28 | 株式会社日立ハイテク | ステージ装置、荷電粒子線装置及び真空装置 |
TWI836925B (zh) * | 2023-03-03 | 2024-03-21 | 家碩科技股份有限公司 | 光罩盒次元件的檢測裝置 |
TWI847575B (zh) * | 2023-03-03 | 2024-07-01 | 家碩科技股份有限公司 | 光罩盒表面的光學檢查裝置及光罩盒表面的光學檢查方法 |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH11189332A (ja) * | 1997-12-26 | 1999-07-13 | Canon Inc | ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法 |
WO1999049504A1 (fr) | 1998-03-26 | 1999-09-30 | Nikon Corporation | Procede et systeme d'exposition par projection |
WO1999066542A1 (fr) * | 1998-06-17 | 1999-12-23 | Nikon Corporation | Procede et dispositif d'exposition |
JP2001228275A (ja) * | 1999-12-23 | 2001-08-24 | Nikon Corp | 露光装置用ステージ組立体 |
JP2002110523A (ja) * | 2000-09-29 | 2002-04-12 | Sumitomo Heavy Ind Ltd | 露光装置 |
JP2002175963A (ja) * | 2000-12-05 | 2002-06-21 | Nikon Corp | ステージ装置とその位置制御方法および露光装置並びに露光方法 |
JP2002217082A (ja) * | 2001-01-12 | 2002-08-02 | Nikon Corp | ステージ装置及び露光装置 |
JP2003309055A (ja) * | 2002-04-12 | 2003-10-31 | Nikon Corp | 露光方法及び装置、並びにデバイス製造方法 |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6144429A (ja) | 1984-08-09 | 1986-03-04 | Nippon Kogaku Kk <Nikon> | 位置合わせ方法、及び位置合せ装置 |
US4780617A (en) | 1984-08-09 | 1988-10-25 | Nippon Kogaku K.K. | Method for successive alignment of chip patterns on a substrate |
JP2847883B2 (ja) | 1990-03-30 | 1999-01-20 | 株式会社ニコン | 反射屈折縮小投影光学系 |
US5220454A (en) | 1990-03-30 | 1993-06-15 | Nikon Corporation | Cata-dioptric reduction projection optical system |
JP3412704B2 (ja) | 1993-02-26 | 2003-06-03 | 株式会社ニコン | 投影露光方法及び装置、並びに露光装置 |
JP3265503B2 (ja) | 1993-06-11 | 2002-03-11 | 株式会社ニコン | 露光方法及び装置 |
US5534970A (en) | 1993-06-11 | 1996-07-09 | Nikon Corporation | Scanning exposure apparatus |
US5874820A (en) * | 1995-04-04 | 1999-02-23 | Nikon Corporation | Window frame-guided stage mechanism |
US6246204B1 (en) * | 1994-06-27 | 2001-06-12 | Nikon Corporation | Electromagnetic alignment and scanning apparatus |
JPH08171054A (ja) | 1994-12-16 | 1996-07-02 | Nikon Corp | 反射屈折光学系 |
JPH1020195A (ja) | 1996-06-28 | 1998-01-23 | Nikon Corp | 反射屈折光学系 |
JP3155936B2 (ja) * | 1997-06-26 | 2001-04-16 | キヤノン株式会社 | リニアモータとステージ装置及びこれを用いた走査型露光装置やデバイス製造方法 |
JP3548411B2 (ja) * | 1997-12-26 | 2004-07-28 | キヤノン株式会社 | ステージ装置、およびこれを用いた露光装置、ならびにデバイス製造方法 |
JP3129293B2 (ja) * | 1998-08-13 | 2001-01-29 | 日本電気株式会社 | 液晶表示装置 |
JP2000077503A (ja) * | 1998-08-28 | 2000-03-14 | Nikon Corp | ステージ装置及び露光装置 |
TWI264617B (en) * | 1999-12-21 | 2006-10-21 | Asml Netherlands Bv | Balanced positioning system for use in lithographic apparatus |
JP4945845B2 (ja) * | 2000-03-31 | 2012-06-06 | 株式会社ニコン | 光学素子保持装置、鏡筒及び露光装置並びにマイクロデバイスの製造方法。 |
US20020075467A1 (en) * | 2000-12-20 | 2002-06-20 | Nikon Corporation | Exposure apparatus and method |
TWI338323B (en) | 2003-02-17 | 2011-03-01 | Nikon Corp | Stage device, exposure device and manufacguring method of devices |
-
2003
- 2003-12-16 TW TW092135501A patent/TWI338323B/zh not_active IP Right Cessation
-
2004
- 2004-01-26 JP JP2005504935A patent/JP4356114B2/ja not_active Expired - Fee Related
- 2004-01-26 CN CN2007101638289A patent/CN101216673B/zh not_active Expired - Lifetime
- 2004-01-26 KR KR1020117031715A patent/KR101313509B1/ko active IP Right Grant
- 2004-01-26 WO PCT/JP2004/000655 patent/WO2004073053A1/ja active Application Filing
- 2004-01-26 EP EP15196737.9A patent/EP3038138B1/en not_active Expired - Lifetime
- 2004-01-26 CN CNB2004800015124A patent/CN100380585C/zh not_active Expired - Lifetime
- 2004-01-26 KR KR1020057011599A patent/KR101134958B1/ko active IP Right Grant
- 2004-01-26 EP EP04705159.4A patent/EP1596423B1/en not_active Expired - Lifetime
- 2004-01-26 EP EP18172883.3A patent/EP3401947B1/en not_active Expired - Lifetime
- 2004-01-26 EP EP12191221.6A patent/EP2560192B1/en not_active Expired - Lifetime
- 2004-01-26 CN CN2010106084746A patent/CN102103331B/zh not_active Expired - Lifetime
-
2005
- 2005-06-08 US US11/147,287 patent/US7489389B2/en not_active Expired - Fee Related
-
2008
- 2008-12-31 US US12/318,575 patent/US9152060B2/en not_active Expired - Fee Related
-
2009
- 2009-04-08 JP JP2009093517A patent/JP4915431B2/ja not_active Expired - Fee Related
- 2009-04-08 JP JP2009093518A patent/JP4985691B2/ja not_active Expired - Fee Related
-
2015
- 2015-09-03 US US14/844,990 patent/US10120288B2/en not_active Expired - Fee Related
-
2016
- 2016-08-15 HK HK16109693.3A patent/HK1221553A1/zh not_active IP Right Cessation
-
2018
- 2018-12-10 HK HK18115766.0A patent/HK1256632A1/zh not_active IP Right Cessation
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH11189332A (ja) * | 1997-12-26 | 1999-07-13 | Canon Inc | ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法 |
WO1999049504A1 (fr) | 1998-03-26 | 1999-09-30 | Nikon Corporation | Procede et systeme d'exposition par projection |
WO1999066542A1 (fr) * | 1998-06-17 | 1999-12-23 | Nikon Corporation | Procede et dispositif d'exposition |
EP1134793A1 (en) | 1998-06-17 | 2001-09-19 | Nikon Corporation | Exposure method and exposure apparatus |
JP2001228275A (ja) * | 1999-12-23 | 2001-08-24 | Nikon Corp | 露光装置用ステージ組立体 |
JP2002110523A (ja) * | 2000-09-29 | 2002-04-12 | Sumitomo Heavy Ind Ltd | 露光装置 |
JP2002175963A (ja) * | 2000-12-05 | 2002-06-21 | Nikon Corp | ステージ装置とその位置制御方法および露光装置並びに露光方法 |
JP2002217082A (ja) * | 2001-01-12 | 2002-08-02 | Nikon Corp | ステージ装置及び露光装置 |
JP2003309055A (ja) * | 2002-04-12 | 2003-10-31 | Nikon Corp | 露光方法及び装置、並びにデバイス製造方法 |
Non-Patent Citations (1)
Title |
---|
See also references of EP1596423A4 |
Cited By (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1806828A4 (en) * | 2004-10-01 | 2016-11-09 | Nikon Corp | LINEAR MOTOR, FLOOR APPARATUS AND EXPOSURE APPARATUS |
US8638422B2 (en) | 2005-03-18 | 2014-01-28 | Nikon Corporation | Exposure method, exposure apparatus, method for producing device, and method for evaluating exposure apparatus |
JP2009278127A (ja) * | 2005-04-05 | 2009-11-26 | Asml Netherlands Bv | リソグラフィ装置及び物体テーブルを位置決めするための位置決めデバイスを利用したデバイス製造方法 |
JP2006295160A (ja) * | 2005-04-05 | 2006-10-26 | Asml Netherlands Bv | リソグラフィ装置及び物体テーブルを位置決めするための位置決めデバイスを利用したデバイス製造方法 |
JP5040657B2 (ja) * | 2005-10-24 | 2012-10-03 | 株式会社ニコン | 露光装置、露光方法、デバイスの製造方法、デバイス組立方法 |
DE102006052015B4 (de) | 2005-11-04 | 2019-03-21 | Nuflare Technology, Inc. | Positionsmessvorrichtung und Positionsabweichungsmessverfahren |
DE102006052140B4 (de) * | 2005-11-04 | 2019-01-17 | Nuflare Technology, Inc. | Ladungsteilchenstrahl-Schreibverfahren und Ladungsteilchenstrahl -Schreibvorrichtung |
JP2010135596A (ja) * | 2008-12-05 | 2010-06-17 | Nikon Corp | ステージ装置、露光装置及びデバイス製造方法 |
KR101869463B1 (ko) * | 2009-05-15 | 2018-06-20 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
KR20180067737A (ko) * | 2009-05-15 | 2018-06-20 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
JP5626206B2 (ja) * | 2009-05-15 | 2014-11-19 | 株式会社ニコン | 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法 |
KR102211255B1 (ko) | 2009-05-15 | 2021-02-02 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
KR20190135553A (ko) * | 2009-05-15 | 2019-12-06 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
JP2016145996A (ja) * | 2009-05-15 | 2016-08-12 | 株式会社ニコン | 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法 |
KR102051842B1 (ko) | 2009-05-15 | 2019-12-04 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
KR20170005161A (ko) * | 2009-05-15 | 2017-01-11 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
KR101693168B1 (ko) | 2009-05-15 | 2017-01-17 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
WO2010131485A1 (ja) * | 2009-05-15 | 2010-11-18 | 株式会社ニコン | 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法 |
KR20120041177A (ko) * | 2009-05-15 | 2012-04-30 | 가부시키가이샤 니콘 | 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법 |
US9874823B2 (en) | 2009-08-07 | 2018-01-23 | Nikon Corporation | Exposure apparatus, exposure method, and device manufacturing method |
WO2011016255A1 (ja) * | 2009-08-07 | 2011-02-10 | 株式会社ニコン | 露光装置及び露光方法、並びにデバイス製造方法 |
JP5618261B2 (ja) * | 2009-08-07 | 2014-11-05 | 株式会社ニコン | 露光装置及びデバイス製造方法 |
JP2014207479A (ja) * | 2009-08-07 | 2014-10-30 | 株式会社ニコン | 露光装置及び露光方法、並びにデバイス製造方法 |
JP2015228519A (ja) * | 2009-08-07 | 2015-12-17 | 株式会社ニコン | 露光装置及び露光方法、並びにデバイス製造方法 |
KR101499285B1 (ko) * | 2009-08-07 | 2015-03-05 | 가부시키가이샤 니콘 | 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법 |
JP2018142023A (ja) * | 2010-09-07 | 2018-09-13 | 株式会社ニコン | 移動体装置及び露光装置 |
WO2024128069A1 (ja) * | 2022-12-16 | 2024-06-20 | 株式会社ニコン | 物体保持装置、露光装置、物体移動方法、及び物体保持システム |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2004073053A1 (ja) | ステージ装置及び露光装置、並びにデバイス製造方法 | |
JP5773031B2 (ja) | 露光装置及び露光方法、並びにデバイス製造方法 | |
EP2006884A2 (en) | Mobile device, exposure device, exposure method, micro-motion body, and device manufacturing method | |
JP4362862B2 (ja) | ステージ装置及び露光装置 | |
US7280185B2 (en) | Stage system including fine-motion cable unit, exposure apparatus, and method of manufacturing device | |
JP2007274881A (ja) | 移動体装置、微動体及び露光装置 | |
JP4868113B2 (ja) | 支持装置、ステージ装置及び露光装置 | |
WO2004100237A1 (ja) | ステージ装置及び露光装置、並びにデバイス製造方法 | |
JP5299638B2 (ja) | 露光装置及びデバイス製造方法 | |
JP2006303312A (ja) | ステージ装置及び露光装置 | |
JP2004349285A (ja) | ステージ装置及び露光装置、並びにデバイス製造方法 | |
JP5233483B2 (ja) | ステージ装置及び露光装置並びにデバイス製造方法 | |
WO2005036618A1 (ja) | ステージ装置及び露光装置 | |
KR20150003312A (ko) | 이동체 장치, 노광 장치, 및 디바이스 제조 방법 | |
JP2010182788A (ja) | ステージ装置及び露光装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 20048015124 Country of ref document: CN |
|
WWE | Wipo information: entry into national phase |
Ref document number: 11147287 Country of ref document: US |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020057011599 Country of ref document: KR |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2004705159 Country of ref document: EP |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2005504935 Country of ref document: JP |
|
WWP | Wipo information: published in national office |
Ref document number: 1020057011599 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 2004705159 Country of ref document: EP |