WO2005036618A1 - ステージ装置及び露光装置 - Google Patents

ステージ装置及び露光装置 Download PDF

Info

Publication number
WO2005036618A1
WO2005036618A1 PCT/JP2004/014280 JP2004014280W WO2005036618A1 WO 2005036618 A1 WO2005036618 A1 WO 2005036618A1 JP 2004014280 W JP2004014280 W JP 2004014280W WO 2005036618 A1 WO2005036618 A1 WO 2005036618A1
Authority
WO
WIPO (PCT)
Prior art keywords
reticle
stage
reticle stage
axis
temperature
Prior art date
Application number
PCT/JP2004/014280
Other languages
English (en)
French (fr)
Inventor
Yuichi Shibazaki
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2005514554A priority Critical patent/JPWO2005036618A1/ja
Publication of WO2005036618A1 publication Critical patent/WO2005036618A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature

Definitions

  • the present invention relates to a stage device for driving an object, for example, a photolithography apparatus for manufacturing a device such as a semiconductor device, an imaging device, a liquid crystal display device, or a thin-film magnetic head. It is suitable for use on a mask stage or a substrate stage of an exposure apparatus used for transfer onto a substrate.
  • a pattern of a reticle (or a photomask or the like) as a mask is exposed to a resist as a substrate (a photosensitive substrate or a sensitive object) via a projection optical system.
  • a batch exposure type exposure device such as a stepper or a scanning exposure type exposure device such as a scanning stepper is used to transfer the image onto each shot area of a wafer (or a glass plate or the like) coated with.
  • These exposure apparatuses are provided with a reticle stage system, a wafer, and a stage system for positioning and moving the reticle and the wafer, respectively.
  • non-contact devices such as actuators (voice coil motors) mainly using linear motors or Lorentz forces are used as driving devices for the stage systems.
  • actuators voice coil motors
  • linear motors linear motors
  • Lorentz forces are used as driving devices for the stage systems.
  • Drives that can generate high thrust between the stator and mover are often used.
  • a reticle stage system of a scanning exposure type exposure apparatus it is common to arrange an air pad of an air bearing near a reticle in order to secure accuracy within a plan.
  • the movable element of the linear motor for driving the movable stage holding the reticle in the scanning direction is disposed outside the air pad as viewed from the reticle.
  • a movable stage (wafer table) for holding a wafer is driven in two orthogonal directions by two sets of linear motors.
  • the amount of heat generated by the coil increases in the process of repeating positioning and moving the force at which the coil (or winding) is mounted on the stator or the mover.
  • a wafer for holding a wafer and measuring position When the heat generated by the coil is transferred to the wafer table on which the rod-shaped moving mirror of the one-interferometer is fixed, the wafer table gradually expands, and the positional relationship between the wafer and the moving mirror slightly changes. Also, slight thermal deformation of the moving mirror itself occurs.
  • the positioning accuracy or the synchronization accuracy at the time of scanning exposure deteriorates, and the exposure accuracy such as overlay accuracy and resolution decreases.
  • a decrease in exposure accuracy due to the influence of heat generated by a stage-based drive mechanism which can be ignored in the past, is becoming a problem.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2001-244196
  • the movable stage may have a configuration in which an optical member such as a beam splitter is installed instead of the movable mirror. If the temperature of the optical member changes, a measurement error may occur due to a change in the refractive index. Therefore, it is desirable to suppress the temperature change.
  • the temperature of the moving mirror may slightly change due to radiation heat as much as the coil force.
  • the temperature of the movable mirror can be controlled, and when the wafer table is moved, It is desirable to add a temperature control mechanism without applying a load.
  • the movable element of the linear motor since the movable element of the linear motor is usually disposed outside the air pad as viewed from the reticle, the movable element becomes heavy, and Vibration modes due to pad stiffness existed in a relatively low frequency range.
  • the vibration mode has been an obstacle in improving synchronization accuracy. Disclosure of the invention
  • the present invention provides a stage technology capable of controlling the temperature of an optical member without complicating the structure of a movable portion itself having an optical member such as a movable mirror. This is the first purpose.
  • the temperature of an optical member installed on the movable stage can be controlled, and the movable stage can be driven more stably.
  • the second purpose is to provide stage technology.
  • a further object of the present invention is to provide an exposure technique capable of realizing highly accurate exposure using such a stage technique.
  • the present invention employs the following configurations corresponding to FIGS. 1 to 7 shown in the embodiments.
  • the reference numerals in parentheses attached to each element are merely examples of the element, and do not limit each element.
  • a first stage device is a stage device for driving an object (R), comprising: a movable stage (RST) capable of holding the object and moving along a guide surface (GP); An optical member (31, 32; MX) attached to a specific portion (24B1, 24B2; 24B) of the stage; and at least one of the specific portion and the optical member provided along the movement locus of the optical member. And a temperature control device (44A, 44B, 44B) that controls a temperature of a specific portion by controlling the temperature of at least a part of the heat conductive member. 45, 46B, 47).
  • the temperature of at least a part of the heat conducting member is controlled to indirectly control the movable stage.
  • the temperature of the optical member provided in the specific portion can be controlled.
  • the movable stage has a substantially constant track along the guide surface. You can go back and forth on the street! By arranging the heat conducting member along the path of the reciprocating motion, the temperature of a specific portion of the movable stage can be efficiently controlled.
  • the optical member can constitute a part of an interferometer for measuring the position of the movable stage.
  • an example of the optical member is a moving mirror (MX1) that reflects a measurement light beam.
  • the optical member further includes a reference mirror (MX) arranged at a distance from the movable stage
  • another example of the optical member attached to the movable stage includes a light beam for measurement that moves the movable member. It includes a reflecting member (31, 32) that reflects toward a mirror.
  • the movable stage can be lightweight.
  • the motor further includes a linear motor (76A, 76B, 78A, 78B) for driving the movable stage, and a portion (24B1, 24B2; 24B) of the heat conduction member, the temperature of which is controlled by the temperature control device, is It may be arranged outside the linear motor as viewed from the object. In this configuration, for example, even if an air pad of an air bearing is provided inside the linear motor, the predetermined gap portion effectively acts as a squeeze damper, so that the vibration mode caused by the air pad rigidity is attenuated, and the movable The stage can be driven stably.
  • An example of the predetermined gap is 0 to 20 ⁇ m.
  • the gas layer has a particularly large damping effect.
  • the exposure apparatus illuminates the first object (R) with an exposure beam, and exposes the second object (W) via the first object and the projection system (PL) with the exposure beam.
  • the exposure apparatus includes the stage device, and at least one of the first object and the second object is driven by the stage device.
  • an optical member including an optical member such as a movable mirror without complicating the structure of the movable stage itself. Therefore, using the optical member For example, by performing position measurement, the positioning accuracy of the movable stage, the speed control accuracy, and the like can be improved.
  • the predetermined gap portion is provided with a squeeze damper.
  • the movable stage can be driven more stably. Therefore, by applying the present invention to the stage system of the scanning type exposure apparatus, it becomes possible to improve the scanning speed while improving the stability during synchronous scanning.
  • FIG. 1 is a partially cutaway view showing a schematic configuration of a projection exposure apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a perspective view showing a configuration of a frame-shaped member 18 and a reticle stage RST in FIG.
  • FIG. 3 is an exploded perspective view showing the configuration of reticle stage RST, frame-shaped member 18 and reticle base 16 in FIG.
  • FIG. 4 (A) is a perspective view showing reticle stage RST of FIG. 1, and FIG. 4 (B) is a cross-sectional view of reticle stage RST as viewed in the Y direction.
  • FIG. 5 is a cross-sectional view of the illumination system side plate 14, reticle stage RST, and reticle base 16 of FIG. 1 viewed in the Y direction.
  • FIG. 6 is a plan view showing a main part of a temperature control mechanism of reticle stage RST and rod member 27 of FIG. 1.
  • FIG. 7 (A) is a cross-sectional view of a main part showing a reticle stage system according to a second embodiment of the present invention
  • FIG. 7 (B) shows a reticle stage system according to a third embodiment of the present invention. It is sectional drawing of a principal part.
  • RST reticle stage
  • R reticle
  • MX fixed mirror
  • ⁇ 1 ⁇ ⁇ Optical member support 26A, 28A, 26B, 28 ⁇ ⁇ Motor unit
  • 27 ⁇ Rod member
  • 31 ⁇ 1st optical system
  • 32 ⁇ 2nd optical system
  • 45 Refrigerant supply device
  • 69XL Laser light source
  • 69XA 69 ⁇ ⁇ Resino
  • 76A, 78A, 76B, 78 ⁇ Your motor 136A, 138A, 136mm, 138B... Stator unit
  • the present invention is applied to a stage system provided in a scanning exposure type projection exposure apparatus (scanner stepper) using a step-and-scan method.
  • FIG. 1 shows a schematic configuration of a projection exposure apparatus 10 of the present embodiment.
  • a ⁇ axis is set in parallel with an optical axis ⁇ of a projection optical system PL provided in the projection exposure apparatus 10, and a ⁇ axis is set in FIG.
  • the plane including the X axis and the Y axis (XY plane) in this example is substantially horizontal.
  • the projection exposure apparatus 10 drives the illumination optical system unit IOP and the reticle R on which a circuit pattern as a mask (first object) is formed at a predetermined stroke in the Y direction, And ⁇ ⁇
  • the reticle stage system 12 as a stage device that drives minutely in the z direction (the direction of rotation around the Z axis), the projection optical system PL, and the wafer W as a substrate (second object) are moved in the XY plane in the XY plane. It is equipped with a wafer stage system driven in the dimensional direction, and a control system for these.
  • the illumination optical system unit IOP includes an exposure light source and an illumination optical system, and has a rectangular or arc-shaped illumination area IAR on a pattern surface of a reticle R defined by a field stop (reticle blind) disposed therein. Is illuminated with exposure light IL as an exposure beam with a uniform illuminance distribution.
  • An illumination system similar to the illumination optical system is disclosed in, for example, Japanese Patent Application Laid-Open No. 6-349701. Vacuum ultraviolet light such as ArF excimer laser light (wavelength 193 nm) or F laser light (wavelength 157 nm) is used as the exposure light IL in this example.
  • the exposure light IL is
  • far-ultraviolet light such as KrF excimer laser light (wavelength 248 nm), or bright lines (g-line, i-line, etc.) in the ultraviolet region of an ultra-high pressure mercury lamp.
  • the light path has a strong absorption characteristic for light in the wavelength band of the exposure light, such as oxygen, water vapor, and hydrocarbon gas.
  • a specific gas having high transmittance to light in the vacuum ultraviolet region such as a specific gas, for example, is provided in the space on the optical path of the exposure light IL inside the illumination optical system IOP and inside the projection optical system PL. It is filled with nitrogen or a rare gas such as helium, argon, neon, or krypton, or a mixed gas thereof (hereinafter, referred to as “purge gas”). Further, as described later, the purge gas is also supplied to the space where the reticle R is arranged and the space where the wafer W is arranged.
  • the reticle stage system 12 includes an illumination system side plate (a plate having an annular mounting portion 101 connected to the outer periphery of the lower end portion of the illumination optical system IOP via a sealing member 99 such as an O-ring).
  • the cap plate) 14 is arranged below in FIG.
  • the illumination system side plate 14 is supported substantially horizontally by a support member (not shown), and a rectangular opening 14a serving as an optical path (path) of the exposure light IL is formed at a substantially central portion thereof.
  • FIG. 2 is a perspective view of the reticle stage system 12 of FIG. 1.
  • the reticle stage system 12 has a predetermined space below the illumination system side plate 14.
  • a reticle base 16 as a surface plate arranged almost in parallel
  • a reticle stage RST as a slider (movable stage of the present invention) arranged between the reticle base 16 and the illumination system side plate 14, and a reticle stage RST.
  • a reticle stage 16 is provided between the reticle base 16 and the illumination system side plate 14 so as to surround the reticle stage RST, and a reticle stage drive system for driving the reticle stage RST.
  • the reticle base 16 is supported substantially horizontally by a support member (not shown).
  • FIG. 3 is an exploded perspective view of FIG. 2, and as shown in FIG. 3, the reticle base 16 is also substantially a plate-like member, and a convex guide portion 16 a is formed substantially at the center thereof. Have been.
  • the upper surface (guide surface) GP of the guide portion 16a is finished to an extremely high flatness, and a rectangular opening having a longitudinal direction in the X direction for allowing the exposure light IL to pass in the Z direction is provided substantially in the center of the guide portion 16a. 16b is formed. As shown in FIG.
  • a mirror of the projection optical system PL is provided on the lower surface side of the reticle base 16 through a sealing member 98 such as a V-ring or a telescopic bellows so as to surround the rectangular opening 16b.
  • a sealing member 98 such as a V-ring or a telescopic bellows so as to surround the rectangular opening 16b.
  • the upper end of the cylindrical portion is connected.
  • the reticle stage RST includes a reticle stage main body 22 having a special shape and various magnet units fixed to the reticle stage main body 22 (details will be described later). Etc. are provided.
  • the reticle stage main body 22 has a substantially rectangular plate-like portion 24A as viewed also from the upward force, and two optical member support portions 24B1 and 24B2 as specific portions provided at the X-direction end of the plate-like portion 24A.
  • the end portions of the shape portion 24A on one side and the other side in the Y direction are provided with a pair of extending portions 24C1, 24C2, 24D1, and 24D2, respectively, protruding in the Y direction.
  • a stepped opening 22a is formed in the center of which is formed an opening for allowing the exposure light IL to pass therethrough.
  • a plurality of (for example, three) reticle support members 34 for supporting the reticle R with a plurality of lower forces (for example, three points) are provided in the portion dug down one step.
  • a plurality of (for example, three) reticle fixing mechanisms 34P are provided in the plate-shaped portion 24A so as to sandwich and fix the reticle R corresponding to each reticle support member 34!
  • FIG. 4B is a cross-sectional view of the reticle stage RST in FIG. 4A taken along a plane parallel to the XZ plane.
  • reticle R is The plurality of support members 34 support the pattern surface (lower surface) of the reticle stage main body 22 (the reticle stage RST) substantially in agreement with the neutral plane CT (the surface that does not expand and contract when subjected to a bending moment).
  • CT the neutral plane
  • a reticle suction fixing mechanism such as a vacuum chuck and an electrostatic chuck can be used instead of or in addition to the reticle support member 34 and the reticle fixing mechanism 34P.
  • the optical member supporting portions 24B1 and 24B2 each have a substantially flat plate shape whose longitudinal direction is the Y direction.
  • a first optical system 31 and a second optical system 32 as optical members constituting a part of a laser interferometer for position measurement of the reticle stage RST are fixed on the units 24B1 and 24B2, respectively.
  • the optical member support portions 24B1 and 24B2 and the plate-shaped portion 24A are locally connected at two locations by hinges (not shown) acting as a kind of flexure. The configuration is such that the influence does not reach the optical member support portions 24B1 and 24B2.
  • the reticle stage main body 22 including the plate-shaped portion 24A, the optical member support portions 24B1, 24B2, and the hinge portion (not shown) is integrally formed (for example, formed by cutting out one member).
  • each part is a separate member as necessary to facilitate explanation.
  • Ruka a separate member as necessary to facilitate explanation.
  • any one of the above-mentioned parts may be formed of another member, or all may be formed of another member.
  • the X direction of the optical systems 31 and 32 is set.
  • a rod-shaped fixed mirror MX reference mirror
  • the fixed mirror MX is fixed along a Y-axis to a region near the guide portion 16a on the reticle base 16 via a support member 29.
  • the fixed mirror MX is formed of, for example, a glass rod, and its side surface substantially parallel to the ZY plane in the + X direction is finished to an extremely high degree of flatness, and is coated with a highly reflective film such as chrome. Reflective surface.
  • the first optical system 31 on the reticle stage RST A laser light source 69XL and an X-axis first receiver 69XA composed of a photoelectric sensor are arranged so as to face in the + Y direction, and face the second optical system 32 on the reticle stage RST in the Y direction.
  • the laser light source 69XL and the receivers 69XA and 69XB are supported by columns (not shown).
  • the laser light source 69XL also has a measurement laser beam LX including two laser beams having a predetermined frequency difference at a wavelength of 633 nm (He-Ne laser) and having polarization directions orthogonal to each other, for example, substantially parallel to the Y axis. 1 Irradiates the optical system 31.
  • the first optical system 31 divides the incident laser beam LX into first and second laser beams, and further divides the former first laser beam into two X-axis first measurement beams and second Split into one reference beam. Then, the first optical system 31 irradiates the first measurement beam in parallel to the X-axis to the reflecting surface of the fixed mirror MX in a double-pass manner, and combines the reflected first measurement beam with the first reference beam.
  • the first receiver 69XA is irradiated with the interference light substantially parallel to the Y axis.
  • the first optical system 31 irradiates the second optical system 32 with the second laser beam after the division.
  • the second optical system 32 splits the incident second laser beam into two X-axis second measurement beams and a second reference beam according to the polarization state.
  • the second optical system 32 irradiates the second measurement beam to the reflecting surface of the fixed mirror MX in a double-pass manner in parallel with the X-axis, and generates an interference light between the reflected second measurement beam and the second reference beam.
  • 2nd reciprocation almost parallel to the Y axis Irradiates 69XB.
  • the positions of the first and second measurement beams in the Z direction substantially coincide with the neutral plane CT (reticle plane).
  • the receivers 69XA and 69XB photoelectrically convert the interfering light, respectively, so that the optical systems 31 and 32 (that is, the reticle stage RST in the Y direction) with respect to the fixed mirror MX (that is, the reticle base 16).
  • the coordinates (displacement) in the X direction are constantly measured with a resolution of, for example, 0.1 to lnm. At this time, the velocity in the X direction may be measured, and the measured value may be integrated to obtain the coordinates in the X direction (the same applies hereinafter).
  • the X-direction position XR of the reticle stage RST and the rotation angle (jowing) Z zR around the Z-axis are obtained.
  • These positional information XR and ⁇ zR are supplied to the stage control system 90 in FIG.
  • the laser light source 69XL, the optical systems 31 and 32, the fixed mirror MX on the X axis, and the receivers 69XA and 69XB on the X axis the position of the reticle stage RST (reticle stage body 22) in the X direction and the Z axis
  • a laser interferometer for measuring the rotation angle is configured.
  • the reticle stage RST can be lightweight and the reticle stage RST can be High-speed and stable driving is possible.
  • FIG. 5 is a cross-sectional view of the reticule stage system 12 of FIG. 1 viewed in the Y direction.
  • a side surface in the X direction near the upper end of the lens barrel of the projection optical system PL is shown in FIG.
  • a fixed mirror Mrx is provided via a mounting member 92, and an X-axis laser interferometer 69XR for the projection optical system PL is supported by a column (not shown) so as to face the fixed mirror Mrx.
  • the measurement beam from the laser interferometer 69XR is projected onto the fixed mirror Mrx via a through hole (optical path) 71 formed in the reticle base 16, and the reflected light returns to the laser interferometer 69XR.
  • the laser interferometer 69XR In the laser interferometer 69XR, interference light between the internally generated reference beam and the reflected light is received by an internal photoelectric sensor. Then, based on the detection signal of the photoelectric sensor, the laser interferometer 69XR determines the position of the projection optical system PL in the X direction with a resolution of, for example, about 0.1 to lnm with respect to an internal reference plane. The measurement is always performed and the measurement result is supplied to the stage control system 90 in FIG. In the stage control system 90, for example, the reticle stage RST in the X direction By calculating the difference between the position and the position of the projection optical system PL in the X direction, the position of the reticle stage RST in the X direction with reference to the projection optical system PL can be determined.
  • the laser beam reflected by the fixed mirror Mrx on the side of the projection optical system PL in FIG. May be used as a reference beam, and interference light between the reference beam and the measurement beam reflected by the fixed mirror MX may be detected by the receivers 69XA and 69XB, respectively.
  • the position of reticle stage RST in the X direction can be directly measured with reference to projection optical system PL.
  • a concave portion 24g is formed at an end of the plate-shaped portion 24A of the reticle stage body 22 in the Y direction, and the concave portion 24g has a Y-axis movement.
  • a retro-reflector MY consisting of a corner mirror as a mirror is provided. With the reticle stage RST placed on the upper surface GP of the guide portion 16a of the reticle base 16 in FIG. 2, the Y-axis is opposed to the retro-reflector MY in the Y-direction as shown in FIG. 4A.
  • Laser interferometer 69Y is arranged.
  • the measurement beam LY from the laser interferometer 69Y is projected on the reflecting surface of the retroreflector MY in parallel with the Y axis, and the reflected light returns into the laser interferometer 69Y. Also in this case, the position of the irradiation point of the measurement beam LY in the Z direction almost coincides with the position of the neutral plane CT (reticle plane).
  • the laser interferometer 69Y photoelectrically detects the interference light between the measurement beam LY and the internally generated reference beam to determine the position YR of the reticle stage RST (the reticle stage body 22) in the Y direction to obtain an internal reference.
  • the measurement is always performed at a resolution of, for example, about 0.1 to lnm with respect to the surface, and the measurement result is supplied to the stage control system 90 in FIG.
  • a fixed mirror Mry is provided via a mounting member, and faces the fixed mirror Mry.
  • the Y-axis laser interferometer 69YR for the projection optics PL is arranged on the side surface in the + Y direction near the upper end of the lens barrel of the projection optical system PL.
  • the measurement beam from the laser interferometer 69YR is projected through a through hole (optical path) formed in the reticle base 16 onto the fixed mirror Mr X, and the reflected light returns into the laser interferometer 69YR.
  • the interference light between the internally generated reference beam and the reflected light is received by an internal photoelectric sensor.
  • the laser interferometer 69YR constantly measures the position of the projection optical system PL in the Y direction with a resolution of, for example, about 0.1 to 1 nm with respect to an internal reference plane. Then, the measurement result is supplied to the stage control system 90. Stay In the control system 90, for example, the difference between the position of the reticle stage RST in the Y direction and the position of the projection optical system PL in the Y direction is determined, and the position of the reticle stage RST in the Y direction with respect to the projection optical system PL is determined. You can ask.
  • the laser beam reflected by the fixed mirror Mry on the side of the projection optical system PL in FIG. 1 is used as a reference beam.
  • the interference light between the reference beam and the measurement beam reflected by the retro-reflector MY may be detected by the laser interferometer 69Y.
  • the position of reticle stage RST in the Y direction can be directly measured with reference to projection optical system PL.
  • the position in the Z direction of the optical path of the measurement beam incident on the X-axis fixed mirror MX and the measurement beam incident on the retro-reflector MY as the Y-axis moving mirror as described above are respectively Neutral plane It almost coincides with the CT position (reticle plane). Therefore, the position of the reticle stage RST (reticle R) in the XY plane where the so-called Abbe error is almost eliminated can be accurately measured.
  • the four extending portions 24C1, 24C2, 24D1, and 24D2 in FIG. 4A have a substantially plate shape, and each of the extending portions has a triangular cross section for improving strength. Reinforcing parts are provided.
  • a first differential exhaust gas static pressure bearing is formed over the entire area in the Y direction from the extending portion 24C1 to the extending portion 24D1, and extends from the extending portion 24C2.
  • a second differential exhaust type hydrostatic gas bearing is formed over the entire area in the Y direction up to the installation portion 24D2.
  • a substantially annular concave groove 18d, 18e is formed double. Inside of these grooves (hereinafter referred to as “air supply grooves”) 18d A plurality of air inlets (not shown) are formed, and a plurality of air outlets (not shown) are formed in an outer concave groove (hereinafter, referred to as an “exhaust groove”) 18e.
  • An air supply port formed inside the air supply groove 18d is connected to a gas supply device (not shown) for supplying a purge gas via a gas supply line and a gas supply tube (not shown).
  • An exhaust port formed inside the exhaust groove 18e is connected to a vacuum pump (not shown) via an exhaust pipe and an exhaust pipe (not shown).
  • the lighting system side plate 14 of FIG. 1 is floated on the upper surface of the frame member 18 with a clearance of about several zm.
  • a differential exhaust gas static pressure bearing to be supported is configured.
  • an air supply groove and an exhaust groove (not shown) formed of a substantially annular concave groove are formed so as to correspond to the air supply groove 18d and the exhaust groove 18e on the upper surface.
  • These air supply grooves and exhaust grooves are also connected to a gas supply device for purge gas and a vacuum pump (not shown), respectively.
  • a differential exhaust gas static pressure bearing is formed, which substantially floats and supports the frame-shaped member 18 on the upper surface of the reticle base 16 through a clearance of about zm. ing.
  • a gas flow is generated from the air supply groove 18d and the like to the exhaust groove 18e and the like, external air is effectively prevented from entering the inside of the frame-shaped member 18 through the clearance. ing.
  • the clearance between the frame member 18 and the illumination system side plate 14 in FIG. 1 and the clearance between the reticle base 16 and the frame member 18 are airtight by the flow of the purge gas described above.
  • the space between the upper end of the projection optical system PL and the reticle base 16 is covered with the seal member 98 described above. Therefore, the space surrounded by the frame-shaped member 18 is a space having a very high airtightness.
  • the space surrounded by the frame-shaped member 18 is referred to as “airtight space” for convenience.
  • a gas supply device and a vacuum pump (not shown).
  • the above-described purge gas that transmits light is supplied.
  • a rectangular opening 18a is formed at the end of the side wall on the + Y direction side of the frame-shaped member 18, and a window glass gl is fitted into the rectangular opening 18a.
  • rectangular openings 18b and 18c are formed at the end and the center of the side wall on the ⁇ Y direction side of the frame-shaped member 18, and the rectangular openings 18b and 18c are formed.
  • the windows g2 and g3 are fitted in the windows 18c and 18c, respectively.
  • the laser light source 69XL and the receiver 69XA are actually arranged outside the rectangular aperture 18a in FIG. 3, and the receiver 69XB and the laser interferometer 69Y are respectively in FIG. It is located outside the rectangular openings 18b and 18c.
  • the window glasses gl, g2, and g3 are provided, the position of the reticle stage RST can be measured by a laser interferometer that does not impair the airtightness of the airtight space in the frame-shaped member 18.
  • the reticle stage drive system drives the reticle stage RST in the Y direction and simultaneously drives a pair of first reticle stages RST in the z direction (rotation direction around the Z axis).
  • Driving mechanisms 36 and 38 and a second driving mechanism 40 for finely driving reticle stage RST in the X direction are provided.
  • the stage control system 90 in Fig. 1 measures the position of the reticle stage RST in the X and Y directions XR, YR, and the rotation angle around the Z axis ⁇ zR measured by the laser interferometer. Based on the control information from 70, the operations of the first and second drive mechanisms are controlled.
  • the former first drive mechanisms 36 and 38 are installed inside the frame member 18 in parallel to each other along the Y direction, and the latter second drive mechanism 40 is installed inside the frame member 18. 1 Installed along the Y direction on the + X direction side of the drive mechanism 38
  • the one first drive mechanism 36 includes stator units 136A and 136B in which a pair of coil units each having a longitudinal direction in the Y direction are arranged. And a pair of fixing members 152 for holding the stator units 136A and 136B at one end and the other end in the Y direction (longitudinal direction).
  • the stator units 136A and 136B are held by the pair of fixing members 152 so as to face each other at a predetermined interval in the Z direction (vertical direction) and to be parallel to the XY plane.
  • Each of the pair of fixing members 152 is fixed to the inner wall surface of the frame member 18 described above.
  • the stator units 136A and 136B are made of a nonmagnetic material having a rectangular cross section (rectangular shape) as shown in FIG. 5 which is a cross sectional view of the vicinity of the reticle stage main body 22 in FIG. 3 and FIG. A plurality of coils are arranged inside the frame at predetermined intervals in the Y direction.
  • the first drive mechanism 38 on the + X direction side is configured similarly to the one first drive mechanism 36 described above. That is, the first drive mechanism 38 is a pair of upper and lower
  • the stator units 138A and 138B in which the coil units are arranged, and these stator units
  • Each of the pair of fixing members 154 is formed by the frame-shaped member 1 described above.
  • the stator units 138A and 138B have the same configuration as the stator units 136A and 136B described above (see FIG. 5).
  • reticle stage RST is provided between upper stator units 136A and 138A and lower stator units 136B and 138B via predetermined clearances, respectively, as shown in FIG. It is arranged.
  • mover units 26A and 26B having a pair of magnet units (magnetic pole units) are embedded on the upper and lower surfaces of reticle stage RST, respectively, facing stator units 136A and 136B, respectively.
  • mover units 28A, 28B in each of which a pair of magnet units are arranged are embedded in the upper and lower surfaces of reticle stage RST.
  • the magnet units of the mover units 26A, 26B and 28A, 28B a unit is used in which a plurality of permanent magnets each generating a magnetic field in the Z direction are arranged in the Y direction while reversing the polarity at a predetermined pitch.
  • Electromagnet etc. can be used instead of the permanent magnet.
  • each of the mover units 26A and 26B is provided with a reticle stage body on the X direction side of the stepped opening 22a of the plate-like portion 24A of the reticle stage body 22 described above. 22 are arranged in recesses 24el, 24e2 formed on the upper and lower sides symmetrically with respect to the neutral plane CT.
  • the stator units 136A and 136B of FIG. 5 are located at substantially symmetric positions with respect to the neutral plane CT.
  • Each of the pair of mover units 26A and 26B includes a magnetic member and a plurality of magnets arranged on the surface of the magnetic member at predetermined intervals along the Y direction. The plurality of magnets have opposite polarities between adjacent magnets. Therefore, an alternating magnetic field is formed along the Y direction in the space above the mover unit 26A and in the space below the mover unit 26B.
  • each of the pair of mover units 28A and 28B is located on the + X direction side of the stepped opening 22a of the plate-like portion 24A of the reticle stage main body 22 described above.
  • the reticle stage body 22 is formed on the upper and lower surfaces symmetrically with respect to the neutral plane CT.
  • the pair of mover units 28A and 28B move the mover unit with respect to a straight line parallel to the Z-axis passing through the center position of the stepped opening 22a in the X direction (substantially coincides with the position of the center of gravity of the reticle stage RST in the X direction).
  • first stator units 138A and 138B in FIG. 5 are located at positions substantially symmetric with respect to the neutral plane CT.
  • the configuration of the pair of mover units 28A and 28B is the same as that of the mover units 26A and 26B, and the space above the mover unit 28A and the space below the mover unit 28B are alternately arranged along the Y direction. A magnetic field is formed.
  • the first Y-axis linear motor 76A and the second Y-axis linear motor 78A are configured.
  • the third Y-axis linear motor 76B and the fourth Y is configured.
  • the first drive mechanisms 36 and 38 are composed of the first, second, third, and fourth Y-axis linear motors 76A, 78A, 76B, 78B as single-axis drive devices, respectively.
  • the 4-axis Y-axis linear motors 76A, 78A, 76B, 78B in this example are moving magnet types, and it is not necessary to connect wires to the members that move with a wide stroke, so the moving speed can be increased. Can be.
  • stator units 136A, 138A, 136B, and 138B are respectively opposed to the movable units 26A, Generates thrust to drive 28 A, 26B, 28B (movable element) in Y direction.
  • stator also slightly moves in the opposite direction to the mover due to the reaction of the thrust. Therefore, in this specification, a member having a relatively large amount of movement is referred to as a mover or a mover unit, and a member having a relatively small amount of movement is referred to as a stator or a stator unit.
  • stator units 136A, 138A, 136B, 138B (stators) of the first, second, third, and fourth Y-axis linear motors 76A, 78A, 76B, 78B are respectively shown in FIG.
  • the mover units 26A, 28A, 26B and 28B are connected to the frame member 18 of FIG. Reticle stage RST (reticle stage body 22).
  • the first and second Y-axis linear motors 76A and 78A are disposed symmetrically apart from each other in the X direction so as to sandwich the reticle R, and each is a reticle stage relative to the frame member 18.
  • Drive RST in the Y direction is described above, the stator units 136A, 138A, 136B, 138B (stators) of the first, second, third, and fourth Y-axis linear motors 76A, 78A, 76B, 78B are respectively shown in FIG.
  • the third and fourth Y-axis linear motors 76B and 78B are arranged so as to face the first and second Y-axis linear motors 76A and 78A, respectively, with respect to the frame member 18.
  • Drive reticle stage RST relatively in the Y direction.
  • the frame-shaped member 18 in which the first drive mechanisms 36 and 38 of FIG. 2 are fixed inside is located between the reticle base 16 on the bottom side and the illumination system side plate 14 on the top side. It is supported in a non-contact manner via a gas bearing. Therefore, when reticle stage RST is driven in the Y direction by Y-axis linear motors 76A, 78A, 76B, and 78B, frame member 18 moves slightly in the opposite direction so as to cancel the reaction force. This suppresses the occurrence of vibration when driving reticle stage RST. However, since the mass of the frame member 18 is considerably larger than the mass of the reticle stage RST, the movement amount of the frame member 18 is small.
  • the first and third Y-axis linear motors 76 A and 76 B on the X direction side are synchronously driven to generate the same thrust in the Y direction.
  • the second and fourth Y-axis linear motors 78A and 78B on the + X direction side are also driven so as to synchronously generate the same thrust in the Y direction.
  • the first and third Y-axis linear motors 76A and 76B and the second and fourth Y-axis linear motors 78A , 78B are further synchronized to drive the reticle stage RST in the Y direction with respect to the frame member 18 by thrust.
  • the thrust generated by first and third Y-axis relay motors 76A and 76B and the second and fourth thrusts are generated.
  • the ratio of the magnitude to the thrust generated by the Y-axis linear motors 78A and 78B is controlled.
  • the mover units 26A and 26B and the mover units 28A and 28B are symmetrically arranged with respect to the neutral plane CT of the reticle stage RST.
  • the stator units 136A and 136B and the stator units 138A and 138B of FIG. 5 corresponding to these mover units are also arranged symmetrically with respect to the neutral plane CT. Therefore, the stator units 136A, 136B, 138A, 138B A current corresponding to each coil is supplied to the movable coils 26A, 26B, 28A, and 28B, respectively, so that the neutral plane CT of the reticle stage RST (FIG. 4 (B) (See Table 2).
  • a driving force in the Y direction (the resultant force of the mover units 26A and 26B and the resultant force of the mover units 28A and 28B) can be applied to the two places above.
  • the pitching moment acts on the reticle stage RST as little as possible.
  • mover units 26A, 26B and mover units 28A, 28B are arranged substantially symmetrically with respect to the position near the center of gravity of reticle stage RST also in the X direction.
  • the above-described driving force in the Y direction acts at two locations equidistant in the X direction from the center of gravity of the reticle stage RST, and by generating the same force at these two locations, the vicinity of the center of gravity of the reticle stage RST is generated. It is possible to apply the resultant of the driving force in the Y direction to the motor. Therefore, for example, when the reticle stage main body 22 is driven linearly in the Y direction, the reticle stage RST is configured so that the jog moment does not act as much as possible.
  • the second drive mechanism 40 includes a pair of stator units 140A and 140B having a longitudinal direction in the Y direction, and these stator units 140A and 140B. It has a pair of fixing members 156 held at one end and the other end in the Y direction (longitudinal direction). In this case, the stator units 140A and 140B are held by the pair of fixing members 156 so as to face each other at a predetermined interval in the Z direction (vertical direction) and to be parallel to the XY plane. Each of the pair of fixing members 156 is fixed to the inner wall surface of the frame member 18 described above.
  • the stator units 140A and 140B each have a frame made of a nonmagnetic material having a rectangular cross section (rectangle), and a coil is disposed inside the frame.
  • a rectangular cross section (rectangular) as a mover fixed to the end of the reticle stage RST in the + X direction through a predetermined clearance as shown in FIG.
  • the plate-shaped permanent magnet 30 for generating a magnetic field in the Z direction is disposed.
  • a magnet unit composed of a magnetic member and a pair of flat permanent magnets fixed to the upper and lower surfaces thereof may be used!
  • the permanent magnet 30 and the stator units 140A and 140B have a substantially symmetrical shape and arrangement with respect to the neutral plane CT (see FIG. 4B and FIG. 5). Therefore, due to the electromagnetic interaction between the magnetic field in the Z direction formed by the permanent magnet 30 and the current flowing in the ⁇ direction through the coils constituting the stator units 140A and 140B, the coils are applied to the coils according to Fleming's left-hand rule. A directional electromagnetic force (Lorentz force) is generated, and the reaction force of this electromagnetic force becomes a thrust for driving the permanent magnet 30 (reticle stage RST) in the X direction. Also in this case, the frame member 18 slightly moves in the opposite direction so as to cancel the reaction force when driving the reticle stage RST in the X direction. Therefore, generation of vibration when driving reticle stage RST in the X direction is also suppressed.
  • stator units 140A and 140B and the permanent magnet 30 constitute a moving magnet type X-axis voice coil motor 79 capable of minutely driving the reticle stage RST in the X direction.
  • the X-axis voice coil motor 79 as the driving device constitutes the second driving mechanism 40.
  • the reticle stage RST of the present example in FIG. 2 is supported so as to be relatively displaceable with respect to the frame member 18 in three degrees of freedom in the X, Y, and 0 z directions in a guideless manner.
  • a 5-axis driving device is provided, which is a single-axis X-axis voice coil motor 79 that generates power.
  • a mover including a magnet unit that forms a magnetic field in the Z direction is provided on the side surface in the + X direction and the side surface in the + Y direction of the frame-shaped member 18 described above.
  • 60A, 60B and 60C are provided.
  • reticle base 16 is provided with stators 62A and 62B including coils for flowing current in the Y direction, and currents in the X direction through support bases 64A, 64B, and 64C.
  • a stator 62C containing a flowing coil is provided!
  • a driving force (a reaction force of Lorentz force) in the X direction acts on the movers 60 ° and 60 °.
  • the mover 60 ⁇ and the stator 62 ⁇ , and the mover 60 ⁇ and the stator 62 ⁇ ⁇ , respectively, constitute a trim magnet for driving in the X direction, which comprises a moving magnet type voice coil motor.
  • a driving force in the X direction acts on the mover 60C.
  • the mover 60C and the stator 62C constitute a ⁇ -direction drive trim motor composed of a moving magnet type voice coil motor.
  • the frame member 18 slightly moves so as to cancel the action. ⁇
  • the position in the plane may be gradually shifted. Therefore, for example, by periodically returning the position of the frame-shaped member 18 to the center by using a trim motor composed of the mover 60 ⁇ -60C and the stator 62 ⁇ -62C, the position of the frame-shaped member 18 is moved from the reticle base 16 to the center. Detachment can be prevented.
  • FIG. 6 is a plan view of a main part showing a state in which reticle stage RST of FIG. 4A is mounted on reticle base 16 of FIG. 1.
  • FIG. 6 shows reticle stage RST.
  • the optical systems 31 and 32 are fixed on the optical member support portions 24B1 and 24 ⁇ 2, respectively, which are separated from each other in the X direction of the (reticle stage main body 22) in the X direction.
  • the former first optical system 31 is a pentagonal prism body having a half mirror surface 3 la, a polarizing beam splitter surface 3 lb, an input / output surface 31 c provided with a 1Z4 wavelength plate, and a total reflection surface 31 d.
  • the second optical system 32 has a pentagonal shape including a total reflection surface 32a, a polarizing beam splitter surface 32b, an entrance / exit surface 32c provided with a quarter-wave plate, and a total reflection surface 32d. It is a prism body.
  • the laser light source 69XL and the first receiver 69XA are arranged with the window glass g1 in the + Y direction with respect to the first optical system 31, and the window glass g2 in the Y direction with respect to the second optical system 32.
  • Separate second receiver 69XB is arranged.
  • a fixed mirror MX is arranged on the reticle base 16 in parallel with the Y axis so as to face the optical systems 31 and 32 in the X direction!
  • the laser light source 69XL has a laser beam LX (composed of two components having a predetermined frequency difference and having orthogonal polarization directions) emitted in parallel with the Y axis, as described above.
  • the first laser beam, which is reflected light, and the second laser beam, which is transmitted light, are split by the half mirror surface 31a, and the first laser beam is directed to the polarization beam splitter surface 31b, and the second laser beam is transmitted.
  • the beam goes to the second optical system 32.
  • the S-polarized light component of the first laser beam is reflected on the polarization beam splitter surface 31b as the first reference beam LX2 to the first receiver 69XA.
  • the P-polarized component of the first laser beam passes through the polarization beam splitter surface 31b as the first measurement beam LX1, passes through the entrance / exit surface 31c (a 1,4-wavelength plate), and is fixed in parallel to the X axis. It is incident on the reflective surface of MX.
  • the reflected first measurement beam LX1 passes through the entrance / exit surface 31c, the polarizing beam splitter surface 31b, the total reflection surface 31d, and the entrance / exit surface 31c, and again enters the reflection surface of the fixed mirror MX in parallel with the X axis. .
  • the receiver 69XA by installing a 1Z4 wave plate on the emission surface of the first optical system 31 or the incidence surface of the receiver 69XA, the interference light between the first measurement beam LX1 and the first reference beam LX2 is received by the receiver 69XA. (Beat light) can be detected.
  • the photoelectric conversion signal strength is also determined by the double-pass interference method as described above, and the position (displacement) of the first optical system 31 (polarization beam splitter surface 31b) in the X direction with respect to the fixed mirror MX is, for example, 0.1- It can be measured at about lnm.
  • the second laser beam is reflected in the X direction on the total reflection surface 32a of the second optical system 32.
  • the S-polarized component of the second laser beam is reflected on the polarization beam splitter surface 32b as the second reference beam LX4 toward the second receiver 69XB.
  • the P-polarized light component of the second laser beam passes through the polarization beam splitter surface 32b as the second measurement beam LX3, passes through the entrance / exit surface 32c (1Z4 wave plate), and is fixed to the X-axis in parallel. Incident on the reflective surface of MX.
  • the reflected second measurement beam LX3 passes through the entrance / exit surface 32c, the polarizing beam splitter surface 32b, the total reflection surface 32d, and the entrance / exit surface 32c, and is again fixed parallel to the X-axis. It is incident on the reflective surface of MX. Then, the second measurement beam LX3 reflected again becomes P-polarized light through the entrance / exit surface 32c and the total reflection surface 32d, passes through the polarization beam splitter surface 32b, and is then coaxially combined with the second reference beam LX4. Then, it enters the receiver 69XB.
  • the receiver 69XB causes the interference light between the second measurement beam LX3 and the second reference beam LX4 ( Beat light) can be detected. Accordingly, the photoelectric conversion signal strength is also determined by the double-pass interference method as described above, and the position (displacement) of the second optical system 32 (polarization beam splitter surface 32b) in the X direction with respect to the fixed mirror MX is set to, for example, a resolution of 0.1—lnm. Can be measured in degrees.
  • the position (displacement) in the X direction with respect to the reticle base 16 with respect to the reticle base 16 can be measured with high accuracy at two positions separated in the Y direction of the reticle stage RST (reticle stage body 22) by the laser interferometer method. .
  • the Y-axis laser interferometer 69Y detects interference light between the internally generated reference beam and the measurement beam LY applied to the retro-reflector MY.
  • an optical member 37 having two polarizing beam splitter surfaces 37a and 37b is fixed on the reticle base 16 as shown by a two-dot chain line in FIG. (S-polarized light component) and the measurement beam LY (P-polarized light component) directed to the retro-reflector MY may be separated.
  • the optical member 37 on the reticle base 16 in this manner, the position of the reticle stage RST in the Y direction can be measured with the reticle base 16 as a reference.
  • the laser interferometer 69Y can also be of a double-pass type.
  • the reticle stage RST (movable stage) of the present example alternately moves + Y so that the reticle R substantially reciprocates along the fixed mirror MX on the reticle base 16 while holding the reticle R. Scan in the direction and Y direction. Therefore, the movement trajectory of the optical member support portions 24B1 and 24B2 (specific portions) provided with the optical systems 31, 32 (optical members) of the laser interferometer is also substantially elongated in the Y direction along the fixed mirror MX. . As shown in FIG. 5, a plate-like portion 24A integrally connected to optical member support portions 24B1 and 24B2 provided with optical systems 31 and 32 in reticle stage RST is vertically held in a non-contact manner.
  • stator units 136A and 136B (stators) including coils of Y-axis linear motors 76A and 76B as heat sources are arranged. Therefore, if scanning exposure is continued without taking temperature stabilization measures, Radiant thermal power, even the coil force of the stator units 136A, 136B Transmitted to the optical member supports 24B1, 24B2 via the plate-like portion 24A, and the temperatures of the optical member supports 24B1, 24B2 and the optical systems 31, 32 thereon gradually rise I do. As a result, if the refractive index fluctuation of the glass of the optical systems 31 and 32 in FIG. 6 and the position fluctuation of the polarizing beam splitter surfaces 31b and 32b occur, the position of the reticle stage RST in the X direction and the Z axis An error may occur in the measured value of the rotation angle.
  • a Y-type heat conductive member is provided on the upper surface of the reticle base 16 on the bottom surface side of the optical member supporting portions 24B1 and 24B2.
  • a rod member 27 which is elongated in the direction and has a substantially rectangular cross-sectional shape is fixed by, for example, screwing (see FIG. 6). Steps for screwing are formed at both ends of the upper surface of the rod member 27, and a flow path 27a for supplying the refrigerant CH along the Y direction is formed inside the rod member 27. .
  • a material having a relatively high thermal conductivity for example, a metal such as aluminum, brass, or copper, a ceramic having a high thermal conductivity, or a composite material containing carbon fiber or the like can be used.
  • the refrigerant CH water or a fluorine-based inert liquid can be used.
  • the fluorinated inert liquid for example, Fluoroether (HFE) or Fluorinert (trade name of US Sleem) can be used.
  • the coolant CH is preferably water or fluorinated ether (HFE).
  • the thickness (predetermined gap) of the gas layer 35 is such a thickness that the thermal resistance can be regarded as small enough that the temperature of the optical member support portions 24B1 and 24B2 changes following the temperature of the rod member 27, for example, about 100 m or less. It is desirable to set to.
  • the rod member 27 moves along the Y direction (scanning direction of the reticle stage RST) so as to substantially match the movement trajectory of the optical member supporting portions 24B1 and 24B2 (specific portion). Is arranged. Then, the refrigerant is supplied from the external refrigerant supply device 45 to the flow path 27a (see FIG. 5) in the rod member 27 through the supply pipe 44A, and the refrigerant flowing in the flow path 27a is discharged through the discharge pipe 44B. And collected by the refrigerant supply device 45.
  • Refrigerant supply device 45 As an example, a discharge pipe 44B side is provided with a refrigerant storage unit, a temperature control unit, a discharge pump unit, and the like.
  • temperature sensors 46A and 46B are installed in the supply pipe 44A and on the optical member support 24B2, respectively, and a temperature sensor (not shown) is also installed on the optical member support 24B1.
  • the control unit 47 composed of a computer stably maintains the temperature of the optical member support parts 24B1, 24B2 near the temperature before the start of exposure during scanning exposure.
  • a temperature control device for controlling the temperature of the rod member 27 is configured by the refrigerant supply device 45 and the control unit 47, such as the pipes 44A and 44B, the temperature sensors 46A and 46B.
  • the optical member support portions 24B1, 24B The temperature of 2 and thus the temperatures of the optical systems 31 and 32 thereon are maintained stably at a temperature almost before the start of exposure. Therefore, the position of the reticle stage RST in the X direction and the rotation angle around the Z axis can always be measured with high precision by the laser interferometer method.
  • the optical member supporting portions 24B1 and 24B2 are arranged so as to face the rod member 27 whose temperature is controlled, and the optical systems 31 and 32 are controlled in temperature via the optical member supporting portions 24B1 and 24B2.
  • the optical systems 31 and 32 may be fixed to the X-direction side surface of the reticle stage main body 22 and arranged so that the optical systems 31 and 32 directly face the rod member 27. In this case, the temperatures of the optical systems 31 and 32 can be stably maintained more efficiently.
  • the air pads 33A and 33B for the static gas pressure bearing of the reticle stage RST are arranged near the reticle R, and Y
  • the shaft linear motors 76A, 78A, 76B, 78B are arranged. Therefore, when driving the reticle stage RST in the Y direction, the mover units 26A, 28A, 26B, 28B provided on the reticle stage main body 22 become heavy, and the air pads 33A, 33B are relatively low. Vibration modes in the frequency range may remain.
  • the rod member 27 heat conducting member
  • the gas layer 35 that can act as a squeeze damper are formed by a Y-axis linear drive mechanism for driving the reticle stage RST in the Y direction when viewed from the reticle R (object).
  • From mover units 26A and 26B of motor 76A and 76B and stator units 136A and 136B Are also located on the outside. Therefore, when the reticle stage RST is driven in the Y direction, the vibration mode caused by the air pads 33A and 33B is attenuated by the damping effect of the gas layer 35, so that the reticle stage RST can be driven more stably in the Y direction. .
  • a reduction system such as a double-sided telecentric refraction system or catadioptric system having a projection magnification of 1Z4 or 1Z5 is used.
  • a reduced image of the pattern in the illumination area IAR of the reticle R (the object or the first object) through the projection optical system PL is projected onto the object plane of the projection optical system PL.
  • the image is transferred onto the elongated exposure area IA on the resist layer in one shot area on the wafer W (second object) disposed thereon.
  • the wafer W as a substrate to be exposed is a disk-shaped substrate such as a semiconductor (silicon or the like) or SOI (silicon on insulator) having a diameter of, for example, 150 to 300 mm.
  • the projection optical system PL is held by a holding member (not shown) via a flange portion FLG provided in the lens barrel. Further, one end of an air supply pipe 50 and one end of an exhaust pipe 51 are connected to the lens barrel of the projection optical system PL. The other end of the air supply pipe 50 is connected to a purge gas supply device (not shown), and the other end of the exhaust pipe 51 is connected to an external gas recovery device. Then, a purge gas that transmits the exposure light IL is supplied from the air supply pipe 51 to the optical path between the optical elements of the projection optical system PL by a flow method.
  • wafer stage WST is arranged in wafer chamber 80.
  • the wafer chamber 80 is covered by a partition wall 71 having a circular opening 71a formed at a substantially central portion of a ceiling portion for passing a lower end portion of the projection optical system PL.
  • the partition wall 71 is made of a material with low degassing such as stainless steel (SUS). Further, the space between the periphery of the opening 71a of the ceiling wall of the partition 71 and the flange portion FLG of the projection optical system PL is tightly sealed by the flexible bellows 97. Thus, the inside of the wafer chamber 80 is isolated from the outside.
  • a plurality of anti-vibration units 86 are provided through a wafer base BS force composed of a surface plate. It is supported almost horizontally.
  • the wafer stage WST holds the wafer and W by vacuum suction or the like via a wafer holder 25, and is driven in the XY two-dimensional direction along the upper surface of the wafer base BS by a wafer drive system (not shown) including, for example, a linear motor.
  • a wafer drive system including, for example, a linear motor.
  • the other end of the air supply pipe 41 is connected to a purge gas supply device (not shown), and the other end of the exhaust pipe 43 is connected to an external gas recovery device.
  • the purge gas is always supplied into the wafer chamber 80 by the flow method.
  • a light transmitting window 85 is provided on the ⁇ Y direction side wall of the partition wall 71 of the wafer chamber 80. Similarly, a light transmitting window is also provided on the side wall on the + X direction side of the force partition wall 71 (not shown).
  • a Y-axis moving mirror 56Y having a plane mirror force is provided extending in the X-direction.
  • an X-axis movable mirror having a plane mirror force is extended in the Y direction at an end of the force wafer holder 25 (not shown) on the + X direction side.
  • the measurement beams from the Y-axis laser interferometer 57Y and the X-axis laser interferometer (not shown) outside the wafer chamber 80 are transmitted through the light transmission window 85 and the not-shown transmission window, respectively, and the Y-axis moving mirror Then, an X-axis movable mirror (not shown) is irradiated.
  • the Y-axis laser interferometer 57 Y and the X-axis laser interferometer respectively correspond to, for example, the position and rotation angle of the corresponding moving mirror with reference to the internal reference mirror, that is, the position of the wafer W in the X and Y directions, Measure the rotation angle around the Y and Z axes.
  • the measured values of the Y-axis laser interferometer 57Y and the X-axis laser interferometer are supplied to the stage control system 90 and the main controller 70, and the stage control system 90 converts the measured values and the control information from the main controller 70 into Then, the position and speed of wafer stage WST are controlled via a drive system (not shown).
  • a reticle loader and a wafer loader (not shown) load a reticle and a wafer. Thereafter, reticle alignment and wafer alignment are performed using a reticle alignment system, a fiducial mark plate on wafer stage WST, an off-axis alignment detection system (all not shown), and the like.
  • the exposure of the first shot area (first 'shot) on wafer W is performed first.
  • the wafer stage WST is moved so as to be a scanning start position.
  • the reticle stage RST is moved so that the position of the reticle R becomes the scanning start position.
  • stage control system 90 causes reticle-side laser interferometers 69Y and 69YR to measure positional information of reticle R, and wafer-side Y-axis laser interferometer 57Y and X-axis laser. Based on the positional information of the wafer W measured by the interferometer, the reticle R (reticle stage RST) and the wafer W (wafer stage WST) are synchronously moved in the Y direction (scanning direction) to irradiate the exposure light IL. Thus, the scanning exposure to the first 'shot is performed.
  • the temperatures of the optical member supporting portions 24B1 and 24B2 holding the optical systems 31 and 32 for the laser interferometer of the reticle stage RST are stabilized through the temperature-controlled rod member 27.
  • the position measurement accuracy of reticle stage RST (reticle R) has been improved.
  • the structure of the reticle stage RST itself is not complicated, and the reticle stage RST itself does not need to route refrigerant pipes, so that the reticle stage RST can be driven at high speed and stably.
  • the reticle stage RST can be driven more stably in the Y direction by the damping effect of the gas layer 35 on the rod member 27. Therefore, exposure accuracy such as overlay accuracy and resolution after exposure is also improved.
  • the temperature control device for the rod member 27 uses a rod member using a heat absorbing / heating element such as a Peltier element instead of a force using a coolant.
  • a temperature control device for controlling the temperature of 27 may be used.
  • the pipe itself having a rectangular or circular cross section for supplying the refrigerant may be used instead of the rod member 27.
  • the shape of the heat conducting member such as the rod member 27 may be various shapes other than the rod shape, such as a U-shape or a frame shape surrounding the guide member 16a (guide surface) of the reticle base 16 in FIG. Conceivable.
  • a flow path for flowing a refrigerant for cooling the coils in the stator units 136A and 136B of the Y-axis linear motors 76A and 76 ° in FIG. 5 may be provided.
  • the stator units 136A and 136B of this example have a small amount of movement, so that the influence of piping around them is small. Thereby, the temperature control accuracy of reticle stage RST can be further improved.
  • FIG. 7 A
  • portions corresponding to FIG. 5 are denoted by the same reference numerals, and detailed description thereof will be omitted.
  • FIG. 7A is a cross-sectional view showing a main part of the reticle stage system of the projection exposure apparatus of the present example.
  • a reticle R is mounted on the upper surface of the plate-like portion 24 ⁇ of the reticle stage RST.
  • a pair of frame-shaped yoke members 52 ⁇ and 53 ⁇ are fixed so as to be sandwiched in the X direction, and mover units 54 ⁇ and 55 ⁇ that include magnets arranged to face each other in the yoke members 52 ⁇ and 53 ⁇ and generate an alternating magnetic field are provided.
  • stator units 136A and 138A each including a coil are arranged between the mover units 54 ° and 55 ° in a non-contact manner along the ⁇ direction.
  • a pair of yoke members 52 # and 53 # are fixed to the lower surface of the plate-shaped portion 24 # symmetrically with the upper surface side thereof, and mover units 54 # and 55 # are arranged in the yoke members 52 # and 53 #. Then, the stator units 136B and 138B are placed along the direction ⁇ in a non-contact manner between the mover units 54 ⁇ and 55 ⁇ ! The stator units 136A, 136B, 138A, 138B are respectively fixed to members corresponding to the frame member 18 in FIG.
  • a moving magnet type ⁇ -axis linear motor is configured!
  • the other configuration is the same as that of the first embodiment.
  • the temperature of the optical member support portion 24 ⁇ 2 and the like holding the optical system 32 is controlled by the supply of the refrigerant. Stabilized by rod member 27. Therefore, even if heat is generated from the four-axis ⁇ -axis linear motors, the position of the reticle stage RST can be measured with high accuracy.
  • FIG. 7B is a cross-sectional view showing a main part of a reticle stage system of the projection exposure apparatus of the present example.
  • a plate-shaped optical member support portion 24B elongated in the Y direction is protruded from the end portion of the plate portion 24A of the reticle stage RST in the X direction by, for example, integrally forming the optical member support portion 24B.
  • the X-axis laser interferometer 69X is arranged so as to face the reflecting surface in the X direction substantially parallel to the YZ plane of the moving mirror MX1.
  • the reference surface in the laser interferometer 69X or the fixed mirror on the side of the projection optical system PL in FIG. Measure the position of the reticle stage RST in the X direction based on the reference.
  • a second retroreflector (not shown) is arranged at the end of the plate-shaped portion 24A, and the position of the second retro-reflector in the Y direction is determined using a second Y-axis laser interferometer (not shown). It may be measured.
  • Fig. 7 (B) also in this example, the rod member 27 is installed on the reticle base 16 on the bottom surface side of the optical member support 24B, and the temperature-controlled refrigerant CH is supplied into the rod member 27. It has been. This stabilizes the temperature of the optical member support 24B via the gas layer 35, and hence the temperature of the X-axis movable mirror MX1, so that the position of the reticle stage RST in the X direction can be measured with high accuracy. be able to. In this example, the weight of the reticle stage RST tends to be heavier due to the moving mirror MX1, but the configuration of the reticle stage RST can be simplified compared to, for example, the first embodiment.
  • an opening may be provided inside the movable mirror MX1.
  • the side surface of the optical member support portion 24B is mirror-finished, and the side surface of the movable mirror MX1 is formed. It may be used instead.
  • the present invention can be applied not only to a reticle stage system of a projection exposure apparatus, but also to a wafer stage system.
  • the semiconductor device includes: a step of performing a function / performance design of the device; a step of manufacturing a reticle based on this step; Manufacturing a wafer from a silicon material; exposing a reticle pattern to the wafer by the projection exposure apparatus of the above-described embodiment; It is manufactured through the steps of assembling chairs (including dicing, bonding, and knocking processes), and inspection steps.
  • an illumination optical system and a projection optical system composed of a plurality of lenses are incorporated in the exposure apparatus main body to perform optical adjustment, and a reticle stage and a wafer stage including a large number of mechanical parts are attached to the exposure apparatus main body.
  • the exposure apparatus according to the above-described embodiment can be manufactured by connecting wires and pipes and performing overall adjustment (electrical adjustment, operation confirmation, and the like). It is desirable to manufacture the exposure apparatus in a clean room where the temperature, cleanliness, etc. are controlled.
  • the present invention can be applied not only to the stage system of a scanning exposure type exposure apparatus, but also to the stage system of a batch exposure type exposure apparatus and the stage system of a semiconductor inspection apparatus and the like.
  • the magnification of the projection optical system may be the same magnification or an enlargement magnification.
  • the present invention can be applied to a stage system of an exposure apparatus such as a proximity system that does not use a projection optical system.
  • the present invention can also be applied to a stage system of a liquid immersion type exposure apparatus disclosed in, for example, International Publication No. 99Z49504 pamphlet. Further, as disclosed in, for example, International Publication Nos.
  • the above-mentioned ueno and stage system are used in order to perform the exposure operation and the alignment operation (mark detection operation) almost in parallel.
  • the present invention can be applied to an exposure apparatus having two wafer stages.
  • the movable stage when a linear motor is used for the wafer stage system and the reticle stage system, the movable stage may be held by any method such as an air floating type using an air bearing or a magnetic floating type. .
  • the movable stage may be of a type that moves along a guide or a guideless type that does not have a guide.
  • the reaction force generated during acceleration / deceleration such as when the wafer stage or the reticle stage moves stepwise or during scanning exposure is, for example, U.S. Pat.No. 5,528,118 or U.S. Pat.No. 6,020,710, respectively.
  • a frame member may be used to mechanically escape to the floor (ground).
  • the application of the exposure apparatus of the above embodiment is not limited to the exposure apparatus for manufacturing a semiconductor element.
  • a liquid crystal display element formed on a square glass plate may be used.
  • the present invention can be widely applied to an exposure apparatus for a display apparatus such as a plasma display and an exposure apparatus for manufacturing various devices such as an imaging device (CCD or the like), a micromachine, a thin-film magnetic head, and a DNA chip.
  • the present invention can also be applied to an exposure step (exposure apparatus) when manufacturing a reticle (photomask or the like) on which reticle patterns of various devices are formed using a photolithographic process.
  • the position measurement accuracy and the like of the movable stage that drives the first object or the second object can be improved without substantially complicating the movable stage.
  • Exposure accuracy such as superposition accuracy can be improved without substantially reducing image quality.
  • various devices can be mass-produced with high accuracy.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

 光学部材を備えた可動ステージの構造を複雑にすることなく、その光学部材の温度を制御できるステージ装置である。レチクル(R)を保持するレチクルステージ本体(22)をY軸リニアモータ(76A,78A,76B,78B)を用いてレチクルベース(16)上でY方向に駆動する。レチクルステージ本体(22)の端部の光学部材支持部(24B2)上の光学系(32)を介して、レチクルベース(16)上に固定された固定鏡(MX)に計測用レーザビームを照射して、レチクルステージ本体(22)のX方向の位置を計測する。光学系(32)の温度を安定化するために、光学部材支持部(24B2)の底面に空気層(35)を介してロッド部材(27)を固定し、ロッド部材(27)内に温度制御された冷媒を供給する。

Description

明 細 書
ステージ装置及び露光装置
技術分野
[0001] 本発明は、物体を駆動するためのステージ装置に関し、例えば半導体素子、撮像 素子、液晶表示素子、又は薄膜磁気ヘッド等のデバイスを製造するためのフォトリソ グラフイエ程中で、マスクパターンを基板上に転写するために使用される露光装置の マスクステージや基板ステージに使用して好適なものである。
背景技術
[0002] 例えば半導体集積回路を製造するためのフォトリソグラフイエ程中で、マスクとして のレチクル (又はフォトマスク等)のパターンを投影光学系を介して基板 (感光基板又 は感応物体)としてのレジストが塗布されたウェハ(又はガラスプレート等)の各ショット 領域に転写するために、ステッパー等の一括露光型、又はスキャニングステッパー等 の走査露光型の露光装置が使用されている。これらの露光装置においては、レチク ル及びウェハの位置決め及び移動をそれぞれ行うためにレチクルステージ系及びゥ エノ、ステージ系が備えられている。最近は、レチクル及びウェハの移動を円滑に且 つ高速に行うために、それらのステージ系の駆動装置として、主にリニアモータ又は ローレンツ力を用いるァクチユエータ(ボイスコイルモータ)等のように、非接触の固定 子と可動子との間で高い推力を発生できる駆動装置が多用されている。
[0003] 例えば走査露光型の露光装置(走査型露光装置)のレチクルステージ系では、案 内精度を確保するために、エアーベアリングのエアーパッドをレチクル付近に配置す ることが一般的である。この構成では、レチクルを保持する可動ステージを走査方向 に駆動するためのリニアモータの可動子は、レチクルから見てエアーパッドの外側に 配置されることとなる。また、ウェハステージ系では、ウェハを保持する可動ステージ( ウェハテーブル)は、 2組のリニアモータによって直交する 2つの方向に駆動される。
[0004] そのようなリニアモータ等の駆動装置では、固定子又は可動子にコイル (又は卷線) が装着される力 位置決め及び移動を繰り返す過程でそのコイルでの発熱量が大き くなる。例えばウエノ、ステージ系において、ウェハを保持すると共に位置計測用のレ 一ザ干渉計のロッド状の移動鏡が固定されたウェハテーブルにそのコイルで発生し た熱が伝わると、ウェハテーブルが次第に膨張して、ウェハと移動鏡との位置関係が 僅かに変化すると共に、移動鏡自体の僅かな熱変形も生じる。その結果、位置決め 精度又は走査露光時の同期精度等が悪化して、重ね合わせ精度や解像度等の露 光精度が低下する。近年の集積回路の一層の微細化に伴い、従来は無視すること ができたステージ系の駆動機構で発生する熱の影響による露光精度の低下も問題と なりつつある。
[0005] そこで、最近は例えばウェハステージ系において、リニアモータのコイルで発生す る熱の影響を軽減するために、そのコイルの全周を囲むように筒型部材を設置し、更 にその筒型部材の内部に冷却水を流すようにした熱シールド機構が提案されて 、る (例えば、特許文献 1参照)。
特許文献 1:特開 2001—244196号公報
[0006] 上記の如くウェハステージ系の場合には、スペース的に余裕があるため、リニアモ ータのコイルの全周を囲むように冷媒を供給する機構を採用することが可能である。 これに対して、レチクルステージ系は、照明光学系と投影光学系との間の狭い空間 内に配置されるため、複雑で大型の冷却機構を設けることは設計上好ましくない。更 に、例えば走査露光型の露光装置のレチクルステージ系は、投影倍率を 1Z4倍と すると、ウエノ、ステージ系の 4倍の走査速度で広いストロークに亘つてレチクルを移動 する必要がある。そのため、レチクルを保持して移動する可動ステージ力 冷媒を供 給するための配管を引き回すことは好ましくない。従って、その高速移動する可動ス テージ側の機構をあまり複雑ィ匕することなぐその可動ステージに備えられた移動鏡 の温度変化を抑制できる温度制御機構の開発が求められている。また、その可動ス テージには、移動鏡の代わりにビームスプリッタ等の光学部材を設置する構成も考え られる力 その光学部材の温度が変化すると、屈折率の変化によっても計測誤差が 生じる恐れがあるため、その温度変化も抑制することが望ましい。
[0007] 一方、ウェハステージ系にお 、て、リニアモータのコイルを冷媒等を用いて冷却す る場合にも、コイル力もの放射熱によって移動鏡の温度が僅かに変化する恐れがあ る。そのため、移動鏡の温度を制御できると共に、ウェハテーブルの移動に際してあ まり負荷をかけな 、温度制御機構を付加することが望ま 、。
また、上述のように走査型露光装置のレチクルステージ系では、リニアモータの可 動子は通常、レチクルから見てエアーパッドの外側に配置されることとなるため、その 可動子が重りとなり、エアーパッド剛性に起因する振動モードが比較的低周波域に 存在していた。その振動モードは、同期精度を高める上での障害となっていた。 発明の開示
[0008] 本発明は斯力る点に鑑み、移動鏡等の光学部材を備えた可動部自体の構造をあ まり複雑にすることなぐその光学部材の温度を制御できるステージ技術を提供する ことを第 1の目的とする。
更に本発明は、走査型露光装置のように高速駆動される可動ステージを用いる場 合に、その可動ステージに設置された光学部材の温度を制御できると共に、その可 動ステージをより安定に駆動できるステージ技術を提供することを第 2の目的とする。
[0009] 更に本発明は、そのようなステージ技術を用いて高精度な露光を実現できる露光 技術を提供することをも目的とする。
上記の課題を解決するため、本発明は実施の形態に示す図 1一図 7に対応付けし た以下の構成を採用している。ただし、各要素に付した括弧付き符号はその要素の 例示に過ぎず、各要素を限定するものではない。
[0010] 本発明による第 1のステージ装置は、物体 (R)を駆動するステージ装置において、 その物体を保持してガイド面 (GP)に沿って移動可能な可動ステージ (RST)と、その 可動ステージの特定部分(24B1, 24B2 ; 24B)に取り付けられた光学部材(31, 32 ; MX)と、その光学部材の移動軌跡に沿って設けられ、その特定部分とその光学部 材との少なくとも一方に対して所定ギャップを隔てて配置された熱伝導部材 (27)と、 その熱伝導部材の少なくとも一部の温度を制御することによりその特定部分の温度を 制御する温度制御装置(44A, 44B, 45, 46B, 47)とを有するものである。
[0011] 斯カる本発明によれば、その所定ギャップの気体層の熱抵抗は小さいため、その 熱伝導部材の少なくとも一部の温度を制御することによって、間接的にその可動ステ ージの特定部分に設けられた光学部材の温度を制御できる。
本発明において、その可動ステージは、そのガイド面に沿って実質的に一定の軌 道上で往復運動を行ってもよ!、。その往復運動の軌道に沿ってその熱伝導部材を 配置することによって、効率的にその可動ステージの特定部分の温度を制御できる。
[0012] また、その光学部材は、その可動ステージの位置を計測するための干渉計の一部 を構成することができる。本発明の適用によって、その光学部材の温度を安定化する ことによって、その可動ステージの位置を干渉計によって高精度に計測できる。 この場合、その光学部材の一例は、計測用の光ビームを反射する移動鏡 (MX1) である。
[0013] また、その可動ステージ力 離れて配置された基準鏡 (MX)を更に有する場合、そ の可動ステージに取り付けられたその光学部材の別の例は、計測用の光ビームをそ の移動鏡に向けて反射する反射部材(31, 32)を含むものである。この構成では、可 動ステージを軽量ィ匕できる。
また、その可動ステージを駆動するリニアモータ(76A, 76B, 78A, 78B)を更に 有し、その熱伝導部材のその温度制御装置によって温度制御される部分(24B1, 2 4B2 ; 24B)を、その物体から見てそのリニアモータよりも外側に配置してもよい。この 構成では、例えばそのリニアモータの内側にエアーベアリングのエアーパッドを設け たとしても、その所定ギャップ部分がスクイーズダンバとして有効に作用するため、ェ ァーパッド剛性に起因する振動モードが減衰し、その可動ステージを安定に駆動で きる。
[0014] また、その所定ギャップの一例は 0から 20 μ mである。この場合の気体層は、ダンピ ング効果が特に大きくなる。
次に、本発明による露光装置は、第 1物体 (R)を露光ビームで照明し、その露光ビ ームでその第 1物体及び投影系(PL)を介して第 2物体 (W)を露光する露光装置に おいて、そのステージ装置を備え、その第 1物体及びその第 2物体の少なくとも一方 をそのステージ装置で駆動するものである。本発明のステージ装置の適用によって、 その第 1物体又は第 2物体を駆動する可動ステージの駆動性能を殆ど低下させるこ となぐその可動ステージの位置計測精度等を向上できる。
[0015] 本発明によれば、移動鏡等の光学部材を備えた可動ステージ自体の構造を複雑 にすることなぐその光学部材の温度を制御できる。従って、その光学部材を用いて 例えば位置計測を行うことによって、その可動ステージの位置決め精度や速度制御 精度等を向上できる。
また、その可動ステージを駆動するためのリニアモータを備え、その可動ステージ 中の温度制御される部分を、物体から見てリニアモータよりも外側に配置する場合に は、その所定ギャップ部分がスクイーズダンバとして作用するため、その可動ステー ジをより安定に駆動できる。従って、本発明を走査型露光装置のステージ系に適用 することによって、同期走査時の安定性を向上しながら、走査速度を向上することも 可能となる。
図面の簡単な説明
[0016] [図 1]図 1は、本発明の第 1の実施形態の投影露光装置の概略構成を示す一部を切 り欠いた図である。
[図 2]図 2は、図 1の枠状部材 18及びレチクルステージ RSTの構成を示す斜視図で める。
[図 3]図 3は、図 1のレチクルステージ RST、枠状部材 18、及びレチクルベース 16の 構成を示す分解斜視図である。
[図 4]図 4 (A)は図 1のレチクルステージ RSTを示す斜視図、図 4 (B)はレチクルステ ージ RSTを Y方向に見た断面図である。
[図 5]図 5は、図 1の照明系側プレート 14、レチクルステージ RST、及びレチクルべ一 ス 16を Y方向に見た断面図である。
[図 6]図 6は、図 1のレチクルステージ RST及びロッド部材 27の温度制御機構の要部 を示す平面図である。
[図 7]図 7 (A)は本発明の第 2の実施形態のレチクルステージ系を示す要部の断面 図、図 7 (B)は本発明の第 3の実施形態のレチクルステージ系を示す要部の断面図 である。
符号の説明
[0017] RST…レチクルステージ、 R…レチクル、 MX…固定鏡、 ΜΧ1· ··移動鏡、 16…レ チクルベース、 18· ··枠状部材、 22· ··レチクルステージ本体、 24B1, 24B2, 24Β· ·· 光学部材支持部、 26A, 28A, 26B, 28Β· ··可動子ユニット、 27· ··ロッド部材、 31· ·· 第 1光学系、 32· ··第 2光学系、 45· ··冷媒供給装置、 69XL…レーザ光源、 69XA, 6 9ΧΒ· ··レシーノ 、 76A, 78A, 76B, 78Β· ··Υ軸!;ユアモータ、 136A, 138A, 136 Β, 138B…固定子ユニット
発明を実施するための最良の形態
[0018] 以下、本発明の好ましい第 1の実施形態につき図 1一図 6を参照して説明する。本 例は、ステップ ·アンド'スキャン方式よりなる走査露光型の投影露光装置 (スキヤニン ダステッパー)に備えられたステージ系に本発明を適用したものである。
図 1は、本例の投影露光装置 10の概略構成を示し、この図 1において、投影露光 装置 10に備えられている投影光学系 PLの光軸 ΑΧに平行に Ζ軸を取り、 Ζ軸に垂直 な平面内で走査露光時のレチクル及びウェハ(詳細後述)の走査方向に Y軸を取り、 その走査方向に直交する非走査方向(図 1の紙面に垂直な方向)に X軸を取って説 明を行う。本例の X軸及び Y軸を含む平面 (XY平面)はほぼ水平である。
[0019] 先ず、投影露光装置 10は、照明光学系ユニット IOP、マスク (第 1物体)としての回 路パターンが形成されたレチクル Rを Y方向に所定ストロークで駆動すると共に、 X方 向、 Y方向、及び Θ z方向(Z軸の回りの回転方向)に微少駆動するステージ装置とし てのレチクルステージ系 12、投影光学系 PL、基板 (第 2物体)としてのウェハ Wを XY 平面内で XY2次元方向に駆動するウェハステージ系、並びにこれらの制御系等を 備えている。
[0020] 照明光学系ユニット IOPは、露光光源及び照明光学系を含み、その内部に配置さ れた視野絞り(レチクルブラインド)で規定されるレチクル Rのパターン面の矩形又は 円弧状の照明領域 IARを露光ビームとしての露光光 ILで均一な照度分布で照明す る。その照明光学系と同様の照明系は、例えば特開平 6— 349701号公報などに開 示されている。本例の露光光 ILとしては、 ArFエキシマレーザ光(波長 193nm)或い は F レーザ光 (波長 157nm)などの真空紫外光が用いられる。なお、露光光 ILとし
2
て、 KrFエキシマレーザ光 (波長 248nm)などの遠紫外光、又は超高圧水銀ランプ 力 の紫外域の輝線 (g線、 i線等)等を用いることも可能である。
[0021] ところで、真空紫外域の波長の光を露光光とする場合には、その光路から酸素、水 蒸気、炭化水素系の気体等の、露光光の波長帯域の光に対し強い吸収特性を有す る気体を排除する必要がある。このため、本例では、照明光学系 IOPの内部及び投 影光学系 PLの内部の露光光 ILの光路上の空間に、真空紫外域の光に対して高透 過率の特定の気体、例えば窒素、若しくはヘリウム、アルゴン、ネオン、クリプトンなど の希ガス、又はそれらの混合ガス(以下、「パージガス」と呼ぶ)を満たしている。更に 、後述のようにレチクル Rが配置される空間及びウェハ Wが配置される空間にもパー ジガスが供給されている。
[0022] 次に、レチクルステージ系 12は、照明光学系 IOPの下端部の外周に Oリング等の シール部材 99を介して連結された環状の取り付け部 101を有するプレートとしての 照明系側プレート(キャッププレート) 14の図 1における下方に配置されている。照明 系側プレート 14は、略水平に不図示の支持部材によって支持され、そのほぼ中央部 には露光光 ILの光路 (通路)となる矩形の開口 14aが形成されている。
[0023] 図 2は図 1のレチクルステージ系 12の斜視図であり、図 1及び図 2から分力るように 、レチクルステージ系 12は、前記照明系側プレート 14の下方に所定間隔を隔ててほ ぼ平行に配置された定盤としてのレチクルベース 16、このレチクルベース 16と照明 系側プレート 14との間に配置されたスライダ (本発明の可動ステージ)としてのレチク ルステージ RST、及びこのレチクルステージ RSTを取り囲む状態でレチクルベース 1 6と照明系側プレート 14との間に配置された枠状部材 18、及びレチクルステージ RS Tを駆動するレチクルステージ駆動系等を備えている。そのレチクルベース 16は、不 図示の支持部材によって略水平に支持されて 、る。
[0024] 図 3は図 2の分解斜視図であり、この図 3に示すように、レチクルベース 16は、概略 板状の部材カも成り、そのほぼ中央には、凸のガイド部 16aが形成されている。この ガイド部 16aの上面 (ガイド面) GPは極めて高い平面度に仕上げられ、ガイド部 16a のほぼ中央には、露光光 ILを Z方向に通過させるための X方向を長手方向とする矩 形開口 16bが形成されている。レチクルベース 16の下面側には、図 1に示すように、 矩形開口 16bの周囲を取り囲む状態で、 Vリング又は伸縮自在のべローズなどのシ 一ル部材 98を介して投影光学系 PLの鏡筒部の上端が連結されている。
[0025] レチクルステージ RSTは、図 4 (A)に示すように、特殊な形状のレチクルステージ本 体 22及びこのレチクルステージ本体 22に固定された各種磁石ユニット(詳細後述) 等を備えている。レチクルステージ本体 22は、上方力も見て概略矩形の板状部 24A と、この板状部 24Aの X方向の端部に設けられた特定部分としての 2つの光学部材 支持部 24B1及び 24B2と、板状部 24Aの Y方向の一側及び他側の端部力もそれぞ れ Y方向に突設された各一対の延設部 24C1, 24C2, 24D1, 24D2とを備えてい る。
[0026] 前記板状部 24 Aのほぼ中央部には、露光光 ILを通過させるための開口がその中 央に形成された段付き開口 22aが形成され、この段付き開口 22aの段部(1段掘り下 げられた部分)には、レチクル Rを下側力 複数点 (例えば 3点)で支持する複数 (例 えば 3つ)のレチクル支持部材 34が設けられている。また、各レチクル支持部材 34に それぞれ対応して、レチクル Rを挟んで固定するために、板状部 24Aには複数 (例え ば 3つ)のレチクル固定機構 34Pが設けられて!/、る。
[0027] そして、図 4 (B)は図 4 (A)のレチクルステージ RSTの XZ面に平行な面における断 面図であり、図 4 (B)〖こ示すように、レチクル Rは、そのパターン面(下面)がレチクル ステージ本体 22 (レチクルステージ RST)の中立面 CT (曲げモーメントを受けた場合 に伸縮しない面)に略一致する状態で、複数の支持部材 34によって支持されている 。なお、レチクル支持部材 34及びレチクル固定機構 34Pに代えて、或いはこれと共 に、真空チャックゃ静電チャックなどのレチクルの吸着固定機構を用いることは可能 である。
[0028] また、図 4 (A) , (B)から分力るように、光学部材支持部 24B1, 24B2は、それぞれ Y方向を長手方向とする概略平板状の形状を有し、光学部材支持部 24B1, 24B2 上にそれぞれレチクルステージ RSTの位置計測用のレーザ干渉計の一部を構成す る光学部材としての第 1光学系 31及び第 2光学系 32が固定されている。光学部材支 持部 24B1, 24B2と板状部 24Aとの間は、一種のフレキシャとして作用するヒンジ部 (不図示)によりそれぞれ 2箇所で局所的に連結されており、板状部 24Aの変形の影 響が光学部材支持部 24B1, 24B2に及ばないように構成されている。なお、実際に は、板状部 24A、光学部材支持部 24B1, 24B2、及びヒンジ部(不図示)を含むレチ クルステージ本体 22は、一体成形 (例えば、一つの部材を削り出すことにより成形)さ れているが、本例では、説明を分力り易くするため、必要に応じて各部が別部材であ るかのような表現をも用いている。勿論、上記各部の何れか 1つを他と別部材で構成 しても良 、し、全てを別部材で構成しても良 、。
[0029] レチクルステージ RSTを、図 2のレチクルベース 16のガイド部 16aの上面 GPに載 置した状態で、図 4 (A)に 2点鎖線で示すように、光学系 31及び 32の X方向の側 面に Y軸に平行にロッド状の X軸の固定鏡 MX (基準鏡)が配置される。図 3に示すよ うに、固定鏡 MXはレチクルベース 16上のガイド部 16aの近傍の領域に、 Y軸に沿つ て細長 、支持部材 29を介して固定される。固定鏡 MXは例えばガラスロッドより形成 され、その +X方向の実質的に ZY平面に平行な側面が、極めて高い平面度に仕上 げられると共に、例えばクロム等の高反射率の膜が被着された反射面とされている。
[0030] また、レチクルステージ RSTを、図 2のレチクルベース 16のガイド部 16aの上面 GP に載置した状態で、図 4 (A)に示すように、レチクルステージ RST上の第 1光学系 31 に対して +Y方向に対向するようにレーザ光源 69XL、及び光電センサよりなる X軸 の第 1レシーバ 69XAが配置され、レチクルステージ RST上の第 2光学系 32に Y方 向に対向するように光電センサよりなる X軸の第 2レシーバ 69XBが配置される。レー ザ光源 69XL、及びレシーバ 69XA, 69XBは不図示のコラムに支持される。そして、 レーザ光源 69XL力も Y軸にほぼ平行に、例えば波長 633nm (He— Neレーザ)で所 定の周波数差を持ち偏光方向が互いに直交する 2つのレーザビームを含む計測用 のレーザビーム LXが第 1光学系 31に照射される。第 1光学系 31は入射したレーザ ビーム LXを第 1及び第 2のレーザビームに分割して、更に前者の第 1のレーザビーム を偏光状態に応じて 2つの X軸の第 1計測ビーム及び第 1参照ビームに分割する。そ して、第 1光学系 31は、その第 1計測ビームを X軸に平行にダブルパス方式で固定 鏡 MXの反射面に照射し、反射された第 1計測ビームとその第 1参照ビームとの干渉 光をほぼ Y軸に平行に第 1レシーバ 69XAに照射する。
[0031] 更に、第 1光学系 31は、上記の分割後の第 2のレーザビームを第 2光学系 32に照 射する。第 2光学系 32は入射した第 2のレーザビームを偏光状態に応じて 2つの X軸 の第 2計測ビーム及び第 2参照ビームに分割する。そして、第 2光学系 32は、その第 2計測ビームを X軸に平行にダブルパス方式で固定鏡 MXの反射面に照射し、反射 された第 2計測ビームとその第 2参照ビームとの干渉光をほぼ Y軸に平行に第 2レシ ーバ 69XBに照射する。上記の第 1及び第 2計測ビームの Z方向の位置は、中立面 C T (レチクル面)にほぼ一致している。なお、光学系 31及び 32によってそれぞれ干渉 光を生成するための具体的な構成例は後述する。レシーバ 69XA及び 69XBは、そ れぞれ入射する干渉光を光電変換することによって、固定鏡 MX (即ちレチクルべ一 ス 16)を基準として光学系 31及び 32の(即ちレチクルステージ RSTの Y方向に離れ た 2箇所の位置で) X方向の座標(変位)を例えば 0. 1— lnm程度の分解能で常時 計測する。なお、この際に X方向の速度を計測し、この計測値を積分してその X方向 の座標を求めても良い(以下同様)。その 2箇所の X方向の座標の平均値及びその 2 箇所の X方向の座標の差分より、レチクルステージ RSTの X方向の位置 XR及び Z軸 の回りの回転角(ョーイング) Θ zRが求められ、これらの位置情報 XR, Θ zRは図 1の ステージ制御系 90に供給される。このように、レーザ光源 69XL、光学系 31, 32、 X 軸の固定鏡 MX、及び X軸のレシーバ 69XA, 69XBより、レチクルステージ RST (レ チクルステージ本体 22)の X方向の位置及び Z軸の回りの回転角を計測するための レーザ干渉計が構成されている。本例のようにレチクルステージ RST上には光学系 3 1, 32を設置し、外部にロッド状の固定鏡 MXを配置することによって、レチクルステ ージ RSTを軽量ィ匕でき、レチクルステージ RSTをより高速に安定に駆動することがで きる。
また、図 5は、図 1のレチクノレステージ系 12を Y方向に見た断面図であり、この図 5 に示すように、投影光学系 PLの鏡筒の上端部近傍の X方向の側面には、固定鏡 Mrxが取付部材 92を介して設けられ、固定鏡 Mrxに対向するように投影光学系 PL 用の X軸のレーザ干渉計 69XRが不図示のコラムに支持されている。そして、レーザ 干渉計 69XRからの計測ビームはレチクルベース 16に形成された貫通孔(光路) 71 を介して、固定鏡 Mrxに対して投射され、その反射光がレーザ干渉計 69XR内に戻 る。レーザ干渉計 69XRでは、内部で生成した参照ビームとその反射光との干渉光を 内部の光電センサで受光する。そして、その光電センサの検出信号に基づいて、レ 一ザ干渉計 69XRは、投影光学系 PLの X方向の位置を、内部の参照面を基準とし て、例えば 0. 1— lnm程度の分解能で常時計測し、計測結果を図 1のステージ制御 系 90に供給する。ステージ制御系 90では、例えばレチクルステージ RSTの X方向の 位置と投影光学系 PLの X方向の位置との差分を求めることによって、投影光学系 PL を基準としたレチクルステージ RSTの X方向の位置を求めることができる。
[0033] なお、図 4 (A)の光学系 31, 32の固定鏡 MXに対する X方向の位置を計測する際 に、図 5の投影光学系 PLの側面の固定鏡 Mrxで反射されたレーザビームを参照ビ ームとして使用し、その参照ビームと固定鏡 MXで反射された計測ビームとの干渉光 をそれぞれレシーバ 69XA, 69XBで検出してもよい。これによつて、レチクルステー ジ RSTの X方向の位置を投影光学系 PLを基準として直接計測できる。
[0034] また、図 4 (A)にお!/、て、レチクルステージ本体 22の板状部 24Aの Y方向の端部 には凹部 24gが形成され、この凹部 24gには、 Y軸の移動鏡としてのコーナミラーより なるレトロリフレクタ MYが設けられている。レチクルステージ RSTを、図 2のレチクル ベース 16のガイド部 16aの上面 GPに載置した状態で、図 4 (A)に示すように、レトロ リフレクタ MYに対して Y方向に対向するように Y軸のレーザ干渉計 69Yが配置さ れる。レーザ干渉計 69Yからの計測ビーム LYは、 Y軸に平行にレトロリフレクタ MY の反射面に投射され、その反射光がレーザ干渉計 69Y内に戻る。この場合も、計測 ビーム LYの照射点の Z方向の位置は、中立面 CTの位置(レチクル面)にほぼ一致し ている。レーザ干渉計 69Yは、その計測ビーム LYと内部で生成される参照ビームと の干渉光を光電検出することによって、レチクルステージ RST (レチクルステージ本 体 22)の Y方向の位置 YRを、内部の参照面を基準として例えば 0. 1— lnm程度の 分解能で常時計測し、計測結果を図 1のステージ制御系 90に供給する。
[0035] また、図 1に示すように、投影光学系 PLの鏡筒の上端部近傍の +Y方向の側面に は、固定鏡 Mryが取付部材を介して設けられ、固定鏡 Mryに対向するように投影光 学系 PL用の Y軸のレーザ干渉計 69YRが配置されている。レーザ干渉計 69YRから の計測ビームはレチクルベース 16に形成された貫通孔 (光路)を介して、固定鏡 Mr Xに対して投射され、その反射光がレーザ干渉計 69YR内に戻る。レーザ干渉計 69 YRでは、内部で生成した参照ビームとその反射光との干渉光を内部の光電センサ で受光する。そして、その光電センサの検出信号に基づいて、レーザ干渉計 69YR は、投影光学系 PLの Y方向の位置を、内部の参照面を基準として、例えば 0. 1-1 nm程度の分解能で常時計測し、計測結果をステージ制御系 90に供給する。ステー ジ制御系 90では、例えばレチクルステージ RSTの Y方向の位置と投影光学系 PLの Y方向の位置との差分を求めることによって、投影光学系 PLを基準としたレチクルス テージ RSTの Y方向の位置を求めることができる。
[0036] なお、図 4 (A)のレチクルステージ RSTの Y方向の位置を計測する際に、図 1の投 影光学系 PLの側面の固定鏡 Mryで反射されたレーザビームを参照ビームとして使 用し、その参照ビームとレトロリフレクタ MYで反射された計測ビームとの干渉光をレ 一ザ干渉計 69Yで検出してもよい。これによつて、レチクルステージ RSTの Y方向の 位置を投影光学系 PLを基準として直接計測できる。
[0037] 本例では、前述の如ぐ X軸の固定鏡 MXに入射する計測ビーム、及び Y軸の移動 鏡としてのレトロリフレクタ MYに入射する計測ビームの光路の Z方向の位置は、それ ぞれ中立面 CTの位置(レチクル面)にほぼ一致している。従って、いわゆるアッベ誤 差が殆どなぐレチクルステージ RST (レチクル R)の XY平面内の位置を精度良く計 柳』することができる。
[0038] そして、図 4 (A)の前記 4つの延設部 24C1, 24C2, 24D1, 24D2は、概略板状 の形状を有し、各延設部には強度向上のための断面三角形状の補強部が設けられ ている。レチクルステージ本体 22の底面には、延設部 24C1から延設部 24D1に至 る Y方向の全域に亘る第 1の差動排気型の気体静圧軸受けが形成され、延設部 24 C2から延設部 24D2に至る Y方向の全域に亘る第 2の差動排気型の気体静圧軸受 けが形成されている。
[0039] 即ち、レチクルステージ本体 22の底面の延設部 24C1から延設部 24D1に至る領 域、及び延設部 24C2から延設部 24D2に至る領域に、それぞれ図 5に示すように、 差動排気型のエアーパッド 33A及び 33Bが配置されて!、る。エアーパッド 33A及び 33Bからレチクルベース 16のガイド部 16aの上面(ガイド面) GPに噴き付けられる加 圧気体の静圧と、レチクルステージ RST全体の自重とのバランスにより、その上面 G Pの上方に数 m程度のクリアランスを介して、レチクルステージ RSTが非接触で浮 上支持されている。
[0040] 図 2に戻り、前記枠状部材 18の上面には、概略環状の凹溝 18d, 18eが二重に形 成されている。このうちの内側の凹溝 (以下、「給気溝」と呼ぶ) 18dには、その内部に 複数の給気口(不図示)が形成され、外側の凹溝 (以下、「排気溝」と呼ぶ) 18eには 、複数の排気口(不図示)が形成されている。給気溝 18dの内部に形成された給気 口は、不図示の給気管路及び給気管を介してパージガスを供給する不図示のガス 供給装置に接続されている。また、排気溝 18eの内部に形成された排気口は、不図 示の排気管路及び排気管を介して不図示の真空ポンプに接続されている。枠状部 材 18の上面の給気溝 18d及び排気溝 18eを含んで、実質的に、枠状部材 18の上面 に数; z m程度のクリアランスを介して図 1の照明系側プレート 14を浮上支持する差動 排気型の気体静圧軸受けが構成されて ヽる。
[0041] また、枠状部材 18の底面にも、上面の給気溝 18d及び排気溝 18eに対応するよう に概略環状の凹溝からなる給気溝及び排気溝 (不図示)が形成され、これらの給気 溝及び排気溝もそれぞれ不図示のパージガス用のガス供給装置及び真空ポンプに 接続されている。その給気溝及び排気溝を含んで、実質的に、レチクルベース 16の 上面に枠状部材 18を数; z m程度のクリアランスを介して浮上支持する差動排気型の 気体静圧軸受けが構成されている。これらの場合、給気溝 18d等から排気溝 18e等 に向力 気体の流れが生じているため、それらのクリアランスを介して枠状部材 18の 内部に外気が混入するのが効果的に阻止されている。
[0042] このように、図 1の枠状部材 18と照明系側プレート 14との間のクリアランス、及びレ チクルベース 16と枠状部材 18との間のクリアランスが前述のパージガスの流れによ つて気密化され、更に、投影光学系 PLの上端部とレチクルベース 16との間が前述の シール部材 98により覆われている。従って、枠状部材 18により囲まれた空間内は非 常に気密度が高い空間となっている。以下、枠状部材 18により囲まれた空間を、便 宜上「気密空間」と呼ぶものとする。
[0043] 本例の枠状部材 18によって囲まれたレチクル Rを含む気密空間内にも、露光光に 対する透過率を高く維持するために、不図示のガス供給装置及び真空ポンプを介し て露光光を透過する上述のパージガスが供給されている。そして、枠状部材 18の + Y方向側の側壁の端部には、図 3に示すように、矩形開口 18aが形成され、この矩形 開口 18a内には窓ガラス glが嵌め込まれている。更に、枠状部材 18の- Y方向側の 側壁の端部及び中央部には、矩形開口 18b及び 18cが形成され、矩形開口 18b及 び 18c内にはそれぞれ窓ガラス g2及び g3が嵌め込まれている。図 4 (A)のレーザ干 渉計の配置において、実際にはレーザ光源 69XL及びレシーバ 69XAは、図 3の矩 形開口 18aの外側に配置され、レシーバ 69XB及びレーザ干渉計 69Yはそれぞれ 図 3の矩形開口 18b及び 18cの外側に配置されている。この場合、窓ガラス gl, g2, g3が設けられているため、枠状部材 18内の気密空間の気密性を損なうことなぐレ 一ザ干渉計によってレチクルステージ RSTの位置を計測することができる。
[0044] 次に、図 2に示すように、レチクルステージ駆動系は、レチクルステージ RSTを Y方 向に駆動するとともに Θ z方向(Z軸の回りの回転方向)に微小駆動する一対の第 1駆 動機構 36, 38と、レチクルステージ RSTを X方向に微小駆動する第 2駆動機構 40と を備えている。図 1のステージ制御系 90が、上記のレーザ干渉計によって計測される レチクルステージ RSTの X方向、 Y方向の位置 XR, YR、及び Z軸の回りの回転角 Θ zRの情報と、主制御装置 70からの制御情報とに基づいて、それらの第 1及び第 2駆 動機構の動作を制御する。前者の第 1駆動機構 36, 38は、枠状部材 18の内部に、 Y方向に沿って互いに平行に架設され、後者の第 2駆動機構 40は、枠状部材 18の 内部に架設された第 1駆動機構 38の +X方向側に、 Y方向に沿って架設されている
[0045] 前記一方の第 1駆動機構 36は、図 3の分解斜視図に示すように、 Y方向を長手方 向とする一対のそれぞれコイルユニットが配置された固定子ユニット 136A, 136Bと 、これらの固定子ユニット 136A, 136Bを Y方向(長手方向)の一端部と他端部とで 保持する一対の固定部材 152とを備えている。この場合、一対の固定部材 152により 、固定子ユニット 136A, 136Bは、 Z方向(上下方向)に所定間隔をあけて相互に対 向してかつ XY平面にそれぞれ平行に保持されている。一対の固定部材 152のそれ ぞれは、前述の枠状部材 18の内壁面に固定されている。
[0046] 前記固定子ユニット 136A, 136Bは、図 3及び図 1のレチクルステージ本体 22付近 の断面図である図 5からも分力るように、断面矩形 (長方形)の非磁性材料から成るフ レームを有し、その内部には、 Y方向に所定間隔で複数のコイルが配設されている。 前記 +X方向側の第 1駆動機構 38も上記一方の第 1駆動機構 36と同様に構成さ れている。即ち、第 1駆動機構 38は、 Y方向を長手方向とする上下一対のそれぞれ コイルユニットが配置された固定子ユニット 138A, 138Bと、これらの固定子ユニット
138A, 138Bを Z方向に所定間隔を維持した状態で両端部にて固定する一対の固 定部材 154とを備えている。一対の固定部材 154のそれぞれは、前述の枠状部材 1
8の内壁面に固定されている。固定子ユニット 138A, 138Bは、前述の固定子ュニッ ト 136A, 136Bと同様に構成されている(図 5参照)。
[0047] また、上側の固定子ユニット 136A, 138Aと、下側の固定子ユニット 136B, 138B との間には、図 5に示すように、それぞれ所定のクリアランスを介して、レチクルステー ジ RSTが配設されている。この場合、固定子ユニット 136A, 136Bにそれぞれ対向 して、レチクルステージ RSTの上面、下面には、一対のそれぞれ磁石ユニット(磁極 ユニット)が配置された可動子ユニット 26A, 26Bが埋め込まれ、固定子ユニット 138
A, 138B〖こ対向して、レチクルステージ RSTの上面、下面には、一対のそれぞれ磁 石ユニットが配置された可動子ユニット 28A, 28Bが埋め込まれている。本例では、 可動子ユニット 26A, 26B及び 28A, 28Bの磁石ユニットとして、それぞれ Z方向に 磁界を発生する複数の永久磁石を所定ピッチで極性を反転しながら Y方向に配置し たユニットが使用されている力 その永久磁石の代わりに電磁石等も使用することが できる。
[0048] 可動子ユニット 26A, 26Bのそれぞれは、図 4 (B)〖こ示すように、前述のレチクルス テージ本体 22の板状部 24Aの段付き開口 22aの X方向側に、レチクルステージ本 体 22の中立面 CTに対して対称に上下面側にそれぞれ形成された凹部 24el, 24e 2内に配置されている。この場合、図 5の固定子ユニット 136A, 136Bは、上記中立 面 CTを基準としてほぼ対称な位置に位置している。そして、一対の可動子ユニット 2 6A, 26Bは、磁性体部材と、この磁性体部材の表面に Y方向に沿って所定間隔で 配置された複数の磁石とを、それぞれ備えている。複数の磁石は、隣り合う磁石同士 で逆極性とされている。従って、可動子ユニット 26Aの上方の空間及び可動子ュニッ ト 26Bの下方の空間にはそれぞれ Y方向に沿って交番磁界が形成されている。
[0049] 同様に、前記一対の可動子ユニット 28A, 28Bのそれぞれは、図 4 (B)に示すよう に、前述のレチクルステージ本体 22の板状部 24Aの段付き開口 22aの +X方向側 に、レチクルステージ本体 22の中立面 CTに関して対称に上下面側にそれぞれ形成 された凹部 24fl, 24f 2内に配置されている。また、一対の可動子ユニット 28A, 28 Bは、段付き開口 22aの X方向の中心位置(レチクルステージ RSTの重心の X方向の 位置とほぼ一致)を通る Z軸に平行な直線に関して、可動子ユニット 26A, 26Bとほ ぼ左右対称の配置となっている。また、図 5の第 1固定子ユニット 138A, 138Bは、 中立面 CTを基準としてほぼ対称な位置に位置している。一対の可動子ユニット 28A , 28Bの構成は、可動子ユニット 26A, 26Bと同様であり、可動子ユニット 28Aの上 方の空間及び可動子ユニット 28Bの下方の空間にもそれぞれ Y方向に沿って交番 磁界が形成されている。
[0050] 本例では、上述した上側の固定子ユニット 136A及び 138Aと、レチクルステージ本 体 22側に対向して配置された可動子ユニット 26A及び 28Aとから、それぞれ図 5に 示すように第 1の Y軸リニアモータ 76A及び第 2の Y軸リニアモータ 78Aが構成され ている。そして、下側の固定子ユニット 136B及び 138Bと、レチクルステージ本体 22 側の対応する可動子ユニット 26B及び 28Bとから、それぞれ図 5に示すように第 3の Y軸リニアモータ 76B及び第 4の Y軸リニアモータ 78Bが構成されている。つまり、そ れぞれ 1軸の駆動装置としての第 1、第 2、第 3、及び第 4の Y軸リニアモータ 76A, 7 8A, 76B, 78Bから上記の第 1駆動機構 36及び 38が構成されている。本例の 4軸の Y軸リニアモータ 76A, 78A, 76B, 78Bはそれぞれムービングマグネット型であり、 広 、ストロークで移動する部材側には配線を接続する必要がな 、ため、移動速度を 高めることができる。
[0051] この場合、 Y軸リニアモータ 76A, 78A, 76B, 78Bでは、それぞれ固定子ユニット 136A, 138A, 136B, 138B (固定子)【こ対してネ目対的【こ可動子ュニッ卜 26A, 28 A, 26B, 28B (可動子)を Y方向に駆動する推力を発生する。実際にはその推力の 反作用によって固定子も可動子とは反対方向に僅かに移動する。そのため、本明細 書では、相対的な移動量が多い方の部材を可動子又は可動子ユニットと呼び、相対 的な移動量が少ない方の部材を固定子又は固定子ユニットと呼んでいる。
[0052] 上述の通り、第 1、第 2、第 3、及び第 4の Y軸リニアモータ 76A, 78A, 76B, 78B の固定子ユニット 136A, 138A, 136B, 138B (固定子)はそれぞれ図 2の枠状部 材 18に連結され、可動子ユニット 26A, 28A, 26B, 28Bはそれぞれ図 2の可動ステ ージとしてのレチクルステージ RST (レチクルステージ本体 22)に固定されている。ま た、第 1及び第 2の Y軸リニアモータ 76A及び 78Aは、レチクル Rを挟むようにほぼ対 称に X方向に離れて配置されて、それぞれ枠状部材 18に対して相対的にレチクルス テージ RSTを Y方向に駆動する。また、第 3及び第 4の Y軸リニアモータ 76B及び 78 Bは、第 1及び第 2の Y軸リニアモータ 76A及び 78Aに対向するように配置されて、そ れぞれ枠状部材 18に対して相対的にレチクルステージ RSTを Y方向に駆動する。
[0053] また、本例では図 2の第 1駆動機構 36, 38が内側に固定された枠状部材 18は、底 面側のレチクルベース 16及び上面側の照明系側プレート 14との間で気体軸受けを 介して非接触に支持されている。そのため、 Y軸リニアモータ 76A, 78A, 76B, 78 Bによってレチクルステージ RSTを Y方向に駆動する際に、反力を相殺するように枠 状部材 18が逆方向に僅かに移動する。これによつてレチクルステージ RSTを駆動す る際の振動の発生が抑制される。但し、レチクルステージ RSTの質量に対して枠状 部材 18の質量はかなり大きいため、枠状部材 18の移動量は僅かである。
[0054] 本例では、通常は、図 5において、 X方向側の第 1及び第 3の Y軸リニアモータ 76 A及び 76Bは、同期して Y方向に同じ推力を発生するように駆動される。同様に、 + X方向側の第 2及び第 4の Y軸リニアモータ 78A及び 78Bも、同期して Y方向に同じ 推力を発生するように駆動される。そして、レチクルステージ RST (レチクル R)を Y方 向に等速駆動するような場合には、第 1及び第 3の Y軸リニアモータ 76A, 76Bと、第 2及び第 4の Y軸リニアモータ 78A, 78Bとが更に同期してほぼ等 、推力で枠状部 材 18に対してレチクルステージ RSTを Y方向に駆動する。また、レチクルステージ R STの回転角 0 z (ョーイング)を補正する必要のある場合には、第 1及び第 3の Y軸リ -ァモータ 76A, 76Bが発生する推力と、第 2及び第 4の Y軸リニアモータ 78A, 78 Bが発生する推力との大きさの比が制御される。
[0055] 本例の場合、図 4 (B)に示すように、レチクルステージ RSTの中立面 CTを基準とし て、可動子ユニット 26A及び 26B、並びに可動子ユニット 28A及び 28Bがそれぞれ 対称に配置され、これらの可動子ユニットに対応する図 5の固定子ユニット 136A及 び 136B、並びに固定子ユニット 138A及び 138Bもそれぞれ中立面 CTを基準として 上下対称に配置されている。このため、固定子ユニット 136A, 136B, 138A, 138B のコイルにそれぞれ対応する電流を供給して、互いに同一の駆動力を可動子ュ-ッ ト 26A, 26B, 28A, 28Bに与えることによって、レチクルステージ RSTの中立面 CT (図 4 (B)参照)上の 2箇所に Y方向の駆動力(可動子ユニット 26A, 26Bの駆動力の 合力、及び可動子ユニット 28A, 28Bの駆動力の合力)を作用させることができる。こ れにより、レチクルステージ RSTにはピッチングモーメントが極力作用しないようにな つている。
[0056] 更に、可動子ユニット 26A, 26Bと、可動子ユニット 28A, 28Bとは、 X方向に関し ても、レチクルステージ RSTの重心近傍位置に関してほぼ対称に配置されて 、る。 そのため、レチクルステージ RSTの重心から X方向に等距離の 2箇所で上記の Y方 向の駆動力が作用するので、この 2箇所に同一の力を発生させることでレチクルステ ージ RSTの重心位置近傍に Y方向の駆動力の合力を作用させることが可能となって いる。従って、例えばレチクルステージ本体 22を Y方向に直線的に駆動するような場 合に、レチクルステージ RSTにはョーイングモーメントも極力作用しないようになって いる。
[0057] 次に、第 2駆動機構 40は、図 3に示すように、 Y方向を長手方向とする一対の固定 子としての固定子ユニット 140A, 140Bと、これらの固定子ユニット 140A, 140Bを Y 方向(長手方向)の一端部と他端部とで保持する一対の固定部材 156とを備えてい る。この場合、一対の固定部材 156により、固定子ユニット 140A, 140Bは、 Z方向( 上下方向)に所定間隔をあけて相互に対向してかつ XY平面にそれぞれ平行に保持 されている。一対の固定部材 156のそれぞれは、前述の枠状部材 18の内壁面に固 定されている。
[0058] 固定子ユニット 140A, 140Bは、図 5からも分力るように、断面矩形 (長方形)の非 磁性材料から成るフレームを有し、その内部には、コイルが配置されている。固定子 ユニット 140A, 140Bの間には、図 5に示すように、それぞれ所定のクリアランスを介 して、レチクルステージ RSTの +X方向の端部に固定された可動子としての断面矩 形 (長方形)の板状の Z方向に磁界を発生する永久磁石 30が配置されて 、る。永久 磁石 30に代えて、磁性体部材とその上下面にそれぞれ固定された一対の平板状の 永久磁石とから成る磁石ユニットを用いても良!、。 [0059] この場合、永久磁石 30及び固定子ユニット 140A, 140Bは、中立面 CTを基準とし てほぼ対称な形状及び配置となっている(図 4 (B)及び図 5参照)。従って、永久磁石 30によって形成される Z方向の磁界と固定子ユニット 140A, 140Bをそれぞれ構成 するコイルを γ方向に流れる電流との間の電磁相互作用により、フレミングの左手の 法則に従ってそのコイルに X方向の電磁力(ローレンツ力)が発生し、この電磁力の 反力が永久磁石 30 (レチクルステージ RST)を X方向に駆動する推力となる。また、 この場合にも、レチクルステージ RSTを X方向に駆動する際の反力を相殺するように 、逆方向に枠状部材 18が僅かに移動する。従って、レチクルステージ RSTを X方向 に駆動する際の振動の発生も抑制されている。
[0060] この場合、固定子ユニット 140A, 140Bをそれぞれ構成するコイルに同一の電流を 供給することにより、レチクルステージ RSTの中立面 CT (図 4 (B)参照)上の位置に X 方向の駆動力を作用させることができ、これにより、レチクルステージ RSTにはローリ ングモーメントが極力作用しな 、ようになって 、る。
上述のように、固定子ユニット 140A, 140Bと永久磁石 30とにより、レチクルステー ジ RSTを X方向に微小駆動可能なムービングマグネット型の X軸ボイスコイルモータ 79が構成されている。この駆動装置としての X軸ボイスコイルモータ 79によって、第 2 駆動機構 40が構成されて 、る。
[0061] この結果、図 2の本例のレチクルステージ RSTは、枠状部材 18に対してガイドレス 方式で X方向、 Y方向、 0 z方向の 3自由度で相対的に変位できるように支持されて おり、枠状部材 18に対してレチクルステージ RSTを相対的に駆動するために、 Y方 向に推力を発生する 4軸の Y軸リニアモータ 76A, 78A, 76B, 78Bと X方向に推力 を発生する 1軸の X軸ボイスコイルモータ 79と力 なる 5軸の駆動装置が設けられて いる。
[0062] 本例では、更に、前述の枠状部材 18の +X方向の側面及び +Y方向の側面には 、図 3に示すように、 Z方向の磁界を形成する磁石ユニットを含む可動子 60A, 60B, 60Cが設けられている。これらの可動子 60A, 60B, 60Cに対応してレチクルベース 16には、支持台 64A, 64B, 64Cを介して、 Y方向に電流を流すコイルを含む固定 子 62A, 62B及び X方向に電流を流すコイルを含む固定子 62Cが設けられて!/、る。 従って、固定子 62A, 62B内のコイルに Y方向の電流が供給されることにより、可動 子 60Α, 60Βには X方向への駆動力(ローレンツ力の反力)が作用することとなる。即 ち、可動子 60Αと固定子 62Αとにより、及び可動子 60Βと固定子 62Βとにより、それ ぞれムービングマグネット型のボイスコイルモータから成る X方向駆動用のトリムモー タが構成されている。また、固定子 62C内のコイルに Υ方向の電流が供給されること により、可動子 60Cには X方向への駆動力(ローレンツ力の反力)が作用することとな る。即ち、可動子 60Cと固定子 62Cとによりムービングマグネット型のボイスコイルモ 一タカ 成る Υ方向駆動用のトリムモータが構成されている。これら 3つのトリムモータ を用いることにより、レチクルベース 16に対して枠状部材 18を X方向、 Υ方向、及び θ ζ方向の 3自由度方向に駆動することが可能である。
[0063] 上述のようにレチクルステージ RSTを X方向、 Υ方向、 0 ζ方向に駆動する際には、 その作用を相殺するように枠状部材 18が僅かに移動するため、枠状部材 18の ΧΥ 平面内の位置が次第にずれる恐れがある。そこで、可動子 60Α— 60C及び固定子 6 2Α— 62Cよりなるトリムモータを用いて、例えば定期的に枠状部材 18の位置を中央 に戻すことで、枠状部材 18の位置がレチクルベース 16から外れることが防止できる。
[0064] 次に、本例の図 4 (A)の第 1及び第 2光学系 31, 32を含むレーザ干渉計の構成例 、及びその光学系 31及び 32の温度を安定ィ匕するための機構につき詳細に説明する 図 6は、図 4 (A)のレチクルステージ RSTを図 1のレチクルベース 16上に載置した 状態を示す要部の平面図であり、この図 6において、レチクルステージ RST (レチタ ルステージ本体 22)の X方向の端部の Υ方向に離れた光学部材支持部 24B1及び 24Β2上にそれぞれ光学系 31及び 32が固定されて 、る。前者の第 1光学系 31は、 ハーフミラー面 3 laと、偏光ビームスプリツター面 3 lbと、 1Z4波長板が設けられた入 射出面 31cと、全反射面 31dとを備える 5角形のプリズム体であり、後者の第 2光学系 32は、全反射面 32aと、偏光ビームスプリツター面 32bと、 1/4波長板が設けられた 入射出面 32cと、全反射面 32dとを備える 5角形のプリズム体である。また、第 1光学 系 31に対して + Y方向に窓ガラス g 1を隔ててレーザ光源 69XLと第 1レシーバ 69X Aとが配置され、第 2光学系 32に対して Y方向に窓ガラス g2を隔てて第 2レシーバ 69XBが配置されている。更に、光学系 31及び 32に X方向に対向するように、レチ クルベース 16上に Y軸に平行に固定鏡 MXが配置されて!、る。
[0065] この構成において、レーザ光源 69XL力 Y軸に平行に射出されたレーザビーム L X(上記のように所定周波数差を持ち偏光方向が直交する 2つの成分よりなる)は、第 1光学系 31のハーフミラー面 31aにて反射光である第 1レーザビームと透過光である 第 2レーザビームとに分割され、前者の第 1レーザビームは偏光ビームスプリツター面 31bに向かい、後者の第 2レーザビームは第 2光学系 32に向かう。その第 1レーザビ ームの S偏光成分は、偏光ビームスプリツター面 31bにて第 1参照ビーム LX2として 第 1レシーバ 69XA側に反射される。また、その第 1レーザビームの P偏光成分は、偏 光ビームスプリツター面 31bを第 1計測ビーム LX1として透過した後、入射出面 31c ( 1,4波長板)を経て X軸に平行に固定鏡 MXの反射面に入射する。そこで反射され た第 1計測ビーム LX1は、入射出面 31c、偏光ビームスプリツター面 31b、全反射面 31 d、及び入射出面 31 cを経て再び X軸に平行に固定鏡 MXの反射面に入射する。 そこで再び反射された第 1計測ビーム LX1は、入射出面 31c及び全反射面 31dを経 て P偏光となって偏光ビームスプリツター面 31bを透過した後、上記の第 1参照ビーム LX2と同軸に合成されてレシーバ 69XAに入射する。この際に、第 1光学系 31の射 出面又はレシーバ 69XAの入射面等に 1Z4波長板を設置しておくことによって、レ シーバ 69XAでは第 1計測ビーム LX1と第 1参照ビーム LX2との干渉光 (ビート光)を 検出できる。従って、その光電変換信号力も上述のようにダブルパス干渉方式で、固 定鏡 MXに対する第 1光学系 31 (偏光ビームスプリツター面 31b)の X方向の位置 (変 位)を例えば分解能 0. 1— lnm程度で計測できる。
[0066] 一方、上記の第 2レーザビームは、第 2光学系 32の全反射面 32aで X方向に反射 される。その第 2レーザビームの S偏光成分は、偏光ビームスプリツター面 32bにて第 2参照ビーム LX4として第 2レシーバ 69XB側に反射される。また、その第 2レーザビ ームの P偏光成分は、偏光ビームスプリツター面 32bを第 2計測ビーム LX3として透 過した後、入射出面 32c ( 1 Z4波長板)を経て X軸に平行に固定鏡 MXの反射面に 入射する。そこで反射された第 2計測ビーム LX3は、入射出面 32c、偏光ビームスプ リツター面 32b、全反射面 32d、及び入射出面 32cを経て再び X軸に平行に固定鏡 MXの反射面に入射する。そこで再び反射された第 2計測ビーム LX3は、入射出面 3 2c及び全反射面 32dを経て P偏光となって偏光ビームスプリツター面 32bを透過した 後、上記の第 2参照ビーム LX4と同軸に合成されてレシーバ 69XBに入射する。この 際に、第 2光学系 32の射出面又はレシーバ 69XBの入射面等に 1Z4波長板を設置 しておくことによって、レシーバ 69XBでは第 2計測ビーム LX3と第 2参照ビーム LX4 との干渉光 (ビート光)を検出できる。従って、その光電変換信号力も上述のようにダ ブルパス干渉方式で、固定鏡 MXに対する第 2光学系 32 (偏光ビームスプリツター面 32b)の X方向の位置 (変位)を例えば分解能 0. 1— lnm程度で計測できる。これに よってレーザ干渉計方式で、レチクルステージ RST (レチクルステージ本体 22)の Y 方向に離れた 2箇所の位置で、レチクルベース 16に対する X方向の位置 (変位)を高 精度に計測することができる。
[0067] また、図 6において、 Y軸のレーザ干渉計 69Yは、内部で生成される参照ビームと レトロリフレクタ MYに照射される計測ビーム LYとの干渉光を検出している。しかしな がら、別の構成として図 6に 2点鎖線で示すように、レチクルベース 16上に 2つの偏光 ビームスプリツター面 37a, 37bを持つ光学部材 37を固定して、光学部材 37によって 参照ビーム(S偏光成分)とレトロリフレクタ MYに向力う計測ビーム LY(P偏光成分) とを分離してもよ 、。このようにレチクルベース 16上の光学部材 37を用いることによつ て、レチクルベース 16を基準としてレチクルステージ RSTの Y方向の位置を計測す ることができる。更に、レーザ干渉計 69Yもダブルパス方式とすることができる。
[0068] 走査露光時に、本例のレチクルステージ RST (可動ステージ)は、レチクル Rを保持 した状態でレチクルベース 16上を固定鏡 MXに沿ってほぼ往復運動を行うように、交 互に +Y方向及び Y方向に走査される。そのため、レーザ干渉計の光学系 31, 32 (光学部材)が設けられた光学部材支持部 24B1, 24B2 (特定部分)の移動軌跡も、 ほぼその固定鏡 MXに沿った Y方向に細長い領域となる。また、図 5に示すように、レ チクルステージ RST内で光学系 31, 32が設けられた光学部材支持部 24B1, 24B2 に一体的に連結された板状部 24Aを上下に非接触に挟むように、発熱源としての Y 軸リニアモータ 76A, 76Bのコイルを含む固定子ユニット 136A, 136B (固定子)が 配置されている。そのため、温度安定化対策を施すことなく走査露光を継続すると、 固定子ユニット 136A, 136Bのコイル力もの放射熱力 板状部 24Aを経て光学部材 支持部 24B1, 24B2に伝わって、光学部材支持部 24B1, 24B2及びこの上の光学 系 31, 32の温度が次第に上昇する。その結果として、図 6の光学系 31, 32のガラス の屈折率変動や、偏光ビームスプリツター面 31b, 32bの位置変動等が生じると、レ チクルステージ RSTの X方向の位置、及び Z軸の回りの回転角の計測値に誤差が生 じる恐れがある。
[0069] このような計測値の誤差を抑制するために、本例では図 5に示すように、光学部材 支持部 24B1, 24B2の底面側のレチクルベース 16の上面に、熱伝導部材としての Y方向に細長く断面形状がほぼ矩形のロッド部材 27が、例えばねじ止め(図 6参照) によって固定されている。ロッド部材 27の上面の両端部には、ねじ止め用の段差部 が形成されており、ロッド部材 27の内部には Y方向に沿って冷媒 CHを供給するため の流路 27aが形成されている。ロッド部材 27の材料としては、比較的熱伝導率の高 い材料、例えばアルミニウム、黄銅、若しくは銅等の金属、熱伝導率の高いセラミック ス、又は炭素繊維等を含む複合材料などが使用できる。また、冷媒 CHとしては、水 又はフッ素系不活性液体などが使用できる。フッ素系不活性液体としては、例えばハ イド口フロロエーテル (HFE)又はフロリナート(米国スリーェム社の商品名)などが使 用できる。なお、環境を考慮すると、冷媒 CHとしては水又はハイド口フロロエーテル( HFE)などが好ましい。
[0070] 図 5において、ロッド部材 27の上面と光学部材支持部 24B1, 24B2 (特定部分)の 底面とは、薄い気体層 35を隔てて非接触で対向している。気体層 35の厚さ(所定ギ ヤップ)は、ロッド部材 27の温度に追従して光学部材支持部 24B1, 24B2の温度が 変化する程度に熱抵抗が小さいと見なせる厚さ、例えば 100 m程度以下に設定さ れることが望ましい。
[0071] また、図 6に示すように、ロッド部材 27は、光学部材支持部 24B1, 24B2 (特定部 分)の移動軌跡にほぼ合致するように Y方向(レチクルステージ RSTの走査方向)に 沿って配置されている。そして、外部の冷媒供給装置 45から供給配管 44Aを介して ロッド部材 27内の流路 27a (図 5参照)に冷媒が供給され、その流路 27a内を流れた 冷媒は、排出配管 44Bを介して冷媒供給装置 45に回収される。冷媒供給装置 45は 、一例として排出配管 44B側力 冷媒貯蔵部、温度制御部、及び排出ポンプ部等を 備えている。更に、供給配管 44A内及び光学部材支持部 24B2上にそれぞれ温度 センサ 46A及び 46Bが設置され、光学部材支持部 24B1上にも温度センサ(不図示 )が設置されている。これらの温度センサ 46A, 46B等の計測値に基づいてコンビュ ータよりなる制御部 47が、走査露光中に光学部材支持部 24B1, 24B2の温度がほ ぼ露光開始前の温度付近に安定に維持されるように、冷媒供給装置 45からロッド部 材 27内に供給される冷媒の温度及び流量を制御する。即ち、配管 44A, 44B、温度 センサ 46A, 46B等、冷媒供給装置 45、及び制御部 47から、ロッド部材 27 (熱伝導 部材)の温度を制御するための温度制御装置が構成されて 、る。
[0072] このように本例によれば、走査露光中に Y軸リニアモータ 76A, 78A, 76B, 78B、 及び X軸ボイスコイルモータ 79からの発熱が生じても、光学部材支持部 24B1, 24B 2の温度、ひいてはその上の光学系 31及び 32の温度がほぼ露光開始前の温度に 安定に維持される。従って、レチクルステージ RSTの X方向の位置及び Z軸の回りの 回転角をレーザ干渉計方式で常時高精度に計測することができる。なお、本例にお いては、光学部材支持部 24B1, 24B2が温度制御されたロッド部材 27と対向するよ うに配置され、光学系 31, 32は光学部材支持部 24B1, 24B2を介して温調される 構成とした力 光学系 31, 32をレチクルステージ本体 22の X方向側面に固設し、 光学系 31, 32が直接ロッド部材 27と対向するように配置してもよい。この場合、より 効率的に光学系 31 , 32の温度を安定的に維持することができる。
[0073] 更に、本例では図 5に示すように、レチクルステージ RST (レチクルステージ本体 22 )の気体静圧軸受け用のエアーパッド 33A, 33Bはレチクル Rの近傍に配置され、そ の外側に Y軸リニアモータ 76A, 78A, 76B, 78Bが配置されている。そのため、レ チクルステージ RSTを Y方向に駆動する際に、レチクルステージ本体 22に設けられ た可動子ユニット 26A, 28A, 26B, 28Bが重りとなって、エアーパッド 33A, 33B起 因の比較的低周波域の振動モードが残存する恐れがある。これに対して、本例では ロッド部材 27 (熱伝導部材)及びスクイーズダンバとして作用できる気体層 35は、レ チクル R (物体)から見てレチクルステージ RSTを Y方向に駆動するための Y軸リニア モータ 76A, 76Bの可動子ユニット 26A, 26B及び固定子ユニット 136A, 136Bより も外側に配置されている。従って、レチクルステージ RSTを Y方向に駆動する際に、 気体層 35のダンピング効果によってエアーパッド 33A, 33B起因の振動モードが減 衰するため、レチクルステージ RSTを Y方向により安定に駆動することができる。
[0074] この場合、気体層 35が薄い方がダンピング効果が高くなる力 気体層 35の厚さが 1 0— 20 m程度であれば、特に有効にダンピング効果を得ることができる。但し、光 学部材支持部 24B1, 24B2とロッド部材 27とが接触しない範囲であれば、気体層 3 5の厚さは 0— 20 μ m程度に設定しても特に有効にダンピング効果を得ることができ る。
[0075] 図 1に戻り、前記投影光学系 PLとしては、両側テレセントリックで屈折系又は反射 屈折系よりなる投影倍率が 1Z4又は 1Z5等の縮小系が用いられている。走査露光 中には、露光光 ILのもとで、レチクル R (物体又は第 1物体)の照明領域 IAR内のパ ターンの投影光学系 PLを介した縮小像は、投影光学系 PLの物体面上に配置され たウェハ W (第 2物体)上の一つのショット領域のレジスト層上の細長い露光領域 IA 上に転写される。被露光基板としてのウェハ Wは、半導体 (シリコン等)又は SOI (silicon on insulator)等の直径が例えば 150— 300mmの円板状の基板である。
[0076] 投影光学系 PLは、鏡筒部に設けられたフランジ部 FLGを介して、不図示の保持部 材によって保持されている。また、投影光学系 PLの鏡筒には、給気管 50の一端と、 排気管 51の一端とがそれぞれ接続されている。給気管 50の他端は、不図示のパー ジガスの供給装置に接続され、排気管 51の他端は、外部のガス回収装置に接続さ れている。そして、給気管 51から投影光学系 PLの光学素子間の光路上に露光光 IL を透過するパージガスがフロー方式で供給されている。
[0077] 次に、ウェハステージ WSTは、ウェハ室 80内に配置されている。このウェハ室 80 は、天井部の略中央部に投影光学系 PLの下端部を通すための円形開口 71aが形 成された隔壁 71で覆われている。この隔壁 71は、ステンレス(SUS)等の脱ガスの少 ない材料で形成されている。また、隔壁 71の天井壁の開口 71aの周囲と投影光学系 PLのフランジ部 FLGとの間は、フレキシブルべローズ 97により隙間なく密閉されてい る。このようにして、ウェハ室 80の内部が外部と隔離されている。
[0078] ウェハ室 80内には、定盤よりなるウェハベース BS力 複数の防振ユニット 86を介し てほぼ水平に支持されている。ウェハステージ WSTは、ウェハホルダ 25を介してゥ エノ、 Wを真空吸着等により保持し、例えばリニアモータ等を含む不図示のウェハ駆 動系によってウェハベース BSの上面に沿って XY2次元方向に駆動される。ウェハ 室 80の隔壁 71には、図 1に示すように、給気管 41の一端と、排気管 43の一端とがそ れぞれ接続されている。給気管 41の他端は、不図示のパージガスの供給装置に接 続され、排気管 43の他端は、外部のガス回収装置に接続されている。そして、前述と 同様にして、ウェハ室 80内にパージガスが常時フロー方式で供給されている。
[0079] ウェハ室 80の隔壁 71の- Y方向側の側壁には光透過窓 85が設けられている。こ れと同様に、図示は省略されている力 隔壁 71の +X方向側の側壁にも光透過窓が 設けられている。また、ウェハホルダ 25の Y方向側の端部には、平面鏡力も成る Y 軸移動鏡 56 Yが X方向に延設されている。同様に、図示は省略されている力 ゥェ ハホルダ 25の +X方向側の端部には、平面鏡力も成る X軸移動鏡が Y方向に延設さ れている。そして、ウェハ室 80の外部の Y軸レーザ干渉計 57Y及び X軸レーザ干渉 計 (不図示)からの測長ビームが、それぞれ光透過窓 85及び不図示の透過窓を介し て Y軸移動鏡 56Y及び不図示の X軸移動鏡に照射されて 、る。 Y軸レーザ干渉計 5 7Y及び X軸レーザ干渉計は、それぞれ例えば内部の参照鏡を基準として対応する 移動鏡の位置及び回転角、即ちウェハ Wの X方向、 Y方向の位置、及び X軸、 Y軸、 Z軸の回りの回転角を計測する。 Y軸レーザ干渉計 57Y及び X軸レーザ干渉計の計 測値は、ステージ制御系 90及び主制御装置 70に供給され、ステージ制御系 90は、 その計測値及び主制御装置 70からの制御情報に基づ 、て、不図示の駆動系を介し てウェハステージ WSTの位置及び速度を制御する。
[0080] 次に、上述のようにして構成された投影露光装置 10による基本的な露光動作の流 れにつ 1、て簡単に説明する。
先ず、主制御装置 70の管理の下、不図示のレチクルローダ、ウェハローダによって 、レチクルロード、ウェハロードが行なわれる。その後、レチクルァライメント系、ウェハ ステージ WST上の基準マーク板、オファクシス'ァライメント検出系(いずれも図示省 略)等を用いて、レチクルァライメント及びウェハァライメントが実行される。次に、先 ず、ウェハ Wの位置力 ウェハ W上の最初のショット領域(ファースト 'ショット)の露光 のための走査開始位置となるように、ウェハステージ WSTが移動される。同時に、レ チクル Rの位置が走査開始位置となるように、レチクルステージ RSTが移動される。 そして、主制御装置 70からの指示により、ステージ制御系 90がレチクル側のレーザ 干渉計 69Y, 69YR等によって計測されたレチクル Rの位置情報、及びウェハ側の Y 軸レーザ干渉計 57Y及び X軸レーザ干渉計によって計測されたウェハ Wの位置情 報に基づき、レチクル R (レチクルステージ RST)とウェハ W (ウェハステージ WST)と を Y方向(走査方向)に同期移動させて、露光光 ILを照射することにより、ファースト' ショットへの走査露光が行なわれる。続いて、ウェハステージ WSTが非走査方向(X 方向)又は Y方向に 1ショット領域分だけステップ移動した後、次のショット領域に対 する走査露光が行なわれる。このようにして、ショット間のステップ移動と走査露光とが 順次繰り返されて、ウェハ W上の各ショット領域にレチクル Rのパターンが転写される
[0081] 本例によれば、レチクルステージ RSTのレーザ干渉計用の光学系 31, 32を保持 する光学部材支持部 24B1, 24B2の温度を温度制御されたロッド部材 27を介して 安定ィ匕しているため(図 5参照)、レチクルステージ RST (レチクル R)の位置計測精 度が向上している。し力も、レチクルステージ RST自体の構造は複雑ィ匕しないと共に 、レチクルステージ RST自体では冷媒用の配管を引き回す必要がないため、レチク ルステージ RSTを高速に、且つ安定に駆動することができる。また、ロッド部材 27上 の気体層 35のダンピング効果によって、レチクルステージ RSTを Y方向に更に安定 に駆動することができる。従って、露光後の重ね合わせ精度や解像度等の露光精度 も向上する。
[0082] なお、上記の実施形態では、ロッド部材 27 (熱伝導部材)用の温度制御装置は、冷 媒を用いている力 その代わりに、ペルティエ素子等の吸発熱素子等を用いてロッド 部材 27の温度を制御する温度制御装置を用いてもよい。また、冷媒を用いる場合、 ロッド部材 27の代わりに、冷媒を供給するための断面が矩形又は円形等の配管自体 を用いてもよい。また、ロッド部材 27等の熱伝導部材の形状は、ロッド状以外に例え ば図 2のレチクルベース 16のガイド部材 16a (ガイド面)を囲むような U字型又は枠型 などの種々の形状が考えられる。更に、そのように熱伝導部材の温度を制御するのと 併用して、図 5の Y軸リニアモータ 76A, 76Βの固定子ユニット 136A, 136B中のコ ィルを冷却するための冷媒を流す流路 (配管等)を設けてもよい。本例の固定子ュニ ット 136A, 136Bは移動量が少ないため、配管の引き回しの影響は小さいからであ る。これによつて、レチクルステージ RSTの温度制御精度を更に向上できる。
[0083] 次に、本発明の第 2の実施形態につき図 7 (A)を参照して説明する。図 7 (A)にお いて、図 5に対応する部分には同一符号を付してその詳細説明を省略する。
図 7 (A)は、本例の投影露光装置のレチクルステージ系の要部を示す断面図であ り、この図 7 (A)において、レチクルステージ RSTの板状部 24Αの上面にレチクル R を X方向に挟むように 1対の枠状のヨーク部材 52Α及び 53Αが固定され、ヨーク部材 52Α及び 53Α内に対向するように配置された磁石を含み交番磁界を発生する可動 子ユニット 54Α及び 55Αが配置されている。そして、可動子ユニット 54Α及び 55Αの 間に非接触で Υ方向に沿ってコイルを含む固定子ユニット 136A及び 138Aが配置 されている。
[0084] また、板状部 24Αの上面側と対称にその下面に 1対のヨーク部材 52Β及び 53Βが 固定され、ヨーク部材 52Β及び 53Β内に可動子ユニット 54Β及び 55Βが配置されて いる。そして、可動子ユニット 54Β及び 55Βの間に非接触で Υ方向に沿って固定子 ュニッ卜 136B及び 138B力酉己置されて!ヽる。固定子ュニッ卜 136A, 136B, 138A, 138Bはそれぞれ図 2の枠状部材 18に対応する部材に固定されている。本例では、 可動子ユニット 54Α, 55Α, 54Β, 55Βと固定子ユニット 136A, 138A, 136B, 138 Βと力ら、レチクルベース 16に対してレチクルステージ RSTを Υ方向に駆動するため の 4軸のムービングマグネット型の Υ軸のリニアモータが構成されて!、る。これ以外の 構成は、第 1の実施の形態と同様であり、本例でも、光学系 32を保持する光学部材 支持部 24Β2等の温度は、冷媒が供給されて!、る熱伝導部材としてのロッド部材 27 によって安定化されている。従って、それら 4軸の Υ軸のリニアモータからの発熱が生 じても、レチクルステージ RSTの位置を高精度に計測できる。
[0085] 次に、本発明の第 3の実施形態につき図 7 (B)を参照して説明する。図 7 (B)にお いて、図 7 (A)に対応する部分には同一符号を付してその詳細説明を省略する。 図 7 (B)は、本例の投影露光装置のレチクルステージ系の要部を示す断面図であり 、この図 7 (B)において、レチクルステージ RSTの板状部 24Aの X方向の端部に Y 方向に細長い平板状の光学部材支持部 24Bが例えば一体形成によって突設され、 光学部材支持部 24B上に Y方向に細長いロッド状の X軸の移動鏡 MX1が固定され ている。そして、移動鏡 MX1の YZ平面にほぼ平行な X方向の反射面に対向するよ うに、 X軸のレーザ干渉計 69Xが配置されている。本例では、レーザ干渉計 69Xから X軸の移動鏡 MX1の反射面に計測ビームを照射することによって、例えばレーザ干 渉計 69X内の参照面又は図 1の投影光学系 PLの側面の固定鏡等を基準としてレチ クルステージ RSTの X方向の位置を計測する。この場合、レチクルステージ RSTの Z 軸の回りの回転角を計測するために、図 4 (A)において、 Y軸の移動鏡としての第 1 のレトロリフレクタ MYに対して X方向に所定間隔で、板状部 24Aの端部に第 2のレト 口リフレクタ (不図示)を配置し、第 2の Y軸のレーザ干渉計 (不図示)を用いてその第 2のレトロリフレクタの Y方向の位置を計測してもよい。
[0086] 図 7 (B)にお 、て、本例でも光学部材支持部 24Bの底面側のレチクルベース 16上 にロッド部材 27が設置され、ロッド部材 27内に温度制御された冷媒 CHが供給され ている。これによつて、気体層 35を介して光学部材支持部 24Bの温度、ひいては X 軸の移動鏡 MX1の温度が安定化されるため、レチクルステージ RSTの X方向の位 置を高精度に計測することができる。本例では、移動鏡 MX1によってレチクルステー ジ RSTの重量は重くなる傾向があるが、例えば第 1の実施形態に比べてレチクルス テージ RSTの構成は単純ィ匕できる。
[0087] なお、移動鏡 MX1を軽量ィ匕するために、移動鏡 MX1の内部に開口を設けてもよく 、例えば光学部材支持部 24Bの側面を鏡面加工して、その側面を移動鏡 MX1の代 わりに用いても良い。
また、本発明は、投影露光装置のレチクルステージ系のみならず、ウェハステージ 系にち適用することがでさる。
[0088] なお、上記の実施形態の露光装置を用いて半導体デバイスを製造する場合、半導 体デバイスは、デバイスの機能'性能設計を行うステップ、このステップに基づいてレ チクルを製造するステップ、シリコン材料からウェハを製作するステップ、前述した実 施形態の投影露光装置によりレチクルのパターンをウェハに露光するステップ、デバ イス組み立てステップ (ダイシング工程、ボンディング工程、ノ ッケージ工程を含む)、 及び検査ステップ等を経て製造される。
[0089] また、複数のレンズから構成される照明光学系、投影光学系を露光装置本体に組 み込み光学調整をすると共に、多数の機械部品からなるレチクルステージやウェハ ステージを露光装置本体に取り付けて配線や配管を接続し、更に総合調整 (電気調 整、動作確認等)をすることにより上記の実施形態の露光装置を製造することができ る。なお、露光装置の製造は温度及びクリーン度等が管理されたクリーンルームで行 うことが望ましい。
[0090] なお、本発明は、走査露光型の露光装置のステージ系のみならず、一括露光型の 露光装置のステージ系や半導体検査装置等のステージ系にも同様に適用すること 力 Sできる。これらの場合の投影光学系の倍率は等倍でもよぐ拡大倍率でもよい。更 に本発明は、投影光学系を使用しないプロキシミティ方式等の露光装置のステージ 系にも適用することができる。また、例えば国際公開第 99Z49504号パンフレットな どに開示される液浸型露光装置のステージ系にも本発明を適用することができる。更 に、例えば国際公開第 98Z24115号、及び第 98Z40791号パンフレットなどに開 示されるように、露光動作とァライメント動作 (マーク検出動作)とをほぼ並行して行う ために、前述のウエノ、ステージ系が 2つのウェハステージを備える露光装置にも本発 明を適用することができる。
[0091] これらの場合、ウェハステージ系ゃレチクルステージ系にリニアモータを用いる場合 は、エアーベアリングを用いたエアー浮上型、又は磁気浮上型等の何れの方式で可 動ステージを保持してもよい。そして、可動ステージは、ガイドに沿って移動するタイ プでもよいし、ガイドを設けないガイドレスタイプであってもよい。更に、ウェハステー ジ、又はレチクルステージのステップ移動時や走査露光時等の加減速時に発生する 反力は、それぞれ例えば米国特許 (USP)第 5,528, 118号、又は米国特許 (USP)第 6,020,710号 (特開平 8— 33022号公報)に開示されているように、フレーム部材を用 いて機械的に床(大地)に逃がしてもよい。
[0092] なお、上記の実施形態の露光装置の用途としては、半導体素子製造用の露光装 置に限定されることなぐ例えば、角型のガラスプレートに形成される液晶表示素子 若しくはプラズマディスプレイ等のディスプレイ装置用の露光装置や、撮像素子 (CC D等)、マイクロマシン、薄膜磁気ヘッド、又は DNAチップ等の各種デバイスを製造 するための露光装置にも広く適用できる。更に、本発明は、各種デバイスのレチクル ノ ターンが形成されたレチクル (フォトマスク等)をフォトリソグラフイエ程を用いて製造 する際の、露光工程 (露光装置)にも適用することができる。
[0093] なお、本発明は上述の実施の形態に限定されず、本発明の要旨を逸脱しない範囲 で種々の構成を取り得ることは勿論である。また、明細書、特許請求の範囲、図面、 及び要約を含む 2003年 10月 8日付け提出の日本国特願 2003— 349085の全ての 開示内容は、そっくりそのまま引用して本願に組み込まれて!/、る。
産業上の利用可能性
[0094] 本発明を露光装置に適用した場合には、第 1物体又は第 2物体を駆動する可動ス テージを殆ど複雑ィ匕することなぐその可動ステージの位置計測精度等を向上できる ため、スループットを殆ど低下させることなぐ重ね合わせ精度等の露光精度を向上 できる。その結果、各種デバイスを高精度に量産することができる。

Claims

請求の範囲
[1] 物体を駆動するステージ装置において、
前記物体を保持してガイド面に沿って移動可能な可動ステージと、
前記可動ステージの特定部分に取り付けられた光学部材と、
前記光学部材の移動軌跡に沿って設けられ、前記特定部分と前記光学部材との 少なくとも一方に対して所定ギャップを隔てて配置された熱伝導部材と、
前記熱伝導部材の少なくとも一部の温度を制御することにより前記特定部分の温 度を制御する温度制御装置とを有することを特徴とするステージ装置。
[2] 前記温度制御装置は、前記熱伝導部材の内部又は表面に沿って配置された流路 部と、前記流路部に温度制御された冷媒を供給する冷媒供給装置とを有することを 特徴とする請求項 1に記載のステージ装置。
[3] 前記可動ステージは、前記ガイド面に沿って実質的に一定の軌道上で往復運動を 行うことを特徴とする請求項 1又は 2に記載のステージ装置。
[4] 前記光学部材は、前記可動ステージの位置を計測するための干渉計の一部を構 成することを特徴とする請求項 1一 3のいずれか一項に記載のステージ装置。
[5] 前記光学部材は、計測用の光ビームを反射する移動鏡であることを特徴とする請 求項 4に記載のステージ装置。
[6] 前記可動ステージ力 離れて配置された基準鏡を更に有し、
前記可動ステージに取り付けられた前記光学部材は、計測用の光ビームを前記基 準鏡に向けて反射する反射部材を含むことを特徴とする請求項 4に記載のステージ
[7] 前記可動ステージを駆動するリニアモータを更に有し、
前記熱伝導部材の前記温度制御装置によって温度制御される部分は、前記物体 力 見て前記リニアモータよりも外側に配置されることを特徴とする請求項 1一 6のい ずれか一項に記載のステージ装置。
[8] 前記所定ギャップは、 0から 20 mであることを特徴とする請求項 1一 7のいずれか 一項に記載のステージ装置。
[9] 第 1物体を露光ビームで照明し、前記露光ビームで前記第 1物体及び投影系を介 して第 2物体を露光する露光装置にぉレ、て、
請求項 1一 8のいずれか一項に記載のステージ装置を備え、
前記第 1物体及び前記第 2物体の少なくとも一方を前記ステージ装置で駆動する とを特徴とする露光装置。
PCT/JP2004/014280 2003-10-08 2004-09-29 ステージ装置及び露光装置 WO2005036618A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005514554A JPWO2005036618A1 (ja) 2003-10-08 2004-09-29 ステージ装置及び露光装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003349085 2003-10-08
JP2003-349085 2003-10-08

Publications (1)

Publication Number Publication Date
WO2005036618A1 true WO2005036618A1 (ja) 2005-04-21

Family

ID=34430994

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/014280 WO2005036618A1 (ja) 2003-10-08 2004-09-29 ステージ装置及び露光装置

Country Status (3)

Country Link
JP (1) JPWO2005036618A1 (ja)
TW (1) TW200523999A (ja)
WO (1) WO2005036618A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102854752A (zh) * 2011-05-27 2013-01-02 恩斯克科技有限公司 接近式曝光装置
JP5339056B2 (ja) * 2006-07-14 2013-11-13 株式会社ニコン 露光装置及びデバイス製造方法
JP2015179295A (ja) * 2009-08-07 2015-10-08 株式会社ニコン 露光装置及びデバイス製造方法
EP3611572A1 (en) * 2013-06-28 2020-02-19 Nikon Corporation Mobile body apparatus, exposure apparatus, and device manufacturing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111610699A (zh) * 2019-02-22 2020-09-01 上海微电子装备(集团)股份有限公司 一种掩模对准传感器和光刻机

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992613A (ja) * 1995-09-21 1997-04-04 Nikon Corp 温調装置及び走査型露光装置
JP2000175434A (ja) * 1998-12-04 2000-06-23 Nikon Corp 平面モータ装置及び露光装置
JP2001244196A (ja) * 2000-02-10 2001-09-07 Asm Lithography Bv 温度制御された熱シールドを有するリソグラフィ投影装置
JP2002291219A (ja) * 2001-03-29 2002-10-04 Canon Inc 電磁アクチュエータ、リニアモータ、露光装置、半導体デバイス製造方法、半導体製造工場および露光装置の保守方法
JP2002367894A (ja) * 2001-06-11 2002-12-20 Nikon Corp 位置決め装置、露光装置、露光方法およびデバイス製造方法ならびにデバイス

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992613A (ja) * 1995-09-21 1997-04-04 Nikon Corp 温調装置及び走査型露光装置
JP2000175434A (ja) * 1998-12-04 2000-06-23 Nikon Corp 平面モータ装置及び露光装置
JP2001244196A (ja) * 2000-02-10 2001-09-07 Asm Lithography Bv 温度制御された熱シールドを有するリソグラフィ投影装置
JP2002291219A (ja) * 2001-03-29 2002-10-04 Canon Inc 電磁アクチュエータ、リニアモータ、露光装置、半導体デバイス製造方法、半導体製造工場および露光装置の保守方法
JP2002367894A (ja) * 2001-06-11 2002-12-20 Nikon Corp 位置決め装置、露光装置、露光方法およびデバイス製造方法ならびにデバイス

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5339056B2 (ja) * 2006-07-14 2013-11-13 株式会社ニコン 露光装置及びデバイス製造方法
US8891056B2 (en) 2006-07-14 2014-11-18 Nikon Corporation Stage apparatus and exposure apparatus
JP2015179295A (ja) * 2009-08-07 2015-10-08 株式会社ニコン 露光装置及びデバイス製造方法
CN102854752A (zh) * 2011-05-27 2013-01-02 恩斯克科技有限公司 接近式曝光装置
EP3611572A1 (en) * 2013-06-28 2020-02-19 Nikon Corporation Mobile body apparatus, exposure apparatus, and device manufacturing method
US10788760B2 (en) 2013-06-28 2020-09-29 Nikon Corporation Movable body apparatus, exposure apparatus, and device manufacturing method
US11181832B2 (en) 2013-06-28 2021-11-23 Nikon Corporation Movable body apparatus, exposure apparatus, and device manufacturing method

Also Published As

Publication number Publication date
JPWO2005036618A1 (ja) 2007-11-22
TW200523999A (en) 2005-07-16

Similar Documents

Publication Publication Date Title
US10120288B2 (en) Stage device, exposure apparatus, and method of manufacturing devices
JP5725059B2 (ja) 移動体装置、露光装置、及びデバイス製造方法
US8325326B2 (en) Stage unit, exposure apparatus, and exposure method
JP4362862B2 (ja) ステージ装置及び露光装置
JP5348630B2 (ja) 露光装置及びデバイス製造方法
JP2007274881A (ja) 移動体装置、微動体及び露光装置
WO2007077925A1 (ja) パターン形成方法及びパターン形成装置、並びにデバイス製造方法
JP5348629B2 (ja) 露光装置及びデバイス製造方法
JP5348627B2 (ja) 移動体装置、露光装置及びデバイス製造方法
JP4487168B2 (ja) ステージ装置及びその駆動方法、並びに露光装置
JP5339056B2 (ja) 露光装置及びデバイス製造方法
WO2005036618A1 (ja) ステージ装置及び露光装置
JP2012531030A (ja) 露光装置及びデバイス製造方法
JP2002343706A (ja) ステージ装置及びステージの駆動方法、露光装置及び露光方法、並びにデバイス及びその製造方法
JP4626753B2 (ja) ステージ装置及び露光装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005514554

Country of ref document: JP

122 Ep: pct application non-entry in european phase