KR101134958B1 - 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법 - Google Patents

스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법 Download PDF

Info

Publication number
KR101134958B1
KR101134958B1 KR1020057011599A KR20057011599A KR101134958B1 KR 101134958 B1 KR101134958 B1 KR 101134958B1 KR 1020057011599 A KR1020057011599 A KR 1020057011599A KR 20057011599 A KR20057011599 A KR 20057011599A KR 101134958 B1 KR101134958 B1 KR 101134958B1
Authority
KR
South Korea
Prior art keywords
slider
mask
frame member
axial direction
gas
Prior art date
Application number
KR1020057011599A
Other languages
English (en)
Other versions
KR20050098842A (ko
Inventor
유이치 시바자키
Original Assignee
가부시키가이샤 니콘
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 니콘 filed Critical 가부시키가이샤 니콘
Publication of KR20050098842A publication Critical patent/KR20050098842A/ko
Application granted granted Critical
Publication of KR101134958B1 publication Critical patent/KR101134958B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70758Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70766Reaction force control means, e.g. countermass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Reciprocating, Oscillating Or Vibrating Motors (AREA)
  • Linear Motors (AREA)

Abstract

스테이지 (RST) 는 정반 (16) 의 상방으로 부상하면서 레티클을 유지하여 2차원 면내의 3자유도 방향으로 이동 가능하고, 틀형상 부재 (18) 는 정반의 상방으로 부상하면서 2차원 면내의 3자유도 방향을 이동 가능하다. 틀형상 부재에는 제 1 고정자 (1361~1382), 제 2 고정자 (1401~1402) 가 설치되고, 스테이지에는 제 1 고정자, 제 2 고정자 각각과 협동하여 스테이지를 2차원 면내에서 구동하는 구동력을 발생하는 제 1 가동자, 제 2 가동자가 설치되어 있다. 따라서, 스테이지의 구동에 의한 반력은 제 1 또는 제 2 고정자에 작용하고, 이 반력에 의해 틀형상 부재가 거의 운동량 보존칙에 따라 2차원 면내에서 이동한다. 이에 의해 스테이지의 이동에 의한 반력이 거의 완전히 캔슬됨과 함께, 스테이지 및 틀형상 부재를 포함하는 계의 중심 이동이 발생하지 않기 때문에, 정반에는 편하중도 작용하지 않는다.
스테이지, 틀형상 부재

Description

스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법{STAGE DEVICE, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD}
기술분야
본 발명은 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법에 관한 것으로, 더욱 상세하게는 정반과, 그 정반을 따라 이동하는 슬라이더를 구비하는 스테이지 장치, 그 스테이지 장치를 구비하는 노광 장치, 그리고 상기 노광 장치를 사용하는 디바이스 제조방법에 관한 것이다.
배경기술
최근, 반도체 소자, 액정 표시 소자 등을 제조하는 리소그래피 공정에 있어서는, 마스크 또는 레티클 (이하, 「레티클」 이라고 총칭한다) 과 웨이퍼 또는 유리 플레이트 등의 감광 물체 (이하, 「웨이퍼」 라고 총칭한다) 를 소정의 주사 방향 (스캔 방향) 을 따라 동기 이동하면서, 레티클의 패턴을 투영 광학계를 통해 웨이퍼 상에 전사하는 스텝 앤드 스캔 방식의 주사형 노광 장치 (이른바 스캐닝 스테퍼 (스캐너) 라고도 불린다) 등이 비교적 많이 사용되고 있다. 주사형 노광 장치는, 스테퍼 등의 정지형 노광장치에 비하면, 대(大) 필드를 보다 작은 투영 광학계로 노광할 수 있다. 이 때문에, 주사형 노광 장치에는, 투영 광학계의 제조가 용이함과 함께, 대 필드 노광에 의한 쇼트 수의 감소에 의해 높은 스루풋을 기대할 수 있고, 또한 투영 광학계에 대하여 레티클 및 기판을 상대 주사함으로써 평균화 효과가 있고, 디스토션이나 초점 심도의 향상을 기대할 수 있는 등의 여러 가지의 메리트가 있다.
그런데, 주사형 노광 장치에서는, 웨이퍼측에 더하여, 레티클측에도, 레티클을 구동하는 구동장치가 필요하다. 최근의 주사형 노광 장치에서는, 레티클측의 구동장치로서, 레티클 정반 상에 에어 베어링 등에 의해 부상 지지되어, 주사 방향으로 직교하는 비주사 방향 (비스캔 방향) 의 양측에 배치된 1쌍의 리니어 모터에 의해서, 주사 방향으로 소정 스트로크 범위에서 구동되는 레티클 조동 스테이지와, 그 레티클 조동 스테이지에 대하여, 스캔 방향 및 비스캔 방향 및 요잉 방향으로 보이스 코일 모터 등에 의해서 미소 구동되는 레티클 미동 스테이지를 갖는 조미동(粗微動) 구조의 레티클 스테이지 장치가 사용되고 있다.
또한, 레티클 스테이지의 구동에 따라 리니어 모터의 고정자에 생기는 반력이 레티클 정반의 진동 요인이나 자세변화의 요인이 되는 것을 최대한 억제하기 위해, 상기 반력을 받아, 운동량 보존칙에 따라, 예를 들어 레티클 스테이지의 스캔 방향으로 연장설치된 리니어 모터의 고정자 (리니어 가이드) 를 따라, 레티클 스테이지와는 반대방향으로 이동하는 카운터 매스 (추 부재) 를 갖는 카운터 매스 기구가 설치된 레티클 스테이지 장치도 있다.
그러나, 종래의 주사형 노광 장치가 채용하는 레티클 스테이지 장치에서는, 이하와 같은 개선해야 할 점이 다수 존재한다.
a. 미동 스테이지 구동용 모터의 고정자가 설치된 고정자 캐리어와 정반 사이에 사이드 가이드가 있기 때문에, 레티클 미동 스테이지 (레티클) 의 비스캔 방 향의 위치 결정시의 반력 및 요잉 모멘트, 그리고 조동 스테이지의 구동시에 생기는 모멘트가, 사이드 가이드를 통해 정반에 전달되어, 이것이 정반의 진동 요인이 되어, 결과적으로 레티클의 위치 제어 정밀도 (위치 결정 정밀도를 포함한다) 를 악화시켰다.
b. 레티클 미동 스테이지 및 레티클 조동 스테이지에는, 전류 공급을 위한 배선이나, 진공 척을 위한 진공 배기용의 배관 및 에어 베어링에 대한 가압 공기의 공급용의 배관 등이 접속되어 있었다. 이 때문에, 레티클 미동 스테이지 및 레티클 조동 스테이지가 이동할 때에는, 상기의 배선, 배관이 끌려가, 이들 배선, 배관의 장력이, 결과적으로 레티클의 위치 제어 정밀도 (위치 결정 정밀도를 포함한다) 를 악화시키는 요인으로 되었다.
c. 레티클 미동 스테이지 주변이 기계적인 진동, 열적 요인에 의한 스테이지 벤딩이 레티클 미동 스테이지의 위치 계측 오차의 요인이 되었다. 일례로서, 도 12a 에 나타나는 바와 같이, 레티클 미동 스테이지 (RST) 에 형성된 이동거울 (169) 을 통해 레티클 미동 스테이지 (RST) (레티클 (R)) 의 위치를 측장축 (LX) 을 갖는 간섭계로 측정하는 경우에 관해서 생각한다. 이 경우에 있어서, 레티클 스테이지 (RST) 에 도 12b 에 나타나는 변형이 생긴 것으로 하면, 간섭계에 의해서 계측되는 위치정보에 ΔM 의 계측오차 (일종의 아베오차) 가 생기게 된다. 또, 도 12a, 도 12b 에 있어서, 부호 CR 은, 레티클 미동 스테이지 (RST) 의 중립면 (굽힘 중립면) 을 나타낸다.
d. 또한, 레티클 미동 스테이지의 변형이, 이동거울의 변형 (구부러짐) 요인 으로 되어, 레티클 미동 스테이지의 위치 계측 정밀도, 나아가서는 위치 제어 정밀도의 저하를 초래하였다.
e. 또한, 특히 카운터 매스 기구를 구비하는 레티클 스테이지 장치에서는, 카운터 매스 (추 부재) 와 레티클 스테이지의 질량비를 충분히 크게 확보하기가 곤란하였다. 그 이유는, 상기 종래의 카운터 매스 기구에서는, 카운터 매스는 리니어 가이드의 축 상에 중심을 배치할 필요가 있기 때문에, 카운터 매스의 질량을 크게 하기 위해서는, 카운터 매스를 리니어 가이드의 축 방향으로 연장하거나, 리니어 가이드를 중심으로 하여 축 직교 면내에서 방사방향의 거리가 일률적으로 커지도록 할 필요가 있고, 레이아웃의 형편상, 스스로 제한이 있기 때문이다. 이와 같이 카운터 매스 (추 부재) 와 레티클 스테이지의 질량비를 충분히 크게 확보하는 것이 곤란하기 때문에, 카운터 매스의 스트로크가 커지고, 배관을 끄는 것의 영향이나, 중심 이동에 의한 국부적인 바디변형을 무시할 수 없어, 위치 제어성의 저하의 요인으로 되었다.
f. 그 외에, 레티클 스테이지의 주변 부재의 배치, 형상 등이 복잡하고, 그 주변 공간은 복잡한 개방 공간으로 되어 있었기 때문에, 공기 조절 효율이 나쁘고, 공기 요동 (공기의 온도 요동) 등에 의해 간섭계 계측 정밀도, 나아가서는 레티클의 위치 제어성을 악화시키는 요인이 되기도 하였다. 또한, F2 레이저 등의 진공자외광을 노광용 조명광으로 사용하는 경우에는, 레티클 주변에서도 분위기 기체를 불활성 가스로 치환하는 가스 퍼지를 실시해야 하는데, 상기 레티클 스테이지의 주변 부재의 배치, 형상 등이 복잡해지기 때문에, 그 설계가 매우 곤란한 것으로 되었다.
본 발명은 이러한 사정 하에 이루어진 것으로, 그 제 1 목적은, 특히, 물체가 탑재되는 이동체의 위치 제어성의 향상을 꾀할 수 있는 스테이지 장치를 제공하는 것에 있다.
또한, 본 발명의 제 2 목적은, 노광을 고정밀도로 실현할 수 있는 노광 장치를 제공하는 것에 있다.
또한, 본 발명의 제 3 목적은, 고집적도의 디바이스의 생산성을 향상시킬 수 있는 디바이스 제조방법을 제공하는 것에 있다.
발명의 개시
본 발명은, 제 1 관점에서 보면, 정반; 상기 정반의 상방으로 부상하면서 물체를 유지하여 제 1 축 및 이것에 직교하는 제 2 축을 포함하는 2차원 면내의 3자유도 방향으로 상기 정반을 따라 이동 가능한 슬라이더; 상기 정반의 상방으로 부상하면서 상기 2차원 면내의 3자유도를 적어도 갖고, 상기 슬라이더를 둘러싸는 틀형상 부재; 상기 틀형상 부재에 형성된 제 1 고정자와, 그 제 1 고정자와 협동하여 상기 슬라이더를 상기 제 1 축 방향으로 구동하는 구동력을 발생하는 제 1 가동자를 포함하는 제 1 구동기구; 및 상기 틀형상 부재에 형성된 제 2 고정자와, 상기 제 2 고정자와 협동하여 상기 슬라이더를 상기 제 2 축 방향으로 구동하는 구동력을 발생하는 제 2 가동자를 포함하는 제 2 구동기구를 구비하는 제 1 스테이지 장치이다.
여기서, 고정자와 가동자가 「협동한다」는 것은 고정자와 가동자 사이의 어 떠한 물리적 상호 작용 (예를 들어 전기 자기적인 상호 작용 등) 을 하여 구동력을 발생하는 것을 의미한다. 본 명세서에서는, 이러한 의미로 협동이란 용어를 사용하는 것으로 한다.
이것에 의하면, 슬라이더는, 정반의 상방으로 부상하면서 물체를 유지하여 제 1 축 및 이것에 직교하는 제 2 축을 포함하는 2차원 면내의 3자유도 방향으로 상기 정반을 따라 이동 가능하고, 틀형상 부재는, 정반의 상방으로 부상하면서 상기 2차원 면내의 3자유도를 적어도 갖고 있다. 틀형상 부재에는, 제 1 고정자, 제 2 고정자가 설치되고, 제 1 고정자와 협동하여 슬라이더를 제 1 축 방향으로 구동하는 구동력을 발생하는 제 1 가동자, 제 2 고정자와 협동하여 슬라이더를 제 2 축 방향으로 구동하는 구동력을 발생하는 제 2 가동자가 슬라이더에 설치되어 있다. 따라서, 슬라이더가 제 1 구동기구 또는 제 2 구동기구에 의해 제 1 축 방향 또는 제 2 축 방향으로 구동되면, 그 구동력에 따른 반력이 제 1 고정자 또는 제 2 고정자에 생긴다 (작용한다). 이 반력의 작용에 의해 틀형상 부재가, 거의 운동량 보존칙에 따라, 2차원 면내의 3자유도 방향으로 이동한다. 즉, 틀형상 부재가 카운터 매스의 역할을 한다. 이 경우, 틀형상 부재의 이동에 의해, 상기 반력이 거의 완전히 캔슬됨과 함께, 슬라이더 및 틀형상 부재를 포함하는 계의 중심 이동이 생기지 않기 때문에, 정반에는 편하중도 작용하지 않는다. 따라서, 물체가 탑재되는 슬라이더의 위치 제어성의 향상을 꾀할 수 있다. 또한, 이 경우, 틀형상 부재는 슬라이더를 둘러싸는 상태로 형성되어 있기 때문에, 필연적으로 대형화되어 그 질량이 커져, 틀형상 부재와 슬라이더의 큰 질량비를 확보할 수 있어, 틀형상 부재의 이동 스트로크는 비교적 짧아도 된다. 또한, 틀형상 부재를 대형화하는 경우에, 그 지장은 거의 없다.
이 경우에 있어서, 제 1 구동기구, 제 2 구동기구의 구성은 여러 가지 생각되지만, 예를 들어 상기 제 1 구동기구는, 적어도 2개의 리니어 모터를 포함하고, 상기 제 2 구동기구는, 적어도 1개의 보이스 코일 모터를 포함하는 것으로 할 수 있다.
본 발명의 제 1 스테이지 장치에서는, 상기 슬라이더의 위치를 계측하는 간섭계 시스템을 추가로 구비하고, 상기 슬라이더에는, 중립면의 일부에 상기 물체의 탑재면이 형성됨과 함께, 상기 간섭계 시스템으로부터의 측장 빔의 광로의 상기 2차원 면에 직교하는 제 3 축 방향의 위치가 상기 중립면의 위치에 일치하고 있는 것으로 할 수 있다. 이러한 경우에는, 상기 서술한 도 12b 를 사용하여 설명한, 슬라이더의 변형시에 중립면과 측장축의 어긋남에 기인하여 생기는 위치 계측 오차 ΔM 을 대략 0 으로 할 수 있다.
본 발명의 제 1 스테이지 장치에서는, 상기 슬라이더의 제 1 축 방향의 일측 및 타측의 단부에는 제 1 축 방향으로 연장되는 연장설치부가 각각 형성되고, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되고, 상기 정반으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 것으로 할 수 있다.
본 발명은, 제 2 관점에서 보면, 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서, 상기 마스크를 조명광에 의해 조명하는 조명 유닛; 상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 본 발명의 제 1 스테이지 장치; 및 상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는 제 1 노광 장치이다.
이에 의하면, 본 발명의 제 1 스테이지 장치를 구비하고 있기 때문에, 슬라이더의 위치 제어 정밀도, 나아가서는 마스크의 위치 제어 정밀도를 향상시킬 수 있다. 따라서, 마스크에 형성된 패턴을 감광 물체에 고정밀도로 전사할 수 있게 된다.
이 경우에 있어서, 상기 조명 유닛과 상기 투영 광학계 유닛 사이의 상기 조명광의 광로를 포함하는 공간이 상기 조명광을 흡수하는 특성이 공기에 비하여 작은 특정 가스로 퍼지되는 퍼지 공간으로 됨과 함께, 상기 틀형상 부재가 상기 퍼지 공간을 외기에 대하여 격리하는 격벽을 겸하고 있는 것으로 할 수 있다. 이러한 경우에는, 종래와 달리, 마스크 주변의 공간을 용이하게 퍼지 공간으로 할 수 있다.
이 경우에 있어서, 상기 틀형상 부재의 상기 조명 유닛과는 반대측의 상기 정반에 대향하는 측의 면에, 상기 특정 가스를 가압 기체로서 사용하는 제 1 기체 정압 베어링이, 거의 전체 둘레에 걸쳐 형성되어 있는 것으로 할 수 있다.
이 경우에 있어서, 상기 틀형상 부재의 상기 조명 유닛측의 면에 상기 특정 가스를 가압 기체로서 사용하는 제 2 기체 정압 베어링이, 거의 전체 둘레에 걸쳐 형성되고, 상기 틀형상 부재의 조명 유닛측의 면의 거의 전체면에 대향하고 또한 소정의 클리어런스를 사이에 두고 배치되어, 상기 제 2 기체 정압 베어링으로부터의 가압 기체가 분사되는 플레이트를, 추가로 구비할 수 있는 것으로 할 수 있다.
본 발명의 제 1 노광 장치에서는, 상기 틀형상 부재가 상기 퍼지 공간을 외기에 대하여 격리되는 격벽을 겸하고 있는 경우에, 상기 틀형상 부재의 상기 조명 유닛과는 반대측의 상기 정반에 대향하는 측의 면에, 진공 흡인과 가압 기체의 분출을 동시에 실시하는 차동 배기형의 제 1 기체 정압 베어링이, 대략 전체 둘레에 걸쳐 형성되어 있는 것으로 할 수 있다.
이 경우에 있어서, 상기 틀형상 부재의 상기 조명 유닛측의 면에, 진공 흡인과 가압 기체의 분출을 동시에 실시하는 차동 배기형의 제 2 기체 정압 베어링이, 거의 전체 둘레에 걸쳐 형성되고, 상기 틀형상 부재의 조명 유닛측의 면의 거의 전체면에 대향하고 또한 소정의 클리어런스를 사이에 두고 배치되어, 상기 제 2 기체 정압 베어링으로부터의 가압 기체가 분사되는 플레이트를, 추가로 구비하는 것으로 할 수 있다.
본 발명의 제 1 노광 장치에서는, 상기 틀형상 부재가 상기 퍼지 공간을 외기에 대하여 격리하는 격벽을 겸하고 있는 경우에 있어서, 상기 슬라이더의 위치를 계측하는 간섭계 시스템을 추가로 구비하는 경우에는, 상기 간섭계 시스템으로부터 상기 퍼지 공간 내의 상기 슬라이더로 향하는 측장 빔의 광로 상에 위치하는 상기 틀형상 부재의 측면 부분에 개구부를 형성함과 함께, 그 개구부를 폐색하는 커버 유리를 설치하는 것으로 할 수 있다.
본 발명은, 제 3 관점에서 보면, 정반; 상기 정반의 상방으로 부상하면서 물체를 유지하여 상기 정반을 따라 이동 가능한 슬라이더; 및 상기 슬라이더의 상기 물체가 탑재되는 영역의 제 1 축 방향에 직교하는 제 2 축 방향의 일측과 타측에 상기 슬라이더의 중립면을 기준으로 하여 각각 대칭으로 배치된 각 1쌍의 가동자와, 당해 각 쌍의 가동자와 개별로 협동하여 상기 제 1 축 방향의 구동력을 각각 발생하는 1쌍의 고정자부를 갖는 구동기구를 구비하는 제 2 스테이지 장치이다.
이에 의하면, 슬라이더를 구동하는 구동기구가, 슬라이더의 물체가 탑재되는 영역의 제 2 축 방향의 일측과 타측에 슬라이더의 중립면을 기준으로 하여 각각 대칭으로 배치된 각 1쌍의 가동자와, 당해 각 쌍의 가동자와 개별로 협동하여 제 1 축 방향의 구동력을 각각 발생하는 1쌍의 고정자부를 갖고 있다. 즉, 제 2 축 방향의 일측, 타측의 어느 것에서나, 가동자, 슬라이더, 가동자의 적층구조로 되어 있음과 함께, 그 가동자끼리는, 슬라이더의 중립면에 관해서 대칭의 배치로 되어 있다. 이 경우, 슬라이더의 중립면은, 그 중심의 높이 위치 (제 1 축 및 제 2 축에 직교하는 제 3 축 방향의 위치) 에 대략 일치하고 있기 때문에, 좌우 각 1쌍의 가동자와 대응하는 고정자의 협동에 의해 발생하는 제 1 축 방향의 구동력의 합력이, 슬라이더의 중심위치에 작용하게 된다. 따라서, 슬라이더의 적어도 제 1 축 방향의 위치 제어성의 향상, 및 슬라이더의 제 2 축 둘레의 회전의 억제가 가능하다.
또한, 예를 들어, 가동자가 전기자 유닛으로 구성되는 경우에는, 슬라이더를 정반을 따라 제 1 축 방향으로 구동할 때에, 가동자에 공급되는 전류에 의한 가동자의 발열에 의해 슬라이더가 가열되었다고 해도, 그 발열부분에서는, 중립면의 상측, 하측에 생기는 바이메탈 효과에 기인하는 슬라이더의 변형끼리가 상쇄되어, 결과적으로 바이메탈 효과에 기인하는 슬라이더의 변형이 생기는 일이 없다.
따라서, 특히. 슬라이더의 위치를 슬라이더에 형성된 반사면을 통해 간섭계에 의해 계측하는 경우에는, 그 위치 제어성을 양호한 것으로 할 수 있다.
이 경우에 있어서, 상기 1쌍의 고정자부의 각각은, 상기 슬라이더의 중립면을 기준으로 하여 각각 대칭으로 배치되어 있는 것으로 할 수 있다.
본 발명의 제 2 스테이지 장치에서는, 상기 슬라이더의 제 1 축 방향의 일측 및 타측의 단부에는 제 1 축 방향으로 연장되는 연장설치부가 각각 형성되고, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되고, 상기 정반으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 것으로 할 수 있다.
본 발명은, 제 4 관점에서 보면, 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서, 상기 마스크를 조명광에 의해 조명하는 조명 유닛과 : 상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 본 발명의 제 2 스테이지 장치; 및 상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는 제 2 노광 장치이다.
이에 의하면, 본 발명의 제 2 스테이지 장치를 구비하고 있기 때문에, 슬라이더의 위치 제어 정밀도, 나아가서는 마스크와 감광 물체와의 동기 정밀도를 향상시킬 수 있다. 따라서, 마스크에 형성된 패턴을 감광 물체에 고정밀도로 전사 할 수 있게 된다.
본 발명은, 제 5 관점에서 보면, 정반; 상기 정반의 상방으로 부상하면서 물체를 유지하여 제 1 축 및 이것에 직교하는 제 2 축을 포함하는 2차원 면내를 상기 정반을 따라 이동 가능한 슬라이더; 상기 슬라이더를 상기 제 1 축 방향으로 구동하는 리니어 모터를 포함하는 구동기구; 및 상기 슬라이더에 형성된 반사면에 측장 빔을 조사하여 그 반사광에 근거하여 상기 제 1 축 방향 및 제 2 축 방향의 위치를 계측하는 간섭계 시스템을 구비하여, 상기 간섭계 시스템으로부터의 상기 제 2 축 방향의 측장 빔이 조사되는 반사면이, 상기 리니어 모터보다 외측에 위치하는 상기 슬라이더의 일부에 형성되어 있는 것을 특징으로 하는 제 3 스테이지 장치이다.
이에 의하면, 간섭계 시스템으로부터의 제 2 축 방향의 측장 빔이 조사되는 반사면이, 슬라이더를 제 1 축 방향으로 구동하는 리니어 모터보다도 외측에 형성되어 있기 때문에, 리니어 모터의 발열에 기인하여 그 리니어 모터 주변의 기체에 온도 요동이 생기더라도, 그 제 2 축 방향의 측장 빔에는 어떠한 영향도 일으키지 않기 때문에, 간섭계에 의한 슬라이더의 제 2 축 방향의 위치 계측을 고정밀도로 실시하는 것이 가능해진다. 이 경우, 간섭계로부터의 제 1 축 방향의 측장 빔은, 통상과 같이, 슬라이더에 형성된 별도의 반사면 (상기 리니어 모터의 발열의 영향을 거의 받지 않는 장소에 위치하는 반사면) 에 특별히 지장없이 조사할 수 있기 때문에, 슬라이더의 제 1 축 방향 및 제 2 축 방향의 위치를 양호한 정밀도로 계측할 수 있게 되고, 나아가서는 슬라이더의 위치 제어성의 향상을 꾀하는 것이 가능해진다.
이 경우에 있어서, 상기 반사면은, 상기 슬라이더의 상기 물체가 탑재되는 제 1 부분과는 별도의 소정 길이의 막대형상의 제 2 부분의 단면에 형성되고, 그 제 2 부분에는 그 길이방향의 양단부를 제외하는 부분에 보강부가 형성되고, 그 보강부의 양단이 탄성 힌지부를 각각 통해 상기 제 1 부분에 연결되어 있는 것으로 할 수 있다.
이 경우에 있어서, 상기 제 1 부분에는, 상기 일방의 탄성 힌지부에서 상기 제 1 부분과 반대측에 소정 거리만큼 떨어진 위치에, 별도의 탄성 힌지부가 추가로 형성되어 있는 것으로 할 수 있다.
본 발명의 제 3 스테이지 장치에서는, 슬라이더의 제 1 부분과, 탄성 힌지부와, 제 2 부분은, 전체를 일체 성형해도 되고, 어느 하나를 다른 것과 별도 부재로 구성해도 되고, 전부를 별도 부재로 구성해도 된다.
본 발명의 제 3 스테이지 장치에서는, 상기 슬라이더의 제 1 축 방향의 일측 및 타측의 단부에는 제 1 축 방향으로 연장되는 연장설치부가 각각 형성되고, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되어, 상기 정반으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 것으로 할 수 있다.
본 발명은, 제 6 관점에서 보면, 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서, 상기 마스크를 조명광에 의해 조명하는 조명 유닛; 상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 본 발명의 제 3 스테이지 장치; 및 상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구 비하는 제 3 노광 장치이다.
이에 의하면, 본 발명의 제 3 스테이지 장치를 구비하고 있기 때문에, 슬라이더의 위치 제어 정밀도, 나아가서는 마스크와 감광 물체의 동기 정밀도를 향상시키는 것이 가능하다. 따라서, 마스크에 형성된 패턴을 감광 물체에 고정밀도로 전사할 수 있게 된다.
본 발명은, 제 7 관점에서 보면, 탑재면에 물체를 탑재하여 이동 가능한 슬라이더; 상기 슬라이더의 상기 탑재면에 대하여 대칭으로 배치된 1쌍의 제 1 가동자; 상기 1쌍의 제 1 가동자와는 달리, 상기 슬라이더의 상기 탑재면에 대하여 대칭으로 배치된 1쌍의 제 2 가동자; 및 상기 1쌍의 제 1 가동자 및 상기 1쌍의 제 2 가동자와 협동하여, 상기 슬라이더를 제 1 축 방향으로 구동하는 1쌍의 고정자부를 구비하는 제 4 스테이지 장치이다.
이에 의하면, 1쌍의 제 1 가동자와 대응하는 고정자부의 협동, 및 1쌍의 제 2 가동자와 대응하는 고정자부의 협동에 의해서, 각각 발생하는 제 1 축 방향의 구동력의 합력을, 슬라이더의 중심 위치 근방에 작용시킬 수 있게 된다. 따라서, 슬라이더의 적어도 제 1 축 방향의 위치 제어성의 향상, 및 슬라이더의 제 2 축 둘레의 회전의 억제가 가능하다.
이 경우에 있어서, 상기 탑재면은 상기 슬라이더의 중립면과 일치하고 있는 것으로 할 수 있다.
본 발명의 제 4 스테이지 장치에서는, 상기 1쌍의 고정자부의 각각은 상기 탑재면에 대하여 대칭으로 배치되어 있는 것으로 할 수 있다.
본 발명의 제 4 스테이지 장치에서는, 상기 슬라이더에 접속된 제 1 부분과, 그 제 1 부분과 협동하는 제 2 부분을 갖고, 상기 슬라이더를 상기 제 1 축과는 다른 제 2 축으로 구동하는 구동장치를, 추가로 구비하는 것으로 할 수 있다.
이 경우에 있어서, 상기 1쌍의 고정자부와 상기 구동장치의 상기 제 2 부분을 유지하는 틀형상 부재를, 추가로 구비하는 것으로 할 수 있다.
이 경우에 있어서, 상기 틀형상 부재는, 상기 슬라이더를 구동시켰을 때에 발생하는 반력에 의해 구동되는 것으로 할 수 있다.
이 경우에 있어서, 상기 슬라이더는 정반 상에 형성되어 있는 것으로 할 수 있다.
이 경우에 있어서, 상기 틀형상 부재는 상기 정반 상에 형성되어 있는 것으로 할 수 있다.
본 발명의 제 4 스테이지 장치에서는, 상기 슬라이더는 반사면을 갖고, 그 반사면에 측장 빔을 조사하여 상기 슬라이더의 상기 제 2 축 방향의 위치를 검출하는 위치 검출 장치를, 추가로 구비하는 것으로 할 수 있다.
이 경우에 있어서, 상기 1쌍의 고정자부의 각각은, 상기 측장 빔을 포위하지 않는 위치에 형성되어 있는 것으로 할 수 있다.
본 발명은, 제 8 의 관점에서 보면, 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서, 상기 마스크를 조명광에 의해 조명하는 조명 유닛; 상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 본 발명의 제 4 스테이지 장치; 및 상기 마스크로 부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는 제 4 노광 장치이다.
이에 의하면, 본 발명의 제 4 스테이지 장치를 구비하고 있기 때문에, 슬라이더의 위치 제어 정밀도, 나아가서는 마스크의 위치 제어 정밀도를 향상시킬 수 있다. 따라서, 마스크에 형성된 패턴을 감광물체에 고정밀도로 전사할 수 있게 된다.
본 발명은, 제 9 의 관점에서 보면, 물체를 유지하여 이동 가능한 슬라이더; 상기 슬라이더에 접속된 이동자와, 그 이동자와 협동하는 고정자를 갖고, 상기 슬라이더를 제 1 축 방향을 따라 구동하는 구동장치; 상기 고정자를 유지하는 유지부와 중량부를 갖고, 상기 슬라이더를 구동하였을 때에 발생하는 반력에 의해 구동되는 카운터 매스; 상기 중량부와 상기 고정자 사이에 위치하도록 상기 슬라이더에 형성된 반사부재; 및 상기 반사부재에 측장 빔을 조사하여 상기 슬라이더의 위치를 검출하는 위치 검출 장치를 구비하는 제 5 스테이지 장치이다.
이에 의하면, 구동장치에 의해 슬라이더가 제 1 축 방향을 따라 구동되면, 이 구동시에 발생하는 반력에 의해 카운터 매스가 거의 운동량 보존칙에 따라 이동한다. 이 카운터 매스의 이동에 의해, 상기 반력이 거의 완전히 캔슬됨과 함께, 슬라이더 및 카운터 매스를 포함하는 계의 중심 이동이 생기지 않기 때문에, 슬라이더 및 카운터 매스를 지지하는 지지부재에 편하중도 작용하지 않는다. 또한, 위치 검출 장치로부터 중량부와 상기 고정자 사이에 위치하도록 상기 슬라이더에 형성된 반사부재에 측장 빔이 조사되어, 그 슬라이더의 위치가 계측된다. 즉, 위치 검출 장치로부터의 측장 빔이 조사되는 반사부재가, 슬라이더를 제 1 축 방향으로 구동하는 구동장치의 고정자보다도 외측에 형성되어 있기 때문에, 그 구동장치의 발열에 기인하여 구동장치 주변의 기체에 온도 요동이 생기더라도, 그 측장 빔에는 아무런 영향도 일으키지 않기 때문에, 슬라이더의 고정밀도의 위치검출이 가능해진다. 따라서, 물체가 탑재되는 슬라이더의 위치 제어성의 향상을 꾀할 수 있다.
이 경우에 있어서, 상기 슬라이더는, 상기 슬라이더의 중립면에 상기 물체를 탑재하는 탑재부를 갖고 있는 것으로 할 수 있다.
본 발명의 제 5 스테이지 장치에서는, 상기 슬라이더는 정반 상에 설치되어 있는 것으로 할 수 있다.
이 경우에 있어서, 상기 카운터 매스는 상기 정반 상에 형성되어 있는 것으로 할 수 있다.
본 발명은, 제 10 의 관점에서 보면, 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서, 상기 마스크를 조명광에 의해 조명하는 조명 유닛; 상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 본 발명의 제 5 스테이지 장치; 및 상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는 제 5 노광 장치이다.
이에 의하면, 본 발명의 제 5 스테이지 장치를 구비하고 있기 때문에, 슬라이더의 위치 제어 정밀도, 나아가서는 마스크의 위치 제어 정밀도를 향상시킬 수 있다. 따라서, 마스크에 형성된 패턴을 감광 물체에 고정밀도로 전사할 수 있게 된다.
본 발명은, 제 11 관점에서 보면, 반사부재가 형성되고, 물체를 유지하여 이동 가능한 슬라이더; 상기 슬라이더에 접속된 이동자와, 그 이동자와 협동하는 고정자를 갖고, 상기 슬라이더를 제 1 축 방향을 따라 구동하는 구동장치; 투명부를 갖고, 상기 고정자를 유지하여 상기 슬라이더를 구동하였을 때에 발생하는 반력에 의해 구동되는 카운터 매스; 및 상기 투명부를 통해 상기 반사부재에 측장 빔을 조사하여 상기 슬라이더의 위치를 검출하는 위치 검출 장치를 구비하는 제 6 스테이지 장치이다.
이에 의하면, 구동장치에 의해 슬라이더가 제 1 축 방향을 따라 구동되면, 이 구동시에 발생하는 반력에 의해 카운터 매스가 거의 운동량 보존칙에 따라 이동한다. 이 카운터 매스의 이동에 의해, 상기 반력이 거의 완전히 캔슬됨과 함께, 슬라이더 및 카운터 매스를 포함하는 계의 중심이동이 생기지 않기 때문에, 슬라이더 및 카운터 매스를 지지하는 지지부재에 편하중도 작용하지 않는다. 또한, 위치 검출 장치에 의해, 카운터 매스의 투명부를 통해 반사부재에 측장 빔을 조사하여 슬라이더의 위치가 검출되기 때문에, 위치 검출 장치를 카운터 매스의 외부에 배치하더라도 지장없이 슬라이더의 위치를 양호한 정밀도로 검출하는 것이 가능해진다. 또한, 위치 검출 장치를 카운터 매스의 내부에 배치하는 경우 발생되는 개연성이 높은 문제 (예를 들어 위치 검출 장치를 구성하는 광학부재 및 디텍터 등으로부터 발생하는 아웃 가스가, 카운터 매스 내부의 분위기에 악영향을 미치는 것 등) 의 발생을 방지하는 것이 가능해진다.
이 경우에 있어서, 상기 슬라이더는, 상기 슬라이더의 중립면에 상기 물체를 탑재하는 탑재부를 갖고 있는 것으로 할 수 있다.
본 발명의 제 6 스테이지 장치에서는, 상기 슬라이더는 정반 상에 형성되어 있는 것으로 할 수 있다.
이 경우에 있어서, 상기 카운터 매스는 상기 정반 상에 형성되어 있는 것으로 할 수 있다.
본 발명은, 제 12 관점에서 보면, 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서, 상기 마스크를 조명광에 의해 조명하는 조명 유닛; 상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 본 발명의 제 6 스테이지 장치; 및 상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는 제 6 노광 장치이다.
이에 의하면, 본 발명의 제 6 스테이지 장치를 구비하고 있기 때문에, 슬라이더의 위치 제어 정밀도, 나아가서는 마스크의 위치 제어 정밀도를 향상시킬 수 있다. 따라서, 마스크에 형성된 패턴을 감광 물체에 고정밀도로 전사할 수 있게 된다.
또한, 리소그래피 공정에 있어서, 본 발명의 제 1~제 6 노광 장치의 어느 하나를 사용하여 노광함으로써, 감광 물체 상에 마스크에 형성된 패턴을 양호한 정밀도로 전사할 수 있고, 이에 의해, 보다 고집적도의 마이크로 디바이스를 수율좋게 제조할 수 있다. 따라서, 본 발명은, 또 다른 관점에서 보면, 본 발명의 제 1~제 6 노광 장치의 어느 하나를 사용하는 디바이스 제조방법이라고도 할 수 있다.
도면의 간단한 설명
도 1 은 본 발명의 일 실시형태에 관련되는 노광 장치의 구성을 개략적으로 나타내는 도면이다.
도 2 는 도 1 의 레티클 스테이지 장치를 나타내는 사시도이다.
도 3 은 도 2 의 레티클 스테이지 장치를 나타내는 분해사시도이다.
도 4a 는 레티클 스테이지를 사시도로 나타내는 도면이고, 도 4b 는 레티클 스테이지의 단면도이다.
도 5a. 도 5b 는 레티클 스테이지에 설치된 미러부의 구성 및 효과를 설명하기 위한 도면이다.
도 6a, 도 6b 는 베어링 장치의 구성을 설명하기 위한 도면이다.
도 7 은 레티클 스테이지 장치의 XZ 단면도이다.
도 8 은 레티클 스테이지 장치의 YZ 단면도이다.
도 9 는 틀형상 부재의 하면측에 관해서 설명하기 위한 도면이다.
도 10 은 본 발명에 관련되는 디바이스 제조방법을 설명하기 위한 플로우차트이다.
도 11 은 도 10 의 단계 204 의 구체예를 나타내는 플로우차트이다.
도 12a, 도 12b 는 종래 기술을 설명하기 위한 도면이다.
발명을 실시하기 위한 최선의 형태
이하, 본 발명의 일 실시형태를 도 1~도 9 에 근거하여 설명한다.
도 1 에는, 일 실시형태에 관련되는 노광 장치 (10) 의 개략 구성이 나타나 있다. 이 노광 장치 (10) 는, 스텝?앤드?스캔 방식의 주사형 노광 장치, 즉, 이른바 스캐닝?스테퍼 (「스캐너」 라고도 불린다) 이다. 후술하는 바와 같이 본 실시형태에서는 투영 광학계 유닛 (PL) 이 형성되어 있고, 이하에 있어서는, 이 투영 광학계 유닛 (PL) 을 구성하는 투영 광학계의 광축 (AX) 방향을 Z 축 방향 (제 3 축 방향), 이것에 직교하는 면내에서 마스크 (및 물체) 로서의 레티클 (R) 과 감광 물체로서의 웨이퍼 (W) 가 상대 주사되는 방향 (도 1 에 있어서의 지면 좌우방향) 을 Y 축 방향 (제 1 축 방향), 이들 Z 축 및 Y 축에 직교하는 방향 (도 1에 있어서의 지면 직교방향) 을 X 축 방향 (제 2 축 방향) 으로 하여 설명한다.
이 노광 장치 (10) 는 조명 유닛 (IOP), 레티클 (R) 을 Y 축 방향으로 소정의 스트로크로 구동함과 함께, X 축 방향, Y 축 방향 및 θz 방향 (Z 축 둘레의 회전방향) 으로 미소 구동하는 스테이지 장치로서의 레티클 스테이지 장치 (12), 투영 광학계 유닛 (PL), 웨이퍼 (W) 를 XY 평면내에서 XY 2차원 방향으로 구동하는 웨이퍼 스테이지 (WST) 및 이들의 제어계 등을 구비하고 있다.
상기 조명 유닛 (IOP) 은, 광원 및 조명 광학계를 포함하여, 그 내부에 배치된 시야 조리개 (마스킹 블레이드 또는 레티클 블라인드라고도 불린다) 로 규정되는 직사각형 또는 원호상의 조명영역에 에너지 빔으로서의 조명광 (IL) 을 조사하여, 회로패턴이 형성된 레티클 (R) 을 균일한 조도로 조명한다. 조명 유닛 (IOP) 과 동일한 조명계는, 예를 들어 일본 공개특허공보 평6-349701호 및 이것에 대응하는 미국특허 제5,534,970호 등에 개시되어 있다. 여기서는 조명광 (IL) 으로는, ArF 엑시머 레이저광 (파장 193㎚) 또는 F2 레이저광 (파장 157㎚) 등의 진공자외광이 사용되는 것으로 한다. 또, 조명광 (IL) 으로서 KrF 엑시머 레이저광 (파장 248㎚) 등의 원자외광, 초고압 수은 램프로부터의 자외역의 휘선 (g선, i선 등) 을 사용하는 것도 가능하다. 또, 본 국제출원에서 지정한 지정국 또는 선택한 선택국의 국내법령이 허용하는 한, 상기 미국특허에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
그런데, 진공자외역의 파장의 광을 노광광으로 하는 경우에는, 그 광로로부터 산소, 수증기, 탄화수소계의 가스 등의, 이러한 파장대역의 광에 대하여 강한 흡수특성을 갖는 가스 (이하, 적절히「흡수성 가스」 라고 부른다) 를 배제할 필요가 있다. 이 때문에, 본 실시형태에서는, 조명 유닛 (IOP) 의 내부의 조명광 (IL) 의 광로 상의 공간에, 진공자외역의 광에 대한 흡수가 공기 (산소) 에 비교하여 적은 특성을 갖는 특정 가스, 예를 들어 질소 및 헬륨, 아르곤, 네온, 크립톤 등의 희가스, 또는 이들의 혼합가스 (이하, 적절히 「저흡수성 가스」라고 한다) 를 채우고 있다. 그 결과, 조명 유닛 (IOP) 내의 광로 상의 공간은 흡수성 가스의 농도가 수 ppm 이하의 농도로 되어 있다.
상기 레티클 스테이지 장치 (12) 는, 조명 유닛 (I0P) 의 하단부의 외주에 O 링 등의 시일부재 (99) 를 통해 접속된 고리형의 장착부 (101) 를 갖는 플레이트로서 조명계측 플레이트 (캡 플레이트 ; 14) 의 도 1 에서의 하방에 배치되어 있다. 조명계측 플레이트 (14) 는, 대략 수평하게 도시하지 않은 지지부재에 의해 지지되고, 그 대략 중앙부에는 조명광 (IL) 의 광로 (통로) 가 되는 직사각형의 개구 (14a) 가 형성되어 있다.
레티클 스테이지 장치 (12) 는, 도 1 및 레티클 스테이지 장치 (12) 의 사시도인 도 2 로부터 알 수 있는 바와 같이, 상기 조명계측 플레이트 (14) 의 하방에 소정 간격을 두고 거의 평행하게 배치된 정반으로서의 레티클 스테이지 정반 (16), 그 레티클 스테이지 정반 (16) 과 조명계측 플레이트 (14) 사이에 배치된 슬라이더로서의 레티클 스테이지 (RST), 그 레티클 스테이지 (RST) 를 둘러싸는 상태로 레티클 스테이지 정반 (16) 과 조명계측 플레이트 (14) 사이에 배치된 틀형상 부재 (중량부 ; 18) 및 레티클 스테이지 (RST) 를 구동하는 레티클 스테이지 구동계 등을 구비하고 있다.
레티클 스테이지 정반 (16) 은, 도시하지 않은 지지부재에 의해 대략 수평으로 지지되어 있다. 이 레티클 스테이지 정반 (16) 은, 도 2 의 분해사시도인 도 3 에 나타나는 바와 같이, 대략 판형의 부재로 이루어지고, 그 대략 중앙에는 돌부 (16a) 가 형성되어 있다. 이 돌부 (16a) 의 대략 중앙에는, 조명광 (IL) 을 통과시키기 위한 X 축 방향을 길이방향으로 하는 직사각형 개구 (16b) 가, 돌부 (16a) 의 상면과 레티클 스테이지 정반 (16) 의 하면을 연통하는 상태로 형성되어 있다. 레티클 스테이지 정반 (16) 의 하면측에는, 도 1 에 나타나는 바와 같이, 직사각형 개구 (16b) 의 주위를 둘러싸는 상태로, V 링 또는 신축이 자유로운 벨로우즈 등의 시일 부재 (98) 를 통해 투영 광학계 유닛 (PL) 의 경통부의 상단이 접속되어 있다.
상기 레티클 스테이지 (RST) 는, 도 4a 에 나타나는 바와 같은 특수한 형상의 레티클 스테이지 본체 (22) 및 그 레티클 스테이지 본체 (22) 에 고정된 각종 자극 유닛 (이것에 관해서는 후술한다) 등을 구비하고 있다.
레티클 스테이지 본체 (22) 는, 평면에서 보아 (상방으로부터 보아) 개략 직사각형의 판형상부 (24A) 와, 그 판형상부 (24A) 의 -X 단부에 형성된 미러부 (24B) 와, 판형상부 (24A) 의 Y 축 방향의 일측 및 타측의 단부로부터 각각 Y 축 방향으로 돌출설치된 각 1쌍의 연장설치부 (24C1, 24C2, 24D1, 24D2) 를 구비하고 있다.
상기 판형상부 (24A) 의 대략 중앙부에는, 조명광 (IL) 의 통로가 되는 개구가 그 중앙 (내부 저면) 에 형성된 단차 개구 (22a) 가 형성되어 있다. 그 단차 개구 (22a) 의 단부 (1단 파여진 부분) 에는, 레티클 (R) 을 하측으로부터 복수 점 (예를 들어 3점) 에서 지지하는 복수 (예를 들어 3개) 의 레티클 지지부재 (34) 가 형성되어 있다.
본 실시형태에서는, 레티클 (R) 은 그 패턴면 (하면) 이, 레티클 스테이지 본체 (22 ; 레티클 스테이지 (RST)) 의 중립면 (CT) 에 대략 일치하는 상태로, 복수의 지지부재 (34) 에 의해 지지되도록 되어 있다. 즉, 레티클 (R) 의 탑재면 (탑재부) 은, 레티클 스테이지 (RST) 의 중립면 (CT) 에 대략 일치하고 있다 (도 4b 참조).
또한, 각 레티클 지지부재 (34) 에 각각 대응하고, 판형상부 (24A) 의 레티클 지지부재 (34) 근방 부분에는, 복수 (예를 들어 3개) 의 레티클 고정기구 (36) 가 설치되어 있다. 각 레티클 고정기구 (36) 는, XZ 단면이 L 자 형상의 형상을 갖고, L 자의 모서리부에 형성된 축 (Y 축 방향의 회전축) 을 중심으로 하여 회전운동 자유롭게 판형상부 (24A) 에 장착된 고정부재를 각각 구비하고 있다. 각 고정부재는, 레티클 (R) 이 레티클 지지부재 (34) 상에 탑재되었을 때에, 도 1 의 스테이지 제어계 (90) 에 의해 구동되는 도시하지 않은 구동기구를 통해, 각각 소정 방향으로 회전구동됨으로써, 레티클 지지부재 (34) 와의 사이에서 레티클 (R) 를 협지함으로써, 레티클 (R) 을 기계적으로 고정한다. 이 경우, 고정부재가, 레티클 (R) 을 지지부재 (34) 측으로 가압하는 방향으로, 도시하지 않은 탄성지지수단에 의해 항상 탄성지지되는 구성을 채택해도 된다.
또, 레티클 지지부재 (34) 및 레티클 고정기구 (36) 대신에, 또는 이것과 함께, 진공 척이나 정전 척 등의 각종 척을 사용하는 것은 가능하다.
상기 미러부 (24B) 는, 도 4a 및 도 5a 를 종합하면 알 수 있는 바와 같이, Y 축 방향을 길이방향으로 하는 개략 각기둥형상의 형상을 갖고, 그 중심부분에는 경량화를 꾀하기 위한 단면이 원형인 공동부 (空洞部 ; CH) (도 4a 참조) 가 형성된 막대형상 부분 (124a) 과, 그 막대형상 부분 (124a) 의 길이방향의 양단부를 제외하는 부분의 +X 측에 일체적으로 형성된 중실 (中實) 의 보강부 (124b) 를 갖고 있다. 막대형상 부분 (124a) 의 -X 측의 단면은 경면가공된 반사면 (반사부재 ; 124m) 으로 되어 있다.
미러부 (24B) 와 판형상부 (24A) 사이는 도 5a 에 나타나는 힌지부 (124c, 124d) 에 의해 2 개소에서 국소적으로 연결되어 있다. 또, 실제로는 판형상부 (24A) 와 미러부 (24B), 힌지부 (124c, 124d) 를 포함하는 레티클 스테이지 본체부 (22) 는, 일체성형 (예를 들어, 1개의 부재를 깎아냄으로써 성형) 되어 있지만, 이하의 설명에서는, 설명을 이해하기 쉽게 하기 위해, 필요에 따라 각 부가 별도 부재인 것처럼 표현되는 경우도 있다. 물론, 상기 각 부의 어느 하나를 다른 것과 별도 부재로 구성해도 되고, 전부를 별도 부재로 구성해도 된다.
이것을 더욱 상세히 서술하면, 도 5a 에 나타나는 바와 같이, 미러부 (24B) 는, 판형상부 (24A) 의 -X 측 단부에, 보강부 (124b) 의 ±Y측면의 2개소에 형성된 힌지부 (124c, 124d), 즉 회전 지점을 사이에 두고 연결되어 있다. 이 경우, 2개의 힌지부 (124c, 124d) 를 연결하는 선 CS 가, 미러부 (24B) 의 수평면내의 굽힘시의 중립면이 된다.
이에 의해, 어떠한 요인에 의해 미러부 (24B) 에 굽힘 응력이 생긴 경우, 도 5b 에 나타나는 바와 같이, 양 힌지부 (124c, 124d) 의 외측의 범위 (A 및 A') 부분에만 굽힘 변형 (휨) 이 생겨, 노광 중에 실제로 계측에 사용되는 범위 (범위 B) 에 대해서는 굽힘 변형을 거의 확실하게 억제하는 것이 가능해지고 있다.
본 실시형태에서는, 또한, 도 5a 에 나타나는 바와 같이, 2개소의 힌지부 (124c, 124d) 중의 일방의 힌지부 (124c) 로부터 +X 방향으로 소정 거리만큼 떨어진 위치에 동일한 힌지부 (124e) 가 형성되어 있다. 이에 의해, 도 5b 에 나타나는 바와 같이, 판형상부 (24A) 에 Y 축 방향으로의 신장 (ΔL) 이 생긴 경우더라도, 힌지부 (124c) 와 힌지부 (124e) 사이의 부분 (124f) 이, 힌지부 (124c) 를 중심으로 하여 회전하는 부분적인 변형이 생기기 때문에, 미러부 (24B) 의 반사면 (124m) 에 대하여 판형성부 (24A) 의 변형이 주는 영향이 최대한 억제되도록 되어 있다. 즉, 힌지부 (124c, 124e) 에 끼워진 부분 (124f) 은 일종의 플렉셔로서의 기능을 갖고 있다.
레티클 스테이지 본체 (22) 의 판형상부 (24A) 의 -Y측 단부에는, 도 4a 에 나타나는 바와 같이, 2개의 오목부 (24g1, 24g2) 가 형성되고, 그 오목부 (24g1, 24g2) 의 각각에는, 레트로 리플렉터 (321, 322) 가 각각 형성되어 있다.
상기 4개의 연장설치부 (24C1, 24C2, 24D1, 24D2) 는, 도 4a 에 나타나는 바와 같이, 개략 판형의 형상을 갖고, 각 연장설치부에는 강도향상을 위한 단면이 삼각형상인 보강부가 형성되어 있다. 레티클 스테이지 본체 (22) 의 저면에는, 연장설치부 (24C1) 로부터 연장설치부 (24D1) 에 이르는 Y 축 방향의 전역에 걸친 제 1 기체 정압 베어링이 형성되고, 연장설치부 (24C2) 로부터 연장설치부 (24D2) 에 이르는 Y 축 방향의 전역에 걸친 제 2 기체 정압 베어링이 형성되어 있다.
다음에, 제 1 기체 정압 베어링 및 제 2 기체 정압 베어링에 관해서 도 6a 및 도 6b 에 근거하여 설명한다.
도 6a 에는, 레티클 스테이지 본체 (22) 를 하면측에서 본 평면도 (저면도) 가 나타나 있다. 이 도 6a 로부터 알 수 있는 바와 같이 연장설치부 (24C1, 24D1) 및 그 사이의 부분을 포함하는 레티클 스테이지 본체 (22) 의 저면에는, 3개의 홈이 형성되어 있다. 이들 홈 중 X 축 방향의 중앙에 위치하는 홈은, Y 축 방향으로 연장되는 중심 홈 (55A) 과, 그 중심 홈 (55A) 의 X 축 방향 양측에 연통상태로 되고, 또한 Y 축 방향으로 소정 간격으로 형성된 T 자 형상의 복수의 표면 조임 홈 (55B) 으로 구성되는 급기 홈 (56) 으로 되어 있다. 중심 홈 (55A) 과 표면 조임 홈 (55B) 은, 도 6a 의 D-D 선 단면도인 도 6b 에 나타나는 바와 같이, 중심 홈 (55A) 쪽이 표면 조임 홈 (55B) 보다도 깊게 형성되어 있다.
한편, 상기 3개의 홈 중의 나머지의 2개의 홈은, Y 축 방향으로 연장되는 배기 홈 (57A, 57B) 으로 되어 있다. 이들 배기 홈 (57A, 57B) 은 상기 중심 홈 (55A) 과 대략 동일한 단면형상을 갖고 있다.
한편, 도 6b (및 도 3) 에 나타나는 바와 같이, 이들 3개의 홈 (56, 57A, 57B) 각각의 적어도 일부에 대향하여 레티클 스테이지 정반 (16) 에는, 볼록부 (16a) 의 상면에, 3개의 개구 (58, 59A, 59B) 가 각각 형성되어 있다. 이들 3개의 개구 중 중앙에 위치하는 개구가 급기구 (58) 로 되고, 양단에 위치하는 개구가 배기구 (59A, 59B) 로 되어 있다. 급기구 (58) 는, 도 6b 에 나타나는 급기관로 (60) 를 통해 도시하지 않은 기체 공급 장치에 접속되어 있다. 기체 공급 장치로부터는 예를 들어 헬륨 등의 희가스 또는 질소 등의 저흡수성 가스가 공급된다. 또한, 배기구 (59A, 59B) 는, 배기관로 (61A, 61B) 를 통해 도시하지 않은 진공펌프에 접속되어 있다.
본 실시형태에서는, 도시하지 않은 기체 공급 장치로부터 급기관로 (60) 를 통해 저흡수성 가스가 공급되면, 이 저흡수성 가스는, 도 6b 에 나타나는 바와 같이, 급기구 (58) 로부터 급기 홈 (56) 의 중심 홈 (55A) 에 공급되고, 그 중심 홈 (55A) 의 Y방향 전역에 퍼진다. 저흡수성 가스가 더욱 계속 공급되면, 급기 홈 (56) 의 복수의 표면 조임 홈 (55B) 으로부터 저흡수성 가스가 레티클 스테이지 정반 (16) 의 상면에 분사된다.
이 때, 진공펌프에 의해 배기관로 (61A, 61B) 를 통해 흡인동작이 행하여지고 있으면, 레티클 스테이지 (RST) 와 레티클 스테이지 정반 (16) 사이의 기체가 배기구 (59A, 59B) 를 통해 외부로 배기된다. 이 때문에, 좌우의 표면 조임 홈 (55B) 으로부터 배기 홈 (57A, 57B) 으로 향하는 저흡수성 가스의 흐름이, 레티클 스테이지 (RST) 와 레티클 스테이지 정반 (16) 사이의 간극에 생겨, 일정한 유속, 압력의 저흡수성 가스를 항상 계속 흘려보냄으로써, 상기 간극 내의 가압 가스의 정압 (이른바 간극내 압력) 이 일정하게 되어, 레티클 스테이지 (RST) 와 레티클 스테이지 정반 (16) 사이에 예를 들어 수 ㎛ 정도의 클리어런스가 형성되어 유지된다. 즉, 본 실시형태에서는, 급기 홈 (56), 배기 홈 (57A, 57B), 급기구 (58), 급기관로 (60), 배기구 (59A, 59B), 배기관로 (61A, 61B) 가 형성된 레티클 스테이지 본체 (22) 저면의 부분에 의해서, 도시하지 않은 기체 공급 장치로부터의 가압 기체가, 레티클 스테이지 정반 (16) 으로부터 배관을 통하지 않고 공급되는 제 1 차동 배기형의 기체 정압 베어링이 실질적으로 구성되어 있다.
연장설치부 (24C2, 24D2) 및 그 사이의 부분을 포함하는 레티클 스테이지 본체 (22) 의 저면에도, 상기 서술한 중심 홈 (55A) 및 복수의 표면 조임 홈 (55B) 으로 구성되는 급기 홈 (56) 과, 그 양측의 배기 홈 (57A, 57B) 이 형성되고, 이들 3개의 홈을 포함하여 상기 서술한 것과 동일하게 하여, 도시하지 않은 기체 공급 장치로부터의 가압 기체가, 레티클 스테이지 정반 (16) 으로부터 배관을 통하지 않고 공급되는 제 2 차동 배기형의 기체 정압 베어링이 실질적으로 구성되어 있다.
이와 같이, 본 실시형태에서는 제 1, 제 2 차동 배기형의 기체 정압 베어링의 표면 조임 홈 (55B) 으로부터 레티클 스테이지 정반 (16) 의 상면을 통해 분사되는 가압 기체의 정압과, 레티클 스테이지 (RST) 전체의 자체중량과의 밸런스에 의해, 레티클 스테이지 정반 (16) 의 상면의 상방으로 수 마이크론정도의 클리어런스를 사이에 두고, 레티클 스테이지 (RST) 가 비접촉으로 부상지지되게 되어 있다.
도 2 로 되돌아가, 상기 틀형상 부재 (18) 의 상면에는, 개략 고리형의 오목홈 (고리형 오목홈 ; 83, 85) 이 2중으로 형성되어 있다. 이 중 내측의 고리형 오목홈 (83) 에는, 그 내부에 복수의 급기구 (도시 생략) 가 형성되고, 외측의 고리형 오목홈 (85) 에는, 복수의 배기구 (도시 생략) 가 형성되어 있다. 또, 이하에 있어서는 내측의 고리형 오목홈 (83) 을「급기 홈 (83)」, 외측의 고리형 오목홈 (85) 을「배기 홈 (85)」이라 부르는 것으로 한다.
급기 홈 (83) 의 내부에 형성된 급기구는, 도시하지 않은 급기관로 및 급기관을 통해 질소 또는 희가스 등의 저흡수성 가스를 공급하는 도시하지 않은 가스 공급 장치에 접속되어 있다. 또한, 배기 홈 (85) 의 내부에 형성된 배기구는, 도시하지 않은 배기관로 및 배기관을 통해 도시하지 않은 진공 펌프에 접속되어 있다.
또한, 이 틀형상 부재 (18) 의 저면에는, 그 틀형상 부재 (18) 를 상하반전하여 사시도로 나타내는 도 9 로부터 알 수 있는 바와 같이, 개략 고리형의 오목홈 (고리형 오목홈 ; 82, 84) 이 2중으로 형성되어 있다. 이 중의 내측의 고리형 오목홈 (82) 에는, 그 내부에 복수의 급기구 (도시 생략) 가 형성되고, 외측의 고리형 오목홈 (84) 에는, 복수의 배기구 (도시 생략) 가 형성되어 있다. 또, 이하에 있어서는 내측의 고리형 오목홈 (82) 을「급기 홈 (82)」, 외측의 고리형 오목홈 (84) 을「배기 홈 (84)」이라 부르는 것으로 한다.
급기 홈 (82) 의 내부에 형성된 급기구는, 급기관로 및 급기관을 통해 질소 또는 희가스 등의 저흡수성 가스를 공급하는 도시하지 않은 가스 공급 장치에 접속되어 있다. 또한, 배기 홈 (84) 의 내부에 형성된 배기구는, 배기관로 및 배기관을 통해 도시하지 않은 진공펌프에 접속되어 있다.
따라서, 가스 공급 장치와 진공펌프가 작동상태에 있을 때는, 틀형상 부재 (18) 의 저면에 형성된 급기 홈 (82) 으로부터 레티클 스테이지 정반 (16) 의 상면에 가공 기체 (저흡수성 가스) 가 분사되고, 이 분사된 가압 기체의 정압에 의해 틀형상 부재 (18) 의 자체중량이 지지되고, 틀형상 부재 (18) 가 레티클 스테이지 정반 (16) 의 상면의 상방에 수 ㎛ 정도의 클리어런스를 통해 부상지지 (浮上支持) 된다. 이 경우도, 그 클리어런스 내의 가스는, 배기 홈 (84) 을 통해 진공펌프의 흡인력에 의해 외부로 배기된다. 이 경우, 급기 홈 (82) 으로부터 배기 홈 (84) 으로 향하는 가스의 흐름이 생기고 있다. 이 때문에, 그 클리어런스를 통해 틀형상 부재 (18) 의 내부에 외기가 혼입되는 것이 효과적으로 저지되고 있다.
이와 같이, 틀형상 부재 (18) 의 저면의 전체에 의해, 실질적으로, 레티클 스테이지 정반 (16) 의 상면의 상방에 틀형상 부재 (18) 를 부상지지하는 차동 배기형의 기체 정압 베어링이 구성되어 있다.
또한, 가스 공급 장치와 진공펌프가 작동 상태에 있을 때는, 틀형상 부재 (18) 의 상면에 형성된 급기 홈 (83) 으로부터 조명계측 플레이트 (14) 의 하면에 가압 기체 (저흡수성 가스) 가 분사됨과 함께, 조명계측 플레이트 (14) 와 틀형상 부재 (18) 사이의 클리어런스내의 가스는, 배기 홈 (85) 을 통해 진공펌프의 흡인력에 의해 외부로 배기된다. 이 경우, 급기 홈 (83) 으로부터 배기 홈 (85) 으로 향하는 가스의 흐름이 생긴다. 이 때문에, 그 클리어런스를 통해 틀형상 부재 (18) 의 내부에 외기가 혼입되는 것이 효과적으로 저지되고 있다. 또한, 이 경우, 분사된 가압 기체의 정압과 진공흡인력의 밸런스에 의해, 틀형상 부재 (18) 와 조명계측 플레이트 (14) 사이에 클리어런스가 유지된다. 즉, 틀형상 부재 (18) 의 상면의 전체에 의해, 실질적으로, 틀형상 부재 (18) 와 조명계측 플레이트 (14) 사이의 클리어런스를 유지하는 차동 배기형의 기체 정압 베어링이 구성되어 있다.
또한, 본 실시형태의 경우, 틀형상 부재 (18) 와 레티클 스테이지 정반 (16) 사이의 상기 서술한 클리어런스 (즉 베어링간극) 는, 틀형상 부재 (18) 상하의 차동 배기형의 기체 정압 베어링이 틀형상 부재 (18) 에 미치는 힘, 틀형상 부재 (18) 전체의 자체중량과의 종합적인 밸런스에 의해 실제로는 결정된다.
이와 같이, 틀형상 부재 (18) 와 조명계측 플레이트 (14) 사이의 클리어런스, 및 레티클 스테이지 정반 (16) 과 틀형상 부재 (18) 사이의 클리어런스가 상기 서술한 가스의 흐름에 의해 기밀화되고, 또한, 상기 서술한 바와 같이, 투영 광학계 유닛 (PL) 의 상단부와 레티클 스테이지 정반 (16) 사이가 상기 서술한 시일 부재 (98) 에 의해 접속되어 있기 때문에 (도 7, 도 8 참조), 틀형상 부재 (18) 에 의해 둘러싸인 공간 내는 기밀도가 매우 높은 공간으로 되어 있다. 이하, 틀형상 부재 (18) 에 의해 둘러싸인 공간을, 편의상「기밀공간」 이라 부르는 것으로 한다.
본 실시형태와 같이, 진공자외역의 노광파장을 사용하는 노광 장치에서는, 산소 등의 흡수성 가스에 의한 노광광의 흡수를 피하기 위해, 조명 유닛 (IOP) 부터 투영 광학계 유닛 (PL) 까지의 광로, 즉 상기 서술한 기밀공간내 (의 광로) 에 관해서도 질소나 희가스로 치환할 필요가 있다.
이 경우, 틀형상 부재 (18) 의 측벽에 급기관, 배기관을 각각 접속하여, 급기관을 통해 상기 서술한 기밀공간에 저흡수성 가스를 공급하여, 배기관을 통해 내부의 가스를 외부로 배기하는 것으로 하면 된다.
이밖에, 틀형상 부재 (18) 에 접속된 도시하지 않은 급기관내를 흐르는 질소 또는 희가스의 일부를, 틀형상 부재 (18) 내에서 급기관로의 일부에서 분기된 급기 지관을 통해 상기 기밀 공간 내에 유입시킴으로써 기밀공간 내에 질소 또는 희가스가 공급되고, 그 한편으로, 배기관로의 일부에서 분기된 배기 지관을 통해, 기밀공간 내의 가스가 배기되는 구성을 채택해도 된다. 이와 같이 하면, 상기 기밀화와 아울러, 레티클 (R) 이 유지된 공간내를 노광광의 흡수가 적은 질소 또는 희가 스 등에 의해 치환하는 것이 가능해진다.
또, 기밀공간에 공급되는 가스로서 헬륨 가스를 사용하는 경우에는, 가스 배기 기구를 통해 헬륨 가스를 회수한 후, 불순물을 제거 후, 재이용하는 것이 바람직하다.
상기 레티클 스테이지 구동계는, 도 2 에 나타나는 바와 같이, 틀형상 부재 (18) 의 내부에, Y 축 방향으로 각각 가설된 1쌍의 고정자 유닛 (1쌍의 고정자부 ; 36, 38) 을 포함하여 구성되고, 레티클 스테이지 (RST) 를 Y 축 방향으로 구동함과 함께 θz 방향 (Z 축 둘레의 회전방향) 으로 미소 구동하는 제 1 구동기구와, 틀형상 부재 (18) 의 내부의 일방의 고정자 유닛 (38) 의 + X 측에 Y 축 방향으로 가설된 고정자 유닛 (40) 을 포함하여 구성되고, 레티클 스테이지 (RST) 를 X 축 방향으로 미소 구동하는 제 2 구동기구를 구비하고 있다.
상기 일방의 고정자 유닛 (36) 은, 레티클 스테이지 장치 (12) 의 분해사시도인 도 3 에 나타나는 바와 같이, Y 축 방향을 길이방향으로 하는 전기자 유닛으로 이루어지는 1쌍의 제 1 고정자로서의 Y 축 리니어 가이드 (1361, 1362) 와, 이들 Y 축 리니어 가이드 (1361, 1362) 를 Y 축 방향 (길이방향) 의 일단부와 타단부에 의해 유지하는 1쌍의 고정부재 (유지부 ; 152) 를 구비하고 있다. 이 경우, 1쌍의 고정부재 (152) 에 의해, Y 축 리니어 가이드 (1361, 1362) 는, Z 축 방향 (상하방향) 으로 소정 간격을 두고 서로 대향하고 또한 XY 면에 각각 평행하게 유지되어 있다. 1쌍의 고정부재 (152) 의 각각은, 상기 서술한 틀형상 부재 (18) 의 Y 축 방향 일측과 타측의 내벽면 (측벽의 내면) 에 고정되어 있다.
상기 Y 축 리니어 가이드 (1361, 1362) 는, 도 3 및 레티클 스테이지 장치의 XZ 단면도를 나타내는 도 7로부터도 알 수 있는 바와 같이, 단면 직사각형 (직사각형) 의 비자성 재료로 이루어지는 프레임을 갖고, 그 내부에는, Y 축 방향으로 소정 간격으로 복수의 전기자 코일이 배치되어 있다.
상기 타방의 고정자 유닛 (38) 도 상기 일방의 고정자 유닛 (36) 과 동일하게 구성되어 있다. 즉, 고정자 유닛 (38) 은, Y 축 방향을 길이방향으로 하는 상하 1쌍의 전기자 유닛으로 이루어지는 제 1 고정자로서의 Y 축 리니어 가이드 (1381, 1382) 와, 이들 Y 축 리니어 가이드 (1381, 1382) 를 Z 축 방향으로 소정간격을 유지한 상태로 양단부에서 고정하는 1쌍의 고정부재 (유지부 ; 154) 를 구비하고 있다. 1쌍의 고정부재 (154) 의 각각은, 상기 서술한 틀형상 부재 (18) 의 Y 축 방향 일측과 타측의 내벽면에 고정되어 있다.
상기 Y 축 리니어 가이드 (1381, 1382) 는, 상기 서술한 Y 축 리니어 가이드 (1361, 1362) 와 동일하게 구성되어 있다 (도 7참조).
Y 축 리니어 가이드 (1361, 1381) 와, Y 축 리니어 가이드 (1362, 1382) 사이에는, 도 7 에 나타나는 바와 같이, 각각 소정의 클리어런스를 통해, 레티클 스테이지 (RST) 가 배치되어 있다. Y 축 리니어 가이드 (1361, 1362) 에 각각 대향하여, 레티클 스테이지 (RST) 의 상면, 하면에는, 1쌍의 제 1 가동자로서의 자극 유닛 (261, 262) 이 각각 매립되고, Y 축 리니어 가이드 (1381, 1382) 에 대향하여, 레티클 스테이지 (RST) 의 상면, 하면에는, 1쌍의 제 1 가동자로서의 자극 유닛 (281, 282) 이 각각 매립되어 있다.
자극 유닛 (261, 262) 의 각각은, 도 4b 에 나타나는 바와 같이, 상기 서술한 레티클 스테이지 본체 (22) 의 판형상부 (24A) 의 단차 개구 (22a) 의 -X 측에, 레티클 스테이지 본체 (22) 의 중립면 (CT) 을 기준으로 하여 대칭으로 상하면측에 각각 형성된 오목부 (24e1, 24e2) 내에 배치되어 있다.
이 경우, Y 축 리니어 가이드 (1361, 1362) 는 상기 중립면 (CT) 을 기준으로 하여 대략 대칭인 위치에 위치하고 있다.
상기 1쌍의 자극 유닛 (261, 262) 은 자성체 부재와, 그 자성체 부재의 표면에 Y 축 방향을 따라 소정 간격으로 배치된 복수의 계자석을, 각각 구비하고 있다. 복수의 계자석은, 인접하는 계자석끼리로 역극성으로 되어 있다. 따라서, 자극 유닛 (261) 의 상방의 공간에는 Y 축 방향을 따라 교번 자계가 형성되고, 자극 유닛 (262) 의 하방의 공간에는 Y 축 방향을 따라 교번 자계가 형성되어 있다.
동일하게, 상기 1쌍의 자극 유닛 (281, 282) 의 각각은, 도 4b 에 나타나는 바와 같이, 상기 서술한 레티클 스테이지 본체 (22) 의 판형상부 (24A) 의 단차 개구 (22a) 의 + X 측에, 레티클 스테이지 본체 (22) 의 중립면 (CT) 을 기준으로 하여 대칭으로 상하면측에 각각 형성된 오목부 (24f1, 24f2) 내에 배치되어 있다. 또한, 1쌍의 자극 유닛 (281, 282) 은, 단차 개구 (22a) 의 X 축 방향의 중심위치 (레티클 스테이지 (RST) 의 중심의 X 축 방향 위치와 거의 일치) 를 지나는 Z 축에 관하여, 자극 유닛 (261, 262) 과 거의 좌우대칭의 배치로 되어있다.
또한, 상기 Y 축 리니어 가이드 (1381, 1382) 는 중립면 (CT) 을 기준으로서 거의 대칭인 위치에 위치하고 있다.
상기 1쌍의 자극 유닛 (281, 282) 은 자성체 부재와, 그 자성체 부재의 표면에 Y 축 방향을 따라 소정간격으로 배치된 복수의 계자석을 각각 구비하고 있다. 복수의 계자석은 인접하는 계자석끼리로 역극성으로 되어 있다. 따라서, 자극 유닛 (281) 의 상방의 공간에는 Y 축 방향을 따라 교번 자계가 형성되고, 자극 유닛 (282) 의 하방의 공간에는 Y 축 방향을 따라 교번 자계가 형성되어 있다.
본 실시형태에서는, 상기 서술한 고정자 유닛 (36, 38 ; 2쌍의 Y 축 리니어 가이드 (1361, 1362, 1381, 1382) 를 포함한다) 과 2쌍의 자극 유닛(261, 262, 281, 282) 에 의해 제 1 구동기구가 구성되어 있다. 이 제 1 구동기구에 의하면, Y 축 리니어 가이드 (1361, 1362) 내의 전기자 코일에 전류가 공급됨으로써, 자극 유닛 (261, 262) 이 발생하는 자계와 전기자 유닛 (1361, 1362) 을 흐르는 전류 사이의 전자상호작용에 의해서 Y 축 방향의 전자력 (로렌츠력) 이 발생하여, 이 로렌츠력의 반력이 자극 유닛 (261, 262 ; 레티클 스테이지 (RST)) 을 Y 축 방향으로 구동하는 구동력이 된다.
동일하게, Y 축 리니어 가이드 (1381, 1382) 내의 전기자 코일에 전류가 공급되는 것에 의해, 자극 유닛 (281, 282) 이 발생하는 자계와 Y 축 리니어 가이드 (1381, 1382) 를 흐르는 전류 사이의 전자상호작용에 의해서 Y 축 방향의 전자력 (로렌츠력) 이 발생하고, 이 로렌츠력의 반력이 자극 유닛 (261, 262 ; 레티클 스테이지 (RST)) 을 Y 축 방향으로 구동하는 구동력이 된다.
본 실시형태의 경우, 레티클 스테이지 (RST) 의 중립면 (CT) 을 기준으로 하여, 자극 유닛 (261 과 262), 자극 유닛 (281 과 282) 이 각각 대칭으로 배치되고, 이들 자극 유닛에 대응하는 Y 축 리니어 가이드 (1361 과 1362), Y 축 리니어 가이드 (1381, 1382) 도 중립면 (CT) 을 기준으로 하여 상하 대칭으로 배치되어 있다. 이 때문에, Y 축 리니어 가이드 (1361, 1362, 1381, 1382) 의 전기자 코일 각각에 동일한 전류를 공급함으로써, 자극 유닛 (261, 262, 281, 282) 의 각각에 동일한 구동력이 주어져, 레티클 스테이지 (RST) 의 중립면 (CT ; 도 4b 참조) 상의 2 개소에 Y 축 방향의 구동력 (자극 유닛 (261, 262) 의 구동력의 합력, 자극 유닛 (281, 282) 의 구동력의 합력) 을 작용시킬 수 있고, 이것에 의해, 레티클 스테이지 (RST) 에는 피칭 모멘트가 최대한 작용하지 않게 되어 있다.
또한, 이 경우, 자극 유닛 (261 과 262), 자극 유닛 (281 과 282) 은, X 축 방향에 관해서도, 레티클 스테이지 (RST) 의 중심 근방 위치에 관해서 대략 대칭으로 배치되어 있기 때문에, 레티클 스테이지 (RST) 의 중심으로부터 등거리의 2 개소에 상기 Y 축 방향의 구동력이 작용하기 때문에, 그 2 개소에 동일한 힘을 발생시킴으로써 레티클 스테이지 (RST) 의 중심 위치 근방에 Y 축 방향의 구동력의 합력을 작용시키는 것이 가능하게 되어 있다. 따라서, 레티클 스테이지 (RST) 에는 요잉 모멘트가 최대한 작용하지 않게 되어 있다.
또, 상기와 반대로, 좌우의 Y 축 방향의 구동력을 서로 다르게 함으로써, 레티클 스테이지 (RST) 의 요잉을 제어할 수도 있다.
지금까지의 설명으로부터 명확한 바와 같이, 자극 유닛 (261, 262) 과, 대응하는 리니어 가이드 (1361, 1362) 에 의해 레티클 스테이지 (RST) 를 Y 축 방향으로 구동하는 1쌍의 무빙 마그넷트형의 Y 축 리니어 모터가 구성되고, 자극 유닛 (281, 282) 과, 대응하는 Y 축 리니어 가이드 (1381, 1382) 에 의해 레티클 스테이지 (RST) 를 Y 축 방향으로 구동하는 1쌍의 무빙 마그넷트형의 Y 축 리니어 모터가 구성되어 있다. 또, 이하에 있어서는, 이들 Y 축 리니어 모터를 각 Y 축리니어 모터를 구성하는 리니어 가이드와 동일한 부호를 사용하여, 「Y 축 리니어 모터 (1361, 1362, 1381, 1382)」라고도 기술하는 것으로 한다.
좌우 각 1쌍의 Y 축 리니어 모터 (1361, 1362 및 1381, 1382) 에 의해서, 상기 서술한 제 1 구동기구가 구성되어 있다.
상기 고정자 유닛 (40) 은 도 3 에 나타나는 바와 같이, Y 축 방향을 길이방향으로 하는 1쌍의 제 2 고정자로서의 전기자 유닛 (1401, 1402) 과, 이들 전기자 유닛 (1401, 1402) 을 Y 축 방향 (길이방향) 의 일단부와 타단부에 의해 유지하는 1쌍의 고정부재 (156) 를 구비하고 있다. 이 경우, 1쌍의 고정부재 (156) 에 의해, 전기자 유닛 (1401, 1402) 은, Z 축 방향 (상하방향) 으로 소정 간격을 두고 서로 대향하고 또한 XY 면에 각각 평행하게 유지되어 있다. 1쌍의 고정부재 (156) 의 각각은, 상기 서술한 틀형상 부재 (18) 의 Y 축 방향 일측과 타측의 내벽면에 고정되어 있다.
전기자 유닛 (1401, 1402) 은 도 7 에서도 알 수 있는 바와 같이, 단면이 구형 (직사각형) 인 비자성 재료로 이루어지는 프레임을 갖고, 그 내부에는, 전기자 코일이 배치되어 있다.
전기자 유닛 (1401, 1402) 상호간에는, 도 7 에 나타나는 바와 같이, 각각 소정의 클리어런스를 통해, 레티클 스테이지 (RST) 의 X 축 방향의 단부에 고정된 제 2 가동자로서의 단면이 구형 (직사각형) 인 판형의 영구자석 (30) 이 배치되어 있다. 영구자석 (30) 대신에, 평판형의 자성체 부재와 그 상하면에 각각 고정된 1쌍의 평판형의 영구자석으로 이루어지는 자극 유닛을 사용해도 된다.
이 경우, 영구자석 (30) 및 전기자 유닛 (1401, 1402) 은, 중립면 (CT) 을 기준으로 하여 거의 대칭인 형상 및 배치로 되어 있다 (도 4b 및 도 7 참조).
따라서, 영구자석 (30) 에 의해 형성되는 Z 축 방향의 자계와 전기자 유닛 (1401, 14O2) 을 각각 구성하는 전기자 코일을 Y 축 방향으로 흐르는 전류와의 사이의 전자상호작용에 의해 X 축 방향의 전자력 (로렌츠력) 이 발생하고, 이 로렌츠력의 반력이 영구자석 (30 ; 레티클 스테이지 (RST)) 을 X 축 방향으로 구동하는 구동력이 된다.
이 경우, 전기자 유닛 (1401, 1402) 을 각각 구성하는 전기자 코일에 동일한 전류를 공급함으로써, 레티클 스테이지 (RST) 의 중립면 (CT ; 도 4b 참조) 상의 위치에 X 축 방향의 구동력을 작용시킬 수 있고, 이에 의해 레티클 스테이지 (RST) 에는 롤링 모멘트가 최대한 작용하지 않게 되어 있다.
상기 서술한 바와 같이, 전기자 유닛 (1401, 1402) 과 영구자석 (30) 에 의해, 레티클 스테이지 (RST) 를 X 축 방향으로 미소 구동 가능한 무빙 마그넷트형의 보이스 코일 모터가 구성되어 있다. 또, 이하에 있어서는, 이 보이스 코일 모터를 그 보이스 코일 모터를 구성하는 가동자, 즉 영구자석의 부호를 사용하여 보이스 코일 모터 (30) 라고도 부르는 것으로 한다. 이 보이스 코일 모터 (30) 에 의해서, 제 2 구동기구가 구성되어 있다.
본 실시형태에서는, 또한, 상기 서술한 틀형상 부재 (18) 의 + X 측면 및 + Y측면에는, 도 3 에 나타나는 바와 같이, 자극 유닛으로 이루어지는 가동자 (601, 602, 6O3) 가 형성되어 있다. 이들 가동자 (제 1 부분 ; 6O1, 602, 6O3) 에 대응하여 레티클 스테이지 정반 (16) 에는, 지지대 (641, 642, 643) 를 통해, 전기자 유닛으로 이루어지는 고정자 (제 2 부분 ; 621, 622, 622) 가 형성되어 있다.
상기 가동자 (601, 602) 는, 그 내부에 영구자석을 구비하고 있고, Z 축 방향의 자계를 형성한다. 상기 고정자 (621, 622) 는, 그 내부에 전기자 코일을 갖고, 상기 Z 축 방향의 자계 중을 전류가 Y 축 방향으로 흐르도록 되어 있다. 따라서, 고정자 (621, 622) 내의 전기자 코일에 Y 축 방향의 전류가 공급됨으로써, 가동자 (601, 602) 에는 X 축 방향으로의 구동력 (로렌츠력의 반력) 이 작용하게 된다. 즉, 가동자 (601) 와 고정자 (621) 에 의해, 무빙 마그넷트형의 보이스 코일 모터로 이루어지는 X 축 방향 구동용의 트림 모터가 구성되고, 가동자 (6O2) 와 고정자 (622) 에 의해, 무빙 마그넷트형의 보이스 코일 모터로 이루어지는 X 축 방향 구동용의 트림 모터가 구성되어 있다.
또한, 상기 가동자 (603) 는, 그 내부에 영구자석을 구비하고 있고, Z 축 방향의 자계를 형성한다. 상기 고정자 (623) 는, 그 내부에 전기자 코일을 갖고, 상기 Z 축 방향의 자계 중을 전류가 X 축 방향으로 흐르도록 되어 있다. 따라서, 고정자 (623) 내의 전기자 코일에 X 축 방향의 전류가 공급됨으로써, 가동자 (6O3) 에는 Y 축 방향으로의 구동력 (로렌츠력의 반력) 이 작용하게 된다. 즉, 가동자 (6O3) 와 고정자 (623) 에 의해 무빙 마그넷트형의 보이스 코일 모터로 이루어지는 Y 축 방향 구동용의 트림 모터가 구성되어 있다.
이와 같이, 이들 3개의 트림 모터를 사용함으로써, 틀형상 부재 (18) 를 X 축 방향, Y 축 방향 및 θz 방향의 3자유도 방향으로 구동하는 것이 가능하다.
상기 틀형상 부재 (18) 의 -X 측의 측벽의 거의 중앙에는, 도 3 에 나타나는 바와 같이, 오목형상부 (18a) 가 형성되어 있다. 이 오목형상부 (18a) 에는 틀형상 부재 (18) 의 내부와 외부를 연통하는 직사각형 개구 (18b) 가 형성되고, 그 직사각형 개구 (18b) 에는, 창유리 (투명부 ; g1) 가 끼워넣어져 있다. 또한, 틀형상 부재 (18) 의 -Y측의 측벽에는, 틀형상 부재 (18) 의 내부와 외부를 연통하는 직사각형 개구 (18c) 가 형성되고, 그 개구 (18c) 에는, 창유리 (투명부 ; g2) 가 끼워넣어져 있다. 이들 창유리 (g1, g2) 는, 그 장착 부분으로부터의 가스누설이 생기지 않도록, 장착 부분에는, 인듐이나 구리 등의 금속 시일이나, 불소계 수지에 의한 밀봉 (시일링) 이 실시되고 있다. 또, 상기 불소계 수지로는 80℃ 에서 2시간 가열하여 탈가스 처리된 것을 사용하는 것이 바람직하다.
상기 창유리 (g1) 의 외측 (-X 측) 에는, 도 7 로부터 알 수 있는 바와 같이, 레티클 스테이지 (RST) 의 미러부 (24B) 의 반사면 (124m) 에 대향하여 위치 검출 장치인 X 축 레이저 간섭계 (69X) 가 형성되어 있다. 이 X 축 레이저 간섭계 (69X) 로부터의 측장 빔이 창유리 (g1) 를 통해 미러부 (24B) 의 반사면 (124m) 에 대하여 투사되고, 그 반사광이 창유리 (g1) 를 통해 X 축 레이저 간섭계 (69X) 내로 되돌아간다. 이 경우, 측장 빔의 광로의 Z 축 방향의 위치는, 상기 서술한 중립면 (CT) 의 위치에 일치하고 있다.
또한, 도 7 에 나타나는 바와 같이, 투영 광학계 유닛 (PL) 의 경통의 상단부 근방에는, 고정거울 (Mrx) 이 장착부재 (92) 를 통해 형성되어 있다. X 축 레이저 간섭계 (69X) 로부터의 참조 빔은 레티클 스테이지 정반 (16) 에 형성된 관통구멍 (광로 ; 71) 을 통해, 고정거울 (Mrx) 에 대하여 투사되어, 그 반사광이 X 축 레이저 간섭계 (69X) 내로 되돌아간다. X 축 레이저 간섭계 (69X) 에서는, 측장 빔의 반사광, 참조 빔의 반사광을 내부의 광학계에 의해 동축으로 또한 동일한 편광방향의 광으로 합성하여, 양 반사광의 간섭광을 내부의 디텍터에 의해 수광한다. 그리고, 그 간섭광에 의해 디텍터의 수광면에 생기는 간섭무늬의 카운트치에 근거하여, X 축 레이저 간섭계 (69X) 는, 레티클 스테이지 본체 (22) 의 X 축 방향의 위치를, 고정거울 (Mrx) 을 기준으로 하여, 예를 들어 0.5~1㎚ 정도의 분해능으로 항상 검출한다.
상기 창유리 (g2) 의 외측 (-Y측) 에는 레티클 스테이지 장치 (12) 근방의 YZ 단면도인 도 8 로부터 알 수 있는 바와 같이, 레티클 스테이지 본체 (22) 에 설치된 상기 서술한 레트로 리플렉터 (321, 322) 의 반사면에 대향하여 위치 검출 장치인 Y 축 레이저 간섭계 (69Y) 가 설치되어 있다. 이 경우, Y 축 레이저 간섭계 (69Y) 는, 레트로 리플렉터 (321, 322) 에 각각 대응하여 1쌍 설치되어 있다. 각 Y 축 레이저 간섭계 (69Y) 로부터의 측장 빔은 창유리 (g2) 를 통해 레트로 리플렉터 (321, 322) 의 반사면에 대하여 각각 투사되어, 각각의 반사광이 창유리 (g2) 를 통해 각 Y 축 레이저 간섭계 (69Y) 내로 되돌아간다. 이 경우, 측장 빔의 조사점의 Z 축 방향의 위치는, 상기 서술한 중립면 (CT) 의 위치에 거의 일치하고 있다.
또한, 도 8 에 나타나는 바와 같이, 투영 광학계 유닛 (PL) 의 경통의 상단부 근방에는, 고정거울 (Mry) 이 설치부재 (93) 를 통해 형성되어 있다. 각 Y 축 레이저 간섭계 (69Y) 로부터의 참조 빔은 레티클 스테이지 정반 (16) 에 형성된 관통구멍 (광로 ; 72) 을 각각 통해, 고정거울 (Mry) 에 대하여 각각 투사되고, 각각의 반사광이 각 Y 축 레이저 간섭계 (69Y) 내로 되돌아간다. 그리고, 각 Y 축 레이저 간섭계 (69Y) 는 상기 서술한 X 축 레이저 간섭계 (69X) 와 동일하게, 측장 빔의 반사광과 참조 빔의 반사광과의 간섭광에 근거하여, 각각의 측장 빔의 투사위치 (레트로 리플렉터 (321, 322) 의 반사면의 위치) 에서의 레티클 스테이지 본체 (22) 의 Y 축 방향의 위치를, 고정거울 (Mry) 을 각각 기준으로 하여 예를 들어 0.5~1㎚ 정도의 분해능으로 각각 항상 검출한다.
이 경우, 1쌍의 Y 축 레이저 간섭계 (69Y) 에 의해, 레티클 스테이지 (RST) 의 Z 축 둘레의 회전량도 검출할 수 있게 되어 있다.
본 실시형태에서는, 도 2 에 나타내는 바와 같이, 미러부 (24B) 는, 고정자 유닛 (36 ; Y 축 리니어 모터 (1361, 1362) 의 외측에 배치되어 있다. 이 때문에, X 축 레이저 간섭계 (69X) 로부터의 측장 빔이 Y 축 리니어 모터 (1361, 1362) 의 고정자의 상방을 통과하는 일이 없는 점에서, Y 축 리니어 모터 (1361, 1362) 의 고정자를 흐르는 전류에 의한 발열에 의해, Y 축 리니어 모터 (1361, 1362) 근방에 공기 요동이 발생하더라도, 이 공기 요동에 의한 X 축 레이저 간섭계 (69X) 의 계측치로의 영향이 없기 때문에, 레티클 스테이지 (RST), 나아가서는 레티클 (R) 의 X 축 방향 위치를 고정밀도로 검출하는 것이 가능해진다. 또한, 이 경우, 상기 서술한 바와 같이, X 축 레이저 간섭계 (69X) 의 측장 빔의 광로의 Z 축 방향의 위치는, 중립면 (CT) 의 위치에 일치하고 있고, 레티클 (R) 의 탑재면도 중립면 (CT) 에 일치하고 있기 때문에, 이른바 아베 오차없이, 레티클 스테이지 (RST) , 나아가서는 레티클 (R) 의 X 축 방향 위치를 정밀하게 계측할 수 있다. 1쌍의 Y 축 간섭계 (69Y) 에서도, 같은 이유에 의해, 이른바 아베 오차 없이, 레티클 스테이지 (RST), 나아가서는 레티클 (R) 의 Y 축 방향 위치를 정밀하게 계측할 수 있게 되어 있다.
또한, 상기 서술한 X 축 레이저 간섭계 (69X) 및 1쌍의 Y 축 간섭계 (69Y) 는, 틀형상 부재 (18) 의 외부에 배치되어 있기 때문에, 각 간섭계를 틀형상 부재 (18) 의 내부에 배치할 경우에 생기는 개연성이 높은 문제의 발생을 방지할 수 있다. 예를 들어, 각 간섭계를 구성하는 프리즘 등의 광학부재 및 디텍터 등으로부터 가령 미량의 흡수성 가스가 발생해도, 이것이 상기 서술한 기밀공간 내의 저흡수성 가스에 혼입되는 일이 없고, 결과적으로 노광에 대해 악영향을 미치는 일이 없게 되어 있다.
상기 서술한 바와 같이, 실제로는, 이동거울로서, 미러부 (24B), 레트로 리플렉터 (321, 322) 의 3개가 형성되고, 이것에 대응하여 레이저 간섭계도 X 축 레이저 간섭계 (69X) 와 1쌍의 Y 축 레이저 간섭계 (69Y) 가 형성되어 있지만, 도 1 에서는 이들이 대표적으로 레티클 이동거울 (Mm), 레티클 간섭계 시스템 (69) 으로서 도시되어 있다. 또, 도 1 에서는, 고정거울 (고정거울 (Mrx), 고정거울 (Mry)) 은 도시생략되어 있다.
이하의 설명에 있어서는, 레티클 간섭계 시스템 (69) 에 의해 레티클 스테이지 (RST) 의 XY 면내의 위치 (θz 회전을 포함한다) 가 계측되어 있는 것으로 한다. 이 레티클 간섭계 시스템 (69) 으로부터의 레티클 스테이지 (RST) 의 위치 정보 (또는 속도 정보) 는 도 1 의 스테이지 제어계 (90) 및 이것을 통해 주제어장치 (70) 에 보내지고, 스테이지 제어계 (90) 에서는 주제어장치 (70) 로부터의 지시에 따라, 레티클 스테이지 (RST) 의 위치정보 (또는 속도정보) 에 근거하여 레티클 스테이지 (RST) 의 구동을 제어한다.
도 1 로 되돌아가, 상기 투영 광학계 유닛 (PL) 으로서는, 양측 텔레센트릭인 축소계, 또한 공통의 Z 축 방향의 광축을 갖는 복수장의 렌즈 엘리먼트로 이루어지는 굴절광학계가 사용되고 있다. 이 투영 광학계 유닛 (PL) 은, 실제로는, 그 투영 광학계 유닛 (PL) 의 경통부에 형성된 플랜지부 (FLG) 를 통해, 도시하지 않은 유지부재에 의해서 유지되어 있다. 이 투영 광학계 유닛 (PL) 의 투영배율 β 는 예를 들어 1/4 또는 1/5 이다. 이 때문에, 상기 서술한 바와 같이, 조명 유닛 (IOP) 으로부터의 조명광 (IL) 에 의해 레티클 (R) 이 조명되면, 레티클 (R) 에 형성된 상기 서술한 조명영역 내의 회로패턴이 투영 광학계 유닛 (PL) 에 의해 조명영역과 공액인 웨이퍼 (W) 상의 조명광 (IL) 의 조명영역 (노광영역) 에 축소투영되어, 회로패턴의 축소 이미지 (부분 등입상 (等立像)) 가 전사형성된다.
투영 광학계 유닛 (PL) 의 경통에는, 급기관로 (50) 의 일단과, 배기관로 (51) 의 일단이 각각 접속되어 있다. 급기관로 (50) 의 타단은, 도시하지 않은 저흡수성 가스의 공급장치, 예를 들어 헬륨 가스 공급 장치에 접속되어 있다. 또한, 배기관로 (51) 의 타단은, 외부의 가스회수장치에 접속되어 있다. 그리고, 헬륨 가스 공급 장치로부터 고순도의 헬륨 가스가 급기관로 (50) 를 통해 투영 광학계 유닛 (PL) 의 경통의 내부에 플로우되고 있다. 이 경우, 헬륨 가스가 가스회수장치에 회수되게 되어 있다. 또, 저흡수성 가스로서 헬륨 가스를 사용하고 있는 것은, 상기 서술한 바와 같은 이유에 더하여, 투영 광학계 유닛 (PL) 의 렌즈재료로서 열팽창계수가 큰 형석이 사용되고 있는 점에서, 렌즈가 조명광 (IL) 을 흡수함으로써 발생하는 온도상승이 렌즈의 결상특성을 열화시키는 것을 고려하여, 냉각효과가 큰 저흡수성 가스인 헬륨 가스를 사용하는 것이 바람직하기 때문이다.
상기 웨이퍼 스테이지 (WST) 는, 웨이퍼실 (80) 내에 배치되어 있다. 이 웨이퍼실 (80) 은, 천정부의 대략 중앙부에 원형 개구 (71a) 가 형성된 격벽 (71) 에 의해 형성되어 있다. 이 격벽 (71) 은, 스테인리스 (SUS) 등의 탈가스가 적은 재료로 형성되어 있다. 격벽 (71) 의 천정부의 개구 (71a) 내에 투영 광학계 유닛 (PL) 의 경통의 하단부가 삽입되어 있다. 또한, 격벽 (71) 의 천정벽의 개구 (71a) 의 주위와 투영 광학계 유닛 (PL) 의 플랜지부 (FLG) 의 사이는, 플렉시블 벨로우즈 (97) 에 의해 간극없이 접속되어 있다. 이와 같이 하여, 웨이퍼실 (80) 의 내부의 가스가 외부와 격리되어 있다.
웨이퍼실 (80) 내에는, 스테이지 베이스 (BS) 가, 복수의 방진 유닛 (86) 을 통해 거의 수평으로 지지되어 있다. 이들 방진 유닛 (86) 은, 바닥면 (F) 으로부터 스테이지 베이스 (BS) 에 전달되는 미진동 (암진동) 을 예를 들어 마이크로 G 레벨로 절연한다. 또, 이 방진 유닛 (86) 으로서, 스테이지 베이스 (BS) 의 일부에 장착된 반도체 가속도계 등의 진동 센서의 출력에 근거하여 스테이지 베이스 (BS) 를 적극적으로 제진하는 이른바 액티브 방진장치를 사용하는 것도 가능하다.
상기 웨이퍼 스테이지 (WST) 는, 웨이퍼 홀더 (25) 를 통해 웨이퍼 (W) 를 진공 흡착 등에 의해 유지하고, 예를 들어 리니어 모터 등을 포함하는 도시하지 않은 웨이퍼 구동계에 의해 상기 베이스 (BS) 의 상면을 따라 XY 2차원방향으로 자유롭게 구동되도록 되어 있다.
본 실시형태와 같이, 진공자외역의 노광파장을 사용하는 노광장치에서는, 산소 등의 흡수성 가스에 의한 노광광 (조명광 (IL)) 의 흡수를 피하기 위해, 투영 광학계 유닛 (PL) 부터 웨이퍼 (W) 까지의 광로에 관해서도 질소나 희가스로 치환할 필요가 있다.
웨이퍼실 (80) 의 격벽 (71) 에는, 도 1에 나타나는 바와 같이, 급기관로 (41) 의 일단과, 배기관로 (43) 의 일단이 각각 접속되어 있다. 급기관로 (4l) 의 타단은, 도시하지 않은 저흡수성 가스의 공급장치, 예를 들어 헬륨 가스 공급 장치에 접속되어 있다. 또한, 배기관로 (43) 의 타단은, 외부의 가스회수장치에 접속되어 있다. 그리고, 상기 서술한 것과 동일하게 하여, 웨이퍼실 (80) 내에 헬륨 가스가 항상 플로우되고 있다.
웨이퍼실 (80) 의 격벽 (71) 의 -Y측의 측벽에는 광투과창 (85) 이 형성되어 있다. 이것과 같이, 도시는 생략되어 있지만, 격벽 (71) 의 + X 측 (도 1에 있어서의 지면 바로 앞측) 의 측벽에도 광투과창이 형성되어 있다. 이들 광투과창은, 격벽 (71) 에 형성된 창부 (개구부) 에 그 창부를 폐색하는 광투과부재, 여기서는 일반적인 광학유리를 장착함으로써 구성되어 있다. 이 경우, 광투과창 (85) 을 구성하는 광투과 부재의 장착 부분으로부터의 가스누설이 생기지 않도록, 장착부에는, 인듐이나 구리 등의 금속 시일이나, 불소계 수지에 의해 밀봉 (시일링) 되어 있다. 또, 상기 불소계 수지로는, 80℃ 에서 2시간 가열하여, 탈가스처리된 것을 사용하는 것이 바람직하다.
상기 웨이퍼 홀더 (25) 의 -Y측의 단부에는, 평면거울로 이루어지는 Y 이동거울 (56Y) 이 X 축 방향으로 연장설치되어 있다. 이 Y 이동거울 (56Y) 에 대략 수직으로 웨이퍼실 (80) 의 외부에 배치된 Y 축 레이저 간섭계 (57Y) 로부터의 측장 빔이 광투과창 (85) 을 통해 투사되어, 그 반사광이 광투과창 (85) 을 통해 Y 축 레이저 간섭계 (57Y) 내부의 디텍터에 의해 수광되어, Y 축 레이저 간섭계 (57Y) 내부의 참조거울의 위치를 기준으로 하여 Y 이동거울 (56Y) 의 위치, 즉 웨이퍼 (W) 의 Y 위치가 검출된다.
동일하게, 도시는 생략되어 있지만, 웨이퍼 홀더 (25) 의 + X 측의 단부에는, 평면거울로 이루어지는 X 이동거울이 Y 축 방향으로 연장설치되어 있다. 그리고, 이 X 이동거울을 통해 X 축 레이저 간섭계에 의해 상기와 동일하게 하여 X 이동거울의 위치, 즉 웨이퍼 (W) 의 X 위치가 검출된다. 상기 2개의 레이저 간섭계의 검출치 (계측치) 는 스테이지 제어계 (90) 및 이것을 통해 주제어장치 (70) 에 공급되어 있고, 스테이지 제어계 (90) 에서는, 주제어장치 (70) 의 지시에 근거하여, 상기 2개의 레이저 간섭계의 검출치를 모니터하면서 웨이퍼 구동계를 통해 웨이퍼 스테이지 (WST) 의 위치를 제어하게 되어 있다.
이와 같이, 본 실시형태에서는, 레이저간섭계, 즉 레이저광원, 프리즘 등의 광학부재 및 디텍터 등이, 웨이퍼실 (80) 의 외부에 배치되어 있기 때문에, 상기 디텍터 등으로부터 가령 미량의 흡수성 가스가 발생하더라도, 이것이 노광에 대하여 악영향을 미치지 않도록 되어 있다.
또, 상기 서술한 투영 광학계 유닛 (PL) 의 경통에 접속된 급기관로 (50) 의 타단 및 배기관로 (51) 의 타단을 도시하지 않은 헬륨 가스 공급 장치에 각각 접속하고, 헬륨 가스 공급 장치로부터 급기관로 (50) 를 통해 항상 고순도의 헬륨 가스를 투영 광학계 유닛 (PL) 의 경통 내에 공급하고, 그 경통 내부의 가스를 배기관로 (51) 를 통해 헬륨 가스 공급 장치에 되돌려, 이와 같이 하여, 헬륨 가스를 순환 사용하는 구성을 채택해도 된다. 이 경우, 헬륨 가스 공급 장치에는 가스 정제 장치를 내장하는 것이 바람직하다. 이와 같이 하면, 가스 정제 장치의 작용에 의해, 헬륨 가스 공급 장치와 투영 광학계 유닛 (PL) 내부를 포함하는 순환경로에 의해 헬륨 가스를 장시간에 걸쳐 순환사용하더라도, 투영 광학계 유닛 (PL) 내의 헬륨 가스 이외의 흡수성 가스 (산소, 수증기, 유기물 등) 의 농도는 수 ppm 이하의 농도로 유지할 수 있다. 또한, 이 경우, 투영 광학계 유닛 (PL) 내에 압력 센서, 흡수성 가스 농도 센서 등의 센서를 설치하고, 그 센서의 계측치에 따라, 도시하지 않은 제어 장치를 통해 헬륨 가스 공급 장치에 내장된 펌프의 작동, 정지를 적절히 제어하는 것으로 해도 된다.
동일하게 웨이퍼실 (80) 에도 상기와 같은 헬륨 가스의 순환 경로를 채택해도 된다.
다음에, 상기 서술한 바와 같이 하여 구성된 노광 장치 (10) 에 의한 노광동작의 흐름에 관해서 간단히 설명한다.
우선, 주제어장치 (70) 의 관리 하, 도시하지 않은 레티클 로더, 웨이퍼 로더에 의해, 레티클 로딩, 웨이퍼 로딩이 실시되고, 또한, 레티클 얼라인먼트계, 웨이퍼 스테이지 (WST) 상의 기준 마크판, 오프액시스?얼라인먼트 검출계 (모두 도시 생략) 등을 사용하여, 레티클 얼라인먼트, 얼라인먼트 검출계의 베이스 라인 계측 (얼라인먼트 검출계의 검출 중심으로부터 투영 광학계 유닛 (PL) 의 광축거리의 계측) 등의 준비작업이 소정의 순서로 행하여진다.
그 후, 주제어장치 (70) 에 의해, 도시하지 않은 얼라인먼트 검출계를 사용하여 EGA (Enhanced Global Alignment) 등의 얼라인먼트 계측이 실행된다. 이러한 동작에 있어서 웨이퍼 (W) 의 이동이 필요한 경우에는, 주제어장치 (70) 로부터의 지시에 근거하여, 스테이지 제어계 (90) 가 도시하지 않은 웨이퍼 구동계를 통해, 웨이퍼 (W) 를 유지하는 웨이퍼 스테이지 (WST) 를 소정의 방향으로 이동시킨다.
또, 상기 레티클 얼라인먼트, 베이스 라인 계측 등에 관해서는, 예를 들어 일본 공개특허공보 평7-176468호 및 이것에 대응하는 미국특허 제5,646,413호에 상세히 개시되고, 또한, EGA 에 관해서는, 일본 공개특허공보 소61-44429호 및 이것에 대응하는 미국특허 제4,780,617호 등에 상세하게 개시되어 있다. 본 국제출원에서 지정한 지정국 또는 선택한 선택국의 국내법령이 허용하는 한, 상기 각 공보 및 이들에 대응하는 상기 미국특허에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
상기 EGA (얼라인먼트 계측) 의 종료 후, 이하와 같이 하여 스텝?앤드?스캔 방식의 노광동작이 행하여진다.
이 노광동작에 있어서, 우선, 웨이퍼 (W) 의 XY 위치가, 웨이퍼 (W) 상의 최초의 쇼트영역 (퍼스트?쇼트) 의 노광을 위한 주사 개시 위치 (가속 개시 위치) 가 되도록, 웨이퍼 스테이지 (WST) 가 이동된다. 동시에, 레티클 (R) 의 위치가 주사 개시 위치가 되도록, 레티클 스테이지 (RST) 가 이동된다. 그리고, 주제어장치 (70) 로부터의 지시에 의해, 스테이지 제어계 (90) 가 레티클 간섭계 시스템 (69) 에 의해 계측된 레티클 (R) 의 위치정보, 및 웨이퍼측의 Y 축 레이저 간섭계 (57Y) 및 X 축 레이저 간섭계에 의해서 계측된 웨이퍼 (W) 의 위치정보에 근거하여, 레티클 (R ; 레티클 스테이지 (RST)) 과 웨이퍼 (W) (웨이퍼 스테이지 (WST)) 를 동기 이동시킴으로써 주사노광이 행하여진다.
이와 같이 하여, 최초의 쇼트 영역에 대한 레티클 패턴의 전사가 종료되면, 웨이퍼 스테이지 (WST) 가 비주사 방향 (X 축 방향) 으로 1쇼트 영역분만큼 스테핑된 후, 다음 쇼트영역에 대한 주사노광이 행하여진다. 이와 같이 하여, 쇼트간 스테핑동작과 주사노광이 순차 반복되고, 웨이퍼 (W) 상에 복수의 쇼트 영역에 레티클 (R) 의 패턴이 전사된다.
상기의 주사노광에 있어서, 주제어장치 (70) 의 지시에 근거하여, 스테이지 제어계 (90) 에 의해 웨이퍼 스테이지 (WST) 에 대한 레티클 스테이지 (RST) 의 추종제어가 실시되는데, 이 때에 레티클 스테이지 (RST) 의 이동에 따르는 반력이, 상기 틀형상 부재 (18) 의 이동에 의해 캔슬되어 있다. 이하, 이 점에 대해 설명한다.
즉, 상기 추종제어시에, 레티클 스테이지 (RST) 가 X 축 방향으로 구동되면, 상기 서술한 보이스 코일 모터 (30) 의 가동자가 레티클 스테이지 (RST) 와 일체로 X 축 방향으로 구동되고, 이 구동력의 반력이 보이스 코일 모터 (30) 의 고정자 (전기자 유닛 (1401, 1402)) 및 그 고정자가 고정된 틀형상 부재 (18) 에 작용하게 된다. 이 경우, 틀형상 부재 (18) 는, 레티클 스테이지 정반 (16) 및 조명계측 플레이트 (14) 에 대하여 소정의 클리어런스를 통해 비접촉으로 되어 있기 때문에, 상기 반력의 작용에 의해, 틀형상 부재 (18) 는, 운동량 보존의 법칙에 따른 거리만큼 그 반력에 따른 방향으로 이동한다. 이 틀형상 부재 (18) 의 이동에 의해, 상기 반력이 흡수된다. 이 때, 레티클 스테이지 (RST) 의 Y 축 방향의 위치에 따라서는, 상기 X 축 방향으로 구동력의 반력에 기인하는 요잉 모멘트가 틀형상 부재 (18) 에 작용하는 경우가 있다. 이 경우, 틀형상 부재 (18) 는, 그 요잉 모멘트 및 X 축 방향의 반력의 작용에 의해서, 운동량보존의 법칙에 따라 반력을 흡수하도록 θz 회전을 따르는 자유운동을 한다.
한편, 레티클 스테이지 (RST) 가 웨이퍼 스테이지 (WST) 와의 동기를 취하기 위해, Y 축 방향으로 구동될 때에는, Y 축 리니어 모터 (1361, 1362, 1381, 1382) 의 각 가동자가 레티클 스테이지 (RST) 와 일체로 Y 축 방향으로 구동되고, 각 가동자의 구동력의 반력의 합력이 Y 축 리니어 모터 (1361, 1362, 1381, 1382) 의 각 고정자 및 이들이 고정된 틀형상 부재 (18) 에 작용한다. 이 경우도, 상기 반력의 합력의 작용에 의해, 틀형상 부재 (18) 는, 운동량보존의 법칙에 따라서, 상기 반력의 합력을 흡수하는 거리만큼 그 반력의 합력에 따른 방향으로 이동한다.
또한, Y 축 리니어 모터 (1361, 1362) 와, Y 축 리니어 모터 (1381, 1382) 가 발생하는 구동력 (추력) 을 다르게 하여 레티클 스테이지 (RST) 를 θz 회전시키고, 그 때, 요잉 모멘트가 틀형상 부재 (18) 에 작용하는 경우가 있지만, 이러한 경우에도, 틀형상 부재 (18) 는, 그 요잉 모멘트 및 Y 축 방향의 반력의 작용에 의해, 운동량보존의 법칙에 따라 반력을 흡수하도록 θz 회전을 수반하는 자유운동을 한다.
또한, 어느 경우에도, 틀형상 부재 (18) 및 레티클 스테이지 (RST) 를 포함하는 계의 중심이동은 생기지 않기 때문에, 레티클 스테이지 정반 (16) 에는 편하 중은 작용하지 않는다.
따라서, 본 실시형태에서는, 레티클 스테이지 (RST) 의 구동시에, 그 레티클 스테이지 (RST) 의 구동에 따라 생기는 반력 (X 축 방향 및 Y 축 방향의 반력) 및 그 반력에 의해서 생기는 요잉 모멘트를 확실하게 캔슬할 수 있게 되어, 레티클 스테이지 (RST) 의 구동에 따르는 진동을 억제하는 것이 가능해진다. 또한, 상기 서술한 바와 같이 편하중의 발생도 방지할 수 있기 때문에, 이것에 기인하는 레티클 스테이지 정반 (16) 의 자세변화 등도 방지할 수 있다.
또한, 본 실시형태에서는, 상기 반력 캔슬을 위해, 레티클 스테이지 정반 (16) 상방을 틀형상 부재 (18) 가 이동할 때에, 그 기준위치로부터의 어긋남량이 허용치를 초과하지 않도록 (즉, 예를 들어, 틀형상 부재 (18) 의 이동에 의해, 보이스 코일 모터 (30) 의 제어가 불가능해지거나, 혹은 틀형상 부재 (18) 와 레티클 스테이지 정반 (16) 사이의 간극을 통해 외기가 틀형상 부재 (18) 내부의 기밀공간 내에 혼입되는 등의 사태가 발생하지 않도록), 예를 들어 노광에 영향을 주지 않는 적절한 때에, 주제어장치 (70) 가 스테이지 제어계 (90) 를 통해 상기 서술한 3개의 트림 모터를 사용하여 틀형상 부재 (18) 를 소정의 기준위치로 되돌리게 되어 있다.
이상 상세하게 설명한 바와 같이, 본 실시형태에 관련되는 레티클 스테이지 장치 (l2) 에 의하면, 레티클 스테이지 (RST) 가, 레티클 스테이지 정반 (16) 의 상방으로 부상하면서 레티클 (R) 를 유지하여 Y 축 및 이것에 직교하는 X 축을 포함하는 2차원 면내의 3자유도방향으로 레티클 스테이지 정반 (16) 을 따라 이동 가능하고, 틀형상 부재 (18) 는, 레티클 스테이지 정반 (16) 의 상방으로 부상하면서 상기 2차원 면내의 3자유도를 갖고 있다. 또한, 틀형상 부재 (18) 에는, Y 축 리니어 모터 (1361, 1362, 1381, 1382) 의 각 고정자 (리니어 가이드 (1361, 1362, 1381, 1382)), 및 보이스 코일 모터 (30) 의 고정자 (전기자 유닛 (1401, 14O2)) 가 형성되고, Y 축 리니어 모터 (1361, 1362, 1381, 1382) 의 각 가동자 (자극 유닛 (261, 262, 281, 282)), 및 보이스 코일 모터 (30) 의 가동자 (영구자석 (30)) 가, 레티클 스테이지 (RST) 에 형성되어 있다.
따라서, 레티클 스테이지 (RST) 가 Y 축 리니어 모터 (1361, 1362, 1381, 1382) 또는 보이스 코일 모터 (30) 에 의해 Y 축 방향 또는 X 축 방향으로 구동되면, 그 구동력에 따른 반력이 고정자 (리니어 가이드 (1361, 1362, 1381, 1382)) 또는 고정자 (전기자 유닛 (1401, 1402)) 에 생긴다 (작용한다). 이 반력의 작용에 의해 틀형상 부재 (18) 가, 대략 운동량보존칙에 따라 2차원 면내의 3자유도방향으로 이동한다. 즉, 틀형상 부재 (18) 가 카운터 매스의 역할을 한다. 이 경우, 레티클 스테이지 (RST) 의 이동에 의해, 상기 반력이 대략 완전히 캔슬됨과 함께, 레티클 스테이지 (RST) 및 틀형상 부재 (18) 를 포함하는 계의 중심이동이 생기지 않기 때문에, 레티클 스테이지 정반 (16) 에는 편하중도 작용하지 않는다. 따라서, 레티클 (R) 이 탑재되는 레티클 스테이지 (RST) 의 위치 제어성의 향상을 꾀할 수 있다.
또한, 틀형상 부재 (18) 는 레티클 스테이지 (RST) 를 둘러싸는 상태로 형성되어 있기 때문에 필연적으로 대형화하여, 그 질량이 커지고, 틀형상 부재 (18) 와 레티클 스테이지 (RST) 의 큰 질량비를 확보할 수 있기 때문에, 틀형상 부재 (18) 의 이동 스트로크는 비교적 짧아도 충분한다. 또한, 틀형상 부재 (18) 를 대형화하는 경우에도, 그 지장은 거의 없다.
또한, 레티클 스테이지 (RST) 에는, 중립면 (CT) 의 일부에 레티클 (R) 의 탑재면이 형성됨과 함께, 레티클 간섭계 시스템 (69) 으로부터의 측장 빔의 광로의 Z 축 방향의 위치가 중립면 (CT) 의 위치에 일치하고 있기 때문에, 상기 서술한 도 12b 를 사용하여 설명한 종래예와 다르고, 레티클 스테이지 (RST) 의 변형시에 중립면 (CT) 과 측장축과의 어긋남에 기인하여 생기는 위치 계측 오차, 및 측장축과 레티클 (R) 의 패턴면의 위치어긋남에 상이한 일종의 아베 오차를 모두, 대략 0 으로 할 수 있고, 이에 의해 레티클 (R) 의 위치를 고정밀도로 계측하는 것이 가능해진다.
또한, 레티클 스테이지 (RST) 를 구동하는 제 1 구동기구가, 레티클 스테이지 (RST) 의 레티클 (R) 의 탑재 영역의 X 축 방향의 일측과 타측에 중립면 (CT) 을 기준으로 하여 대칭으로 배치된 각 1쌍의 가동자 (자극 유닛 (261, 262, 281, 282)) 와, 당해 각 가동자와 개별로 협동하여 Y 축 방향의 구동력을 각각 발생하는 2쌍의 고정자 (리니어 가이드 (1361, 1362, 1381, 1382) 를 갖고 있다. 즉, X 축 방향의 일측, 타측의 어느 것에서나, 가동자, 레티클 스테이지 본체, 가동자의 적층 구조로 되어 있음과 함께, 그 가동자끼리는, 중립면 (CT) 에 관해서 대칭의 배치로 되어 있다. 이 경우, 레티클 스테이지 (RST) 의 중립면은, 그 중심의 높이 위치 (Z 축 방향의 위치) 에 거의 일치하고 있기 때문에, 좌우 각 1쌍의 가동자와 대응하는 고정자의 협동에 의해서 발생되는 Y 축 방향의 구동력의 합력이, 레티클 스테이지 (RST) 의 중심위치에 작용하게 된다.
또한, 상기 각 쌍의 고정자 (리니어 가이드 (1361, 1362, 1381, 1382)) 는, 상기 중립면 (CT) 을 기준으로 하여 각각 대칭으로 배치되어 있기 때문에, 레티클 스테이지 (RST) 를 레티클 스테이지 정반 (16) 을 따라 Y 축 방향으로 구동할 때에, 리니어 가이드 (1361, 1362, 1381, 1382) 각각의 전기자 코일에 공급되는 전류에 의한 리니어 가이드 (1361, 1362, 1381, 1382) 의 발열에 의해, 레티클 스테이지 (RST) 가 가열되었다고 해도, 그 발열 부분에서는, 중립면 (CT) 의 상측, 하측에 생기는 바이메탈효과에 기인하는 레티클 스테이지 본체 (22) 의 변형끼리가 상쇄되고, 결과적으로 바이메탈 효과에 기인하는 레티클 스테이지 (RST) 의 변형이 생기는 일이 없다.
또, 본 실시형태와 다르게, 예를 들어 상기 각 1쌍의 가동자가 전기자 유닛으로 구성되는 경우에는, 레티클 스테이지 (RST) 를 레티클 스테이지 정반 (16) 을 따라 Y 축 방향으로 구동할 때에, 가동자에 공급되는 전류에 의한 가동자의 발열에 의해 슬라이더가 가열되지만, 이 경우도, 같은 이유에 의해, 바이메탈 효과에 기인하여 레티클 스테이지 (RST) 의 변형이 생기는 일이 없게 되어 있다.
따라서, 레티클 스테이지 (RST) 의 Y 축 방향의 위치를 레티클 스테이지 (RST) 에 형성된 1쌍의 레트로 리플렉터 (321, 322) 를 통해 1쌍의 Y 축 간섭계 (69Y) 에 의해 계측하고, 그 계측결과에 근거하여 레티클 스테이지 (RST) 의 Y 축 방향의 위치를 제어하기 때문에, 그 레티클 스테이지 (RST) 의 Y 축 방향의 위치 제어성을 매우 양호하게 할 수 있다.
또한, 본 실시형태에 관련되는 레티클 스테이지 장치 (12) 에서는, 레티클 간섭계 시스템 (69) 으로부터의 X 축 방향의 측장 빔이 조사되는 반사면이, 레티클 스테이지 (RST) 를 Y 축 방향으로 구동하는 리니어 모터 (1361, 1362) 보다도 외측에 설치되어 있기 때문에, 그 리니어 모터의 발열에 기인하여 그 리니어 모터 주변의 기체에 온도변동이 생기더라도, 그 X 축 방향의 측장 빔에는 어떠한 영향도 일으키지 않는다. 이것에 의해, X 축 간섭계 (69X) 에 의한 레티클 스테이지 (RST) 의 X 축 방향의 위치계측을 고정밀도로 실시하는 것이 가능해진다. 이 경우, 레티클 간섭계 시스템 (69) 으로부터의 Y 축 방향의 측장 빔은, 통상과 동일하게, 레티클 스테이지 (RST) 에 형성된 레트로 리플렉터 (321, 322) 의 반사면 (상기 리니어 모터의 발열의 영향을 거의 받지 않는 장소에 위치하는 반사면) 에 특별히 지장없이 조사할 수 있기 때문에, 레티클 스테이지 (RST) 의 Y 축 방향 및 X 축 방향의 위치를 정밀하게 계측하는 것이 가능해지고, 나아가서는 레티클 스테이지 (RST) 의 위치 제어성의 향상을 꾀하는 것이 가능해진다.
또한, 레티클 간섭계 시스템 (69) 으로부터의 X 축 방향의 측장 빔이 조사되는 반사면 (124m) 은, 레티클 스테이지 (RST) 의 레티클 (R) 이 탑재되는 판형상부 (24A) 와는 별도의 소정 길이의 막대형상의 미러부 (24B) 의 단면에 형성되고, 그 미러부 (24B) 의 반사면 (124m) 이 형성된 막대형상 부분 (124a) 의 길이방향의 양단부를 제외하는 부분에 보강부 (124b) 가 형성되고, 그 보강부 (124b) 의 양단이 탄성 힌지부 (124c, 124d) 를 통해 판형상부 (24A) 에 연결되어 있다. 이 때문에, 반사면의 탄성 힌지부 (124c) 와 탄성 힌지부 (124d) 사이의 부분, 즉, 레티클 스테이지 (RST) 의 위치 제어에 주로 사용되는 부분의 변형을 최대한 억제할 수 있다.
또, 레티클 스테이지 (RST) 의 Y 축 방향의 일측 및 타측의 단부에는 Y 축 방향으로 연장되는 연장설치부 (24C1~24D2) 가 각각 형성되고, 레티클 스테이지 (RST) 의 저면에는, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되고, 레티클 스테이지 정반 (16) 으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 구성이 채용되고 있다. 이 때문에, 레티클 스테이지 (RST) 가 배관을 끈 상태로 구동하는 일이 없고, 레티클 스테이지가 등속운동을 하는 노광중에는, 등속운동을 유지하는 데 필요한 추력을 거의 필요로 하지 않기 때문에, 리니어 모터의 추력 리플 그 밖의 영향을 받지 않게 된다.
또한, 본 실시형태에 관련되는 노광 장치 (10) 에 의하면, 상기 서술한 바와 같이, 레티클 스테이지 (RST) 의 위치 제어성을 매우 양호하게 확보할 수 있기 때 문에, 레티클 스테이지 (RST) 와 웨이퍼 스테이지 (WST) 의 동기 제어 정밀도를 향상시킬 수 있고, 이에 의해, 레티클 (R) 에 형성된 패턴을 웨이퍼 (W) 상에 고정밀도로 전사할 수 있게 된다.
또한, 노광 장치 (10) 에 의하면, 조명 유닛 (IOP) 과 투영 광학계 유닛 (PL) 과의 사이의 조명광 (IL) 의 광로를 포함하는 공간이 저흡수성 가스 (조명광 (IL) 을 흡수하는 특성이 공기에 비하여 작은 특정 가스) 로 퍼지되는 퍼지 공간으로 됨과 함께, 틀형상 부재 (18) 가 상기 퍼지 공간을 외기에 대하여 격리하는 격벽을 겸하고 있기 때문에, 레티클 스테이지 (RST) 주변의 공간을 용이하게 퍼지 공간으로 할 수 있고, 그 퍼지 공간 내에서의 조명광 (IL) 의 흡수를 최대한 억제할 수 있다.
또, 상기 실시형태에서는, 레티클 스테이지 (RST) 를 Y 축 방향으로 구동하는 제 1 구동기구를 좌우 각 1쌍의 Y 축 리니어 모터로 구성하고, 레티클 스테이지 (RST) 를 X 축 방향으로 구동하는 제 2 구동기구를 보이스 코일 모터로 구성하는 것으로 하였지만, 본 발명이 이것에 한정되지 않는 것은 물론이다.
또한, 상기 실시형태에서는, 미러부 (24B) 에는 공동부 (CH) 가 형성되어 있는 경우에 관해서 설명하였지만, 미러부 (24B) 에 중공부를 형성하지 않아도 된다. 또한, 미러부 (24B) 와 판형상부 (24A) 를 일체 성형하는 것으로 하여 설명하였지만, 이것에 한정하지 않고, 이들을 별도 부재로 구성하여, 각 부의 사이를 탄성 힌지부로 연결하는 것으로 해도 된다.
또, 상기 실시형태에서는, 레티클 스테이지 장치 (12) 의 상방에, 조명계측 플레이트 (14) 를 형성하는 것으로 하였지만, 예를 들어 틀형상 부재의 상측 (조명계측) 을 조명광을 투과하는 창부를 남기고 막음으로써, 조명계측 플레이트 (14) 를 형성하지 않더라도 레티클 스테이지 (RST) 근방을 어느 정도 기밀한 공간으로 유지하는 것은 가능하다.
또, 상기 실시형태에서는, 레티클 스테이지 (RST) 를 둘러싸는 틀형상 부재 (18) 가 레티클 스테이지 주변의 공간을 외기에 대하여 격리하는 격벽을 겸하고 있는 경우에 관해서 설명하였지만, 본 발명이 이것에 한정되는 것이 아니라, 레티클 스테이지 (RST) 및 틀형상 부재를 챔버 (레티클 스테이지 챔버) 내에 수납하여, 레티클 스테이지 (RST) 주변의 공간을 저흡수성 가스에 의해 치환하는 것으로 해도 된다. 이 경우, 틀형상 부재에 대해서는 레티클 스테이지 정반과의 사이에 소정 간격을 형성하고, 2차원 면내 (XY 면내) 에서 이동 가능하게 구성하는 것만으로 충분하고, 상기 실시형태와 같이 틀형상 부재의 상면에 기체 정압 베어링 기구를 형성할 필요는 없다.
또, 상기 실시형태에서는, 본 발명에 관련되는 스테이지 장치가 주사형의 VUV 노광 장치의 레티클 스테이지 장치에 적용된 경우에 관해서 설명하였지만, 이것에 한정되지 않고, 본 발명에 관련되는 스테이지 장치는, 투영 광학계를 사용하지 않고 마스크와 기판을 밀착시켜 마스크 패턴을 기판에 전사하는 프록시미티 타입의 얼라이너의 마스크 스테이지 장치나, 액정용의 일괄 전사 방식의 주사형 노광 장치 등의 마스크 스테이지 장치 또는 플레이트 스테이지 장치 등에 적합하게 적용할 수 있다. 이 외에, EBPS 방식의 전자선 노광 장치, 파장 5~30㎚ 정도의 연 X선 영역의 광을 노광광으로 사용하는 이른바 EUVL 등의 노광 장치에도 본 발명에 관련되는 스테이지 장치는 적용할 수 있다.
이 외에, 물체 (시료) 가 탑재되는 이동체를 소정의 제 1 축 방향으로 구동 가능하고 그 제 1 축 방향에 직교하는 제 2 축 방향 및 회전방향으로도 미소 구동이 필요한 장치이면, 노광 장치에 한정하지 않고, 그 밖의 정밀기계 등에도 본 발명에 관련되는 스테이지 장치는 바람직하게 적용할 수 있다.
또한 상기 실시형태에서는, 조명광 (IL) 으로는, ArF 엑시머 레이저광 (파장 193㎚) 또는 F2 레이저광 (파장 157㎚) 등의 진공자외광, KrF 엑시머 레이저광 (파장 248㎚) 등의 원자외광, 초고압 수은 램프로부터의 자외역의 휘선 (g선, i선 등) 을 사용하는 것으로 하였지만, 이것에 한정하지 않고, Ar2 레이저광 (파장 126㎚) 등의 다른 진공자외광을 사용해도 된다. 또, 예를 들어, 진공자외광으로서 상기 각 레이저광에 한정되지 않고, DFB 반도체 레이저 또는 화이버 레이저로부터 발진되는 적외역, 또는 가시역의 단일파장 레이저광을, 예를 들면 에르븀 (Er) (또는 에르븀과 이테르븀 (Yb) 의 양쪽) 이 도핑된 화이버 증폭기로 증폭하여, 비선형 광학 결정을 사용하여 자외광으로 파장 변환한 고조파를 사용해도 된다. 또한, 조명광 (IL) 으로서 자외광 등이 아니라, X 선 (EUV 광을 포함한다) 또는 전자선이나 이온 빔 등의 하전입자선 등을 사용해도 된다.
또한, 상기 실시형태에서는, 투영 광학계 유닛 (PL) 으로서 축소계를 사용하는 경우에 관해서 설명하였지만, 투영 광학계는 등배계 및 확대계의 어느 것이어도 된다. 또한, 투영 광학계로는 조명광 (IL) 으로서, 예를 들어 Ar2 레이저광 등의 진공자외광을 사용하는 경우 등에는, 예를 들어 일본 공개특허공보 평3-282527호 및 이것에 대응하는 미국특허 제5,220,454호, 일본 공개특허공보 평8-171054호 및 이것에 대응하는 미국특허 제5,668,672호, 및 일본 공개특허공보 평10-20195호 및 이것에 대응하는 미국특허 제5,835,275호 등에 개시되는, 굴절광학소자와 반사광학소자 (오목면경이나 빔 스플리터 등) 를 조합한 이른바 카타디옵트릭계 (반사굴절계), 또는 반사광학소자만으로 이루어지는 반사광학계가 주로 사용된다. 본 국제출원에서 지정한 지정국 또는 선택한 선택국의 국내법령이 허용하는 한, 상기 각 미국특허에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
또, 상기 실시형태에서는, 본 발명이 반도체 제조용의 노광 장치에 적용된 경우에 대해 설명하였지만, 이것에 한정하지 않고, 예를 들어, 사각형의 유리 플레이트에 액정표시소자 패턴을 전사하는 액정용의 노광 장치나, 박막 자기 헤드, 촬상소자, 유기 EL, 마이크로 머신, DNA 칩 등을 제조하기 위한 노광 장치 등에도 본 발명은 널리 적용할 수 있다.
또한, 반도체 소자 등의 마이크로 디바이스 뿐만 아니라, 광노광 장치, EUV 노광 장치, X선 노광 장치, 및 전자선 노광 장치 등에서 사용되는 레티클 또는 마스크를 제조하기 위해, 유리기판 또는 규소 웨이퍼 등에 회로패턴을 전사하는 노광장치에도 본 발명을 적용할 수 있다. 여기서, DUV (원자외) 광이나 VUV (진공 자외) 광 등을 사용하는 노광 장치에서는 일반적으로 투과형 레티클이 사용되고, 레티클 기판으로는 석영유리, 불소가 도핑된 석영유리, 형석, 불화마그네슘, 또는 수정 등이 사용된다.
또, 예를 들어 국제공개 WO99/49504호 등에 개시되는 투영 광학계 유닛 (PL) 과 웨이퍼 사이에 액체가 채워지는 액침 노광장치에 본 발명을 적용해도 된다.
<<디바이스 제조방법>>
다음으로 상기 서술한 노광 장치를 리소그래피 공정에서 사용한 디바이스의 제조방법의 실시형태에 대하여 설명한다.
도 10 에는, 디바이스 (IC 나 LSI 등의 반도체칩, 액정패널, CCD, 박막 자기 헤드, 마이크로 머신 등) 의 제조예의 플로우차트가 나타나 있다. 도 10 에 나타나는 바와 같이, 우선, 단계 201 (설계 단계) 에 있어서, 디바이스의 기능?성능 설계 (예를 들어, 반도체 디바이스의 회로 설계 등) 를 하여, 그 기능을 실현하기 위한 패턴을 설계한다. 계속해서, 단계 202 (마스크 제작 단계) 에 있어서, 설계한 회로 패턴을 형성한 마스크를 제작한다. 한편, 단계 203 (웨이퍼 제조 단계) 에 있어서, 규소 등의 재료를 사용하여 웨이퍼를 제조한다.
다음에, 단계 204 (웨이퍼 처리 단계) 에 있어서, 단계 201~단계 203 에서 준비한 마스크와 웨이퍼를 사용하여, 후술하는 바와 같이 리소그래피 기술 등에 의해서 웨이퍼 상에 실제의 회로 등을 형성한다. 이어서, 단계 205 (디바이스 조립 단계) 에 있어서, 단계 204 에서 처리된 웨이퍼를 사용하여 디바이스를 조립한다. 이 단계 205 에는 다이싱 공정, 본딩 공정 및 패키징 공정 (칩 봉입) 등의 공정이 필요에 따라 포함된다.
마지막에 단계 206 (검사 단계) 에 있어서, 단계 205 에서 제작된 디바이스의 동작 확인 테스트, 내구성 테스트 등을 검사한다. 이러한 공정을 거친 후에 디바이스가 완성되어 이것이 출하된다.
도 11 에는 반도체 디바이스의 경우에 있어서의, 상기 단계 204 의 상세한 플로우예가 나타나 있다. 도 11 에 있어서, 단계 211 (산화 단계) 에 있어서는 웨이퍼의 표면을 산화시킨다. 단계 212 (CVD 단계) 에 있어서는 웨이퍼 표면에 절연막을 형성한다. 단계 213 (전극 형성 단계) 에 있어서는 웨이퍼 상에 전극을 증착에 의해 형성한다. 단계 214 (이온 주입 단계) 에 있어서는 웨이퍼에 이온을 주입한다. 이상의 단계 211~단계 214 각각은, 웨이퍼 처리의 각 단계의 전처리 공정을 구성하고 있고, 각 단계에서 필요한 처리에 따라 선택되어 실행된다.
웨이퍼 프로세스의 각 단계에서, 상기 서술한 전처리 공정이 종료하면, 이하와 같이 하여 후처리 공정이 실행된다. 이 후처리 공정에서는, 우선, 단계 215 (레지스트 형성 단계) 에 있어서, 웨이퍼에 감광제를 도포한다. 계속해서, 단계 216 (노광 단계) 에 있어서, 상기 실시형태의 노광 장치 (10) 그 외의 본 발명의 노광 장치에 의해서 마스크의 회로패턴을 웨이퍼에 전사한다. 다음에, 단계 217 (현상 단계) 에 있어서는 노광된 웨이퍼를 현상하여, 단계 218 (에칭 단계) 에 있어서, 레지스트가 잔존되어 있는 부분 이외의 부분의 노출 부재를 에칭에 의해 제거한다. 그리고, 단계 219 (레지스트 제거 단계) 에 있어서, 에칭이 끝나 불 필요하게 된 레지스트를 제거한다.
이들 전처리 공정과 후처리 공정을 반복하여 실행함으로써, 웨이퍼 상에 다중으로 회로패턴이 형성된다.
이상 설명한 본 실시형태의 디바이스 제조방법을 이용하면, 노광 공정 (단계 216) 에 있어서 상기 실시형태의 노광 장치 (10) 등의 본 발명의 노광 장치가 사용되기 때문에, 레티클의 패턴을 웨이퍼 상에 양호한 정밀도로 전사할 수 있어, 결과적으로, 고집적도의 디바이스의 생산성 (수율을 포함한다) 를 향상시킬 수 있다.
산업상이용가능성
이상 설명한 바와 같이 본 발명의 스테이지 장치는, 물체를 탑재하여 이동하는 데에 적합하다. 또한, 본 발명의 노광 장치는, 마스크에 형성된 패턴을 감광 물체에 전사하는 데에 적합하다. 또한, 본 발명의 디바이스 제조 방법은 마이크로 디바이스의 생산에 적합하다.

Claims (42)

  1. 정반 (定盤):
    상기 정반의 상방으로 부상하면서 물체를 유지하여 제 1 축 및 이것에 직교하는 제 2 축을 포함하는 2차원 면내의 3자유도 방향으로 상기 정반을 따라 이동 가능한 슬라이더;
    상기 정반의 상방으로 부상하면서 상기 2차원 면내의 3자유도를 적어도 갖고, 상기 슬라이더를 둘러싸는 틀형상 부재;
    상기 틀형상 부재에 설치된 제 1 고정자와, 상기 제 1 고정자와 협동하여 상기 슬라이더를 상기 제 1 축 방향으로 구동하는 구동력을 발생하는 제 1 가동자를 포함하는 제 1 구동기구; 및
    상기 틀형상 부재에 설치된 제 2 고정자와, 상기 제 2 고정자와 협동하여 상기 슬라이더를 상기 제 2 축 방향으로 구동하는 구동력을 발생하는 제 2 가동자를 포함하는 제 2 구동기구를 구비하는, 스테이지 장치.
  2. 제 1 항에 있어서,
    상기 제 1 구동기구는, 적어도 2개의 리니어 모터를 포함하고,
    상기 제 2 구동기구는, 적어도 1개의 보이스 코일 모터를 포함하는 것을 특징으로 하는 스테이지 장치.
  3. 제 1 항에 있어서,
    상기 슬라이더의 위치를 계측하는 간섭계 시스템을 더 구비하고,
    상기 슬라이더에는, 중립면의 일부에 상기 물체의 탑재면이 형성됨과 함께, 상기 간섭계 시스템으로부터의 측장 빔의 광로의 상기 2차원면에 직교하는 제 3 축 방향의 위치가 상기 중립면의 위치에 일치하고 있는 것을 특징으로 하는 스테이지 장치.
  4. 제 1 항에 있어서,
    상기 슬라이더의 제 1 축 방향의 일측 및 타측의 단부에는 제 1 축 방향으로 연장되는 연장설치부가 각각 설치되고, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되고,
    상기 정반으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 것을 특징으로 하는 스테이지 장치.
  5. 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서,
    상기 마스크를 조명광에 의해 조명하는 조명 유닛;
    상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 제 1 항에 기재된 스테이지 장치; 및
    상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는, 노광 장치.
  6. 제 5 항에 있어서,
    상기 조명 유닛과 상기 투영 광학계 유닛 사이의 상기 조명광의 광로를 포함하는 공간이 상기 조명광을 흡수하는 특성이 공기에 비하여 작은 특정 가스로 퍼지되는 퍼지 공간으로 됨과 함께, 상기 틀형상 부재가 상기 퍼지 공간을 외기 (外氣) 에 대하여 격리하는 격벽을 겸하고 있는 것을 특징으로 하는 노광 장치.
  7. 제 6 항에 있어서,
    상기 틀형상 부재의 상기 조명 유닛과는 반대측의 상기 정반에 대향하는 측의 면에, 상기 특정 가스를 가압 기체로서 사용하는 제 1 기체 정압 베어링이, 전체 둘레에 걸쳐 형성되어 있는 것을 특징으로 하는 노광 장치.
  8. 제 7 항에 있어서,
    상기 틀형상 부재의 상기 조명 유닛측의 면에 상기 특정 가스를 가압 기체로 사용하는 제 2 기체 정압 베어링이, 전체 둘레에 걸쳐 형성되고,
    상기 틀형상 부재의 조명 유닛측의 면의 전체면에 대향하고 또한 소정의 클리어런스를 통해 배치되어, 상기 제 2 기체 정압 베어링으로부터의 가압 기체가 분사되는 플레이트를 더 구비하는, 노광 장치.
  9. 제 6 항에 있어서,
    상기 틀형상 부재의 상기 조명 유닛과는 반대측의 상기 정반에 대향하는 측의 면에, 진공흡인과 가압 기체의 분출을 동시에 실시하는 차동 배기형의 제 1 기체 정압 베어링이, 전체 둘레에 걸쳐 형성되어 있는 것을 특징으로 하는 노광 장치.
  10. 제 9 항에 있어서,
    상기 틀형상 부재의 상기 조명 유닛 측의 면에, 진공흡인과 가압 기체의 분출을 동시에 실시하는 차동 배기형의 제 2 기체 정압 베어링이, 전체 둘레에 걸쳐 형성되고,
    상기 틀형상 부재의 조명 유닛 측의 면의 전체면에 대향하고 또한 소정의 클리어런스를 통해 배치되어, 상기 제 2 기체 정압 베어링으로부터의 가압 기체가 분사되는 플레이트를 더 구비하는, 노광 장치.
  11. 제 6 항에 있어서,
    상기 슬라이더의 위치를 계측하는 간섭계 시스템을 더 구비하고,
    상기 간섭계 시스템으로부터 상기 퍼지 공간내의 상기 슬라이더로 향하는 측장 (測長) 빔의 광로 상에 위치하는 상기 틀형상 부재의 측면부분에 개구부를 형성함과 함께, 상기 개구부를 폐색 (閉塞) 하는 커버유리를 설치한 것을 특징으로 하는 노광 장치.
  12. 삭제
  13. 삭제
  14. 정반;
    상기 정반의 상방으로 부상하면서 물체를 유지하여 상기 정반을 따라 이동 가능한 슬라이더; 및
    상기 슬라이더의 상기 물체가 탑재되는 영역의 제 1 축 방향에 직교하는 제 2 축 방향의 일측과 타측에 상기 슬라이더의 중립면을 기준으로 하여 각각 대칭으로 배치된 각 1쌍의 가동자와, 당해 각 쌍의 가동자와 개별로 협동하여 상기 제 1 축 방향의 구동력을 각각 발생하는 1쌍의 고정자부를 갖는 구동기구를 구비하며,
    상기 슬라이더의 제 1 축 방향의 일측 및 타측의 단부에는 제 1 축 방향으로 연장되는 연장설치부가 각각 형성되고, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되고,
    상기 정반으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 것을 특징으로 하는 스테이지 장치.
  15. 삭제
  16. 삭제
  17. 정반;
    상기 정반의 상방으로 부상하면서 물체를 유지하여 제 1 축 및 이것에 직교하는 제 2 축을 포함하는 2차원 면내를 상기 정반을 따라 이동 가능한 슬라이더;
    상기 슬라이더를 상기 제 1 축 방향으로 구동하는 리니어 모터를 포함하는 구동기구; 및
    상기 슬라이더에 형성된 반사면에 측장 빔을 조사하여 그 반사광에 따라서 상기 제 1 축 방향 및 제 2 축 방향의 위치를 계측하는 간섭계 시스템을 구비하고,
    상기 간섭계 시스템으로부터의 상기 제 2 축 방향의 측장 빔이 조사되는 반사면이, 상기 리니어 모터보다 외측에 위치하는 상기 슬라이더의 일부에 형성되어 있으며,
    상기 반사면은, 상기 슬라이더의 상기 물체가 탑재되는 제 1 부분과는 별도의 소정 길이의 막대형상의 제 2 부분의 단면에 형성되고, 상기 제 2 부분에는 그 길이방향의 양단부를 제외하는 부분에 보강부가 형성되고, 상기 보강부의 양단이 탄성 힌지부를 각각 통해 상기 제 1 부분에 연결되어 있는 것을 특징으로 하는 스테이지 장치.
  18. 제 17 항에 있어서,
    상기 제 1 부분에는, 상기 보강부의 양단의 탄성 힌지부 중 어느 하나로부터 상기 제 1 부분과 반대측에 소정 거리만큼 떨어진 위치에, 별도의 탄성 힌지부가 추가로 형성되어 있는 것을 특징으로 하는 스테이지 장치.
  19. 정반;
    상기 정반의 상방으로 부상하면서 물체를 유지하여 제 1 축 및 이것에 직교하는 제 2 축을 포함하는 2차원 면내를 상기 정반을 따라 이동 가능한 슬라이더;
    상기 슬라이더를 상기 제 1 축 방향으로 구동하는 리니어 모터를 포함하는 구동기구; 및
    상기 슬라이더에 형성된 반사면에 측장 빔을 조사하여 그 반사광에 따라서 상기 제 1 축 방향 및 제 2 축 방향의 위치를 계측하는 간섭계 시스템을 구비하고,
    상기 간섭계 시스템으로부터의 상기 제 2 축 방향의 측장 빔이 조사되는 반사면이, 상기 리니어 모터보다 외측에 위치하는 상기 슬라이더의 일부에 형성되어 있으며,
    상기 슬라이더의 제 1 축 방향의 일측 및 타측의 단부에는 제 1 축 방향으로 연장되는 연장설치부가 각각 형성되고, 상기 일측의 연장설치부로부터 타측의 연장설치부에 이르는 길이방향의 전역에 걸친 기체 정압 베어링이 형성되고,
    상기 정반으로부터 배관을 통하지 않고 상기 기체 정압 베어링에 대하여 가압 기체가 공급되는 것을 특징으로 하는 스테이지 장치.
  20. 삭제
  21. 탑재면에 물체를 탑재하여 이동 가능한 슬라이더;
    상기 슬라이더의 상기 탑재면에 대하여 대칭으로 배치된 1쌍의 제 1 가동자;
    상기 1쌍의 제 1 가동자와는 달리, 상기 슬라이더의 상기 탑재면에 대하여 대칭으로 배치된 1쌍의 제 2 가동자; 및
    상기 1쌍의 제 1 가동자 및 상기 1쌍의 제 2 가동자와 협동하여, 상기 슬라이더를 제 1 축 방향으로 구동하는 1쌍의 고정자부를 구비하는, 스테이지 장치.
  22. 제 21 항에 있어서,
    상기 탑재면은 상기 슬라이더의 중립면과 일치하고 있는 것을 특징으로 하는 스테이지 장치.
  23. 제 21 항에 있어서,
    상기 1쌍의 고정자부의 각각은, 상기 탑재면에 대하여 대칭으로 배치되어 있 는 것을 특징으로 하는 스테이지 장치.
  24. 제 21 항에 있어서,
    상기 슬라이더에 접속된 제 1 부분과, 상기 제 1 부분과 협동하는 제 2 부분을 갖고, 상기 슬라이더를 상기 제 1 축과는 다른 제 2 축으로 구동하는 구동장치를 더 구비하는, 스테이지 장치.
  25. 제 24 항에 있어서,
    상기 1쌍의 고정자부와 상기 구동장치의 상기 제 2 부분을 유지하는 틀형상 부재를 더 구비하는, 스테이지 장치.
  26. 제 25 항에 있어서,
    상기 틀형상 부재는, 상기 슬라이더를 구동하였을 때에 발생하는 반력에 의해 구동되는 것을 특징으로 하는 스테이지 장치.
  27. 제 26 항에 있어서,
    상기 슬라이더는 정반 상에 형성되어 있는 것을 특징으로 하는 스테이지 장치.
  28. 제 27 항에 있어서,
    상기 틀형상 부재는 상기 정반 상에 형성되어 있는 것을 특징으로 하는 스테이지 장치.
  29. 제 24 항에 있어서,
    상기 슬라이더는 반사면을 갖고,
    상기 반사면에 측장 빔을 조사하여 상기 슬라이더의 상기 제 2 축 방향의 위치를 검출하는 위치 검출 장치를 더 구비하는, 스테이지 장치.
  30. 제 29 항에 있어서,
    상기 1쌍의 고정자부의 각각은, 상기 측장 빔을 포위하지 않는 위치에 형성되어 있는 것을 특징으로 하는 스테이지 장치.
  31. 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서,
    상기 마스크를 조명광에 의해 조명하는 조명 유닛;
    상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 제 21 항에 기재된 스테이지 장치; 및
    상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는, 노광 장치.
  32. 물체를 유지하여 이동 가능한 슬라이더;
    상기 슬라이더에 접속된 이동자와, 상기 이동자와 협동하는 고정자를 갖고, 상기 슬라이더를 제 1 축 방향을 따라 구동하는 구동장치;
    상기 고정자를 유지하는 유지부와 중량부를 갖고, 상기 슬라이더를 구동하였을 때에 발생하는 반력에 의해 구동되는 카운터 매스;
    상기 중량부와 상기 고정자 사이에 위치하도록 상기 슬라이더에 형성된 반사부재; 및
    상기 반사부재에 측장 빔을 조사하여 상기 슬라이더의 위치를 검출하는 위치 검출 장치를 구비하는, 스테이지 장치.
  33. 제 32 항에 있어서,
    상기 슬라이더는, 상기 슬라이더의 중립면에 상기 물체를 탑재하는 탑재부를 갖고 있는 것을 특징으로 하는 스테이지 장치.
  34. 제 32 항에 있어서,
    상기 슬라이더는 정반 상에 형성되어 있는 것을 특징으로 하는, 스테이지 장치.
  35. 제 34 항에 있어서,
    상기 카운터 매스는 상기 정반 상에 형성되어 있는 것을 특징으로 하는 스테 이지 장치.
  36. 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서,
    상기 마스크를 조명광에 의해 조명하는 조명 유닛;
    상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 제 32 항에 기재된 스테이지 장치; 및
    상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는, 노광 장치.
  37. 반사부재가 형성되고, 물체를 유지하여 이동 가능한 슬라이더;
    상기 슬라이더에 접속된 이동자와, 상기 이동자와 협동하는 고정자를 갖고, 상기 슬라이더를 제 1 축 방향을 따라 구동하는 구동장치;
    투명부를 갖고, 상기 고정자를 유지하여 상기 슬라이더를 구동하였을 때에 발생하는 반력에 의해 구동되는 카운터 매스; 및
    상기 투명부를 통해 상기 반사부재에 측장 빔을 조사하여 상기 슬라이더의 위치를 검출하는 위치 검출 장치를 구비하는, 스테이지 장치.
  38. 제 37 항에 있어서,
    상기 슬라이더는, 상기 슬라이더의 중립면에 상기 물체를 탑재하는 탑재부를 갖고 있는 것을 특징으로 하는 스테이지 장치.
  39. 제 37 항에 있어서,
    상기 슬라이더는 정반 상에 형성되어 있는 것을 특징으로 하는 스테이지 장치.
  40. 제 39 항에 있어서,
    상기 카운터 매스는 상기 정반 상에 형성되어 있는 것을 특징으로 하는 스테이지 장치.
  41. 마스크와 감광 물체를 소정 방향으로 동기 이동하여 상기 마스크에 형성된 패턴을 상기 감광 물체에 전사하는 노광 장치로서,
    상기 마스크를 조명광에 의해 조명하는 조명 유닛;
    상기 마스크가 상기 물체로서 상기 슬라이더 상에 탑재되는 제 37 항에 기재된 스테이지 장치; 및
    상기 마스크로부터 사출되는 상기 조명광을 상기 감광 물체 상에 투사하는 투영 광학계 유닛을 구비하는, 노광 장치.
  42. 리소그래피 공정을 포함하는 디바이스 제조방법으로서,
    상기 리소그래피 공정에서, 제 5 항 내지 제 11 항, 제 31 항, 제 36 항, 제 41 항 중 어느 한 항에 기재된 노광 장치를 사용하여 노광하는 것을 특징으로 하는 디바이스 제조방법.
KR1020057011599A 2003-02-17 2004-01-26 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법 KR101134958B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003037929 2003-02-17
JPJP-P-2003-00037929 2003-02-17
PCT/JP2004/000655 WO2004073053A1 (ja) 2003-02-17 2004-01-26 ステージ装置及び露光装置、並びにデバイス製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117031715A Division KR101313509B1 (ko) 2003-02-17 2004-01-26 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법

Publications (2)

Publication Number Publication Date
KR20050098842A KR20050098842A (ko) 2005-10-12
KR101134958B1 true KR101134958B1 (ko) 2012-04-09

Family

ID=32866377

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020057011599A KR101134958B1 (ko) 2003-02-17 2004-01-26 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법
KR1020117031715A KR101313509B1 (ko) 2003-02-17 2004-01-26 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117031715A KR101313509B1 (ko) 2003-02-17 2004-01-26 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법

Country Status (8)

Country Link
US (3) US7489389B2 (ko)
EP (4) EP1596423B1 (ko)
JP (3) JP4356114B2 (ko)
KR (2) KR101134958B1 (ko)
CN (3) CN101216673B (ko)
HK (2) HK1221553A1 (ko)
TW (1) TWI338323B (ko)
WO (1) WO2004073053A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101862311B1 (ko) * 2013-10-22 2018-05-29 에이피시스템 주식회사 기판 처리 장치

Families Citing this family (411)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI338323B (en) * 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US7375800B2 (en) * 2004-09-09 2008-05-20 Nikon Corporation Non-contact pneumatic transfer for stages with small motion
EP1806828A4 (en) * 2004-10-01 2016-11-09 Nikon Corp LINEAR MOTOR, FLOOR APPARATUS AND EXPOSURE APPARATUS
US7417714B2 (en) * 2004-11-02 2008-08-26 Nikon Corporation Stage assembly with measurement system initialization, vibration compensation, low transmissibility, and lightweight fine stage
US7869000B2 (en) * 2004-11-02 2011-01-11 Nikon Corporation Stage assembly with lightweight fine stage and low transmissibility
WO2006052855A2 (en) * 2004-11-04 2006-05-18 Nikon Corporation Fine stage z support apparatus
JP2006211873A (ja) * 2005-01-31 2006-08-10 Canon Inc 移動体制御装置及び移動体制御方法
WO2006090517A1 (ja) * 2005-02-23 2006-08-31 Kyocera Corporation 移動体の速度検出装置及びこれを用いた駆動ステージ
JP4946109B2 (ja) 2005-03-18 2012-06-06 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
TWI510869B (zh) * 2005-03-29 2015-12-01 尼康股份有限公司 曝光裝置、曝光裝置的製造方法以及元件的製造方法
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
JP5040657B2 (ja) * 2005-10-24 2012-10-03 株式会社ニコン 露光装置、露光方法、デバイスの製造方法、デバイス組立方法
US7643130B2 (en) 2005-11-04 2010-01-05 Nuflare Technology, Inc. Position measuring apparatus and positional deviation measuring method
US7554107B2 (en) * 2005-11-04 2009-06-30 Nuflare Technology, Inc. Writing method and writing apparatus of charged particle beam, positional deviation measuring method, and position measuring apparatus
US20070267995A1 (en) * 2006-05-18 2007-11-22 Nikon Corporation Six Degree-of-Freedom Stage Apparatus
US7502103B2 (en) 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7804582B2 (en) * 2006-07-28 2010-09-28 Asml Netherlands B.V. Lithographic apparatus, method of calibrating a lithographic apparatus and device manufacturing method
US20080073596A1 (en) * 2006-08-24 2008-03-27 Asml Netherlands B.V. Lithographic apparatus and method
EP2068349A4 (en) * 2006-09-29 2011-03-30 Nikon Corp STAGE EQUIPMENT AND EXPOSURE DEVICE
US7603785B2 (en) * 2007-02-20 2009-10-20 Electro Scientific Industries, Inc. Air bearing assembly for guiding motion of optical components of a laser processing system
US7889322B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Specimen inspection stage implemented with processing stage coupling mechanism
US7886449B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Flexure guide bearing for short stroke stage
US8749753B2 (en) * 2007-04-27 2014-06-10 Nikon Corporation Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method
US20080285004A1 (en) * 2007-05-18 2008-11-20 Nikon Corporation Monolithic, Non-Contact Six Degree-of-Freedom Stage Apparatus
TW200907597A (en) * 2007-06-04 2009-02-16 Nikon Corp Environmental control apparatus, stage apparatus, exposure apparatus and device manufacturing method
KR20100096097A (ko) * 2007-10-19 2010-09-01 코닌클리케 필립스 일렉트로닉스 엔.브이. 정밀 위치 측정의 변위 디바이스
US8964166B2 (en) * 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
US8063630B2 (en) * 2008-03-14 2011-11-22 Tdk Corporation Testing method for thin-film magnetic head and jig used therefor
US8358401B2 (en) * 2008-04-11 2013-01-22 Nikon Corporation Stage apparatus, exposure apparatus and device manufacturing method
JP5146183B2 (ja) * 2008-07-31 2013-02-20 株式会社ニコン 露光方法、デバイスの製造方法及び露光装置
US9304385B2 (en) * 2008-09-16 2016-04-05 Nikon Corporation Exposure method and device manufacturing method including selective deformation of a mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5375057B2 (ja) * 2008-12-05 2013-12-25 株式会社ニコン ステージ装置、露光装置及びデバイス製造方法
US8659746B2 (en) * 2009-03-04 2014-02-25 Nikon Corporation Movable body apparatus, exposure apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TWI623819B (zh) * 2009-05-15 2018-05-11 Nikon Corp 移動體裝置、動力傳達裝置、及曝光裝置、以及元件製造方法
WO2011016255A1 (ja) * 2009-08-07 2011-02-10 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
JP5667568B2 (ja) * 2009-08-07 2015-02-12 株式会社ニコン 移動体装置、露光装置、及びデバイス製造方法
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN102375345B (zh) * 2010-08-18 2013-09-11 上海微电子装备有限公司 可动光学元件调节驱动装置
US20120064460A1 (en) * 2010-09-07 2012-03-15 Nikon Corporation Movable body apparatus, object processing device, exposure apparatus, flat-panel display manufacturing method, and device manufacturing method
NL2007279A (en) * 2010-09-28 2012-03-29 Asml Netherlands Bv Method for calibrating a target surface of a position measurement system, position measurement system, and lithographic apparatus.
WO2012115002A1 (ja) 2011-02-22 2012-08-30 株式会社ニコン 保持装置、露光装置、及びデバイスの製造方法
CN102789136B (zh) * 2011-05-19 2014-08-20 上海微电子装备有限公司 气浮支撑系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5689047B2 (ja) * 2011-10-12 2015-03-25 東京エレクトロン株式会社 基体処理システム用の基体搬送装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
USD702245S1 (en) * 2012-01-11 2014-04-08 Victor Susman Scanning frame
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9651849B2 (en) * 2012-03-16 2017-05-16 Toptica Photonics Ag Low outgassing resonator
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8772731B2 (en) * 2012-04-15 2014-07-08 Kla-Tencor Corporation Apparatus and method for synchronizing sample stage motion with a time delay integration charge-couple device in a semiconductor inspection tool
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9785060B2 (en) 2012-09-18 2017-10-10 Asml Netherlands B.V. Stage system and lithographic apparatus comprising such stage system
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9331624B2 (en) * 2013-02-25 2016-05-03 National Taiwan University Thrust ripple mapping system in a precision stage and method thereof
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI486569B (zh) * 2013-06-10 2015-06-01 Pegatron Corp 氣密測試設備及氣密測試方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6286024B2 (ja) * 2014-03-07 2018-02-28 富士フイルム株式会社 トランジスタの製造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10209635B2 (en) * 2014-12-31 2019-02-19 Asml Holding N.V. Lithographic apparatus with a patterning device environment
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
US11048178B2 (en) 2017-12-14 2021-06-29 Asml Netherlands B.V. Lithographic apparatus with improved patterning performance
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111381452B (zh) * 2018-12-29 2021-11-02 上海微电子装备(集团)股份有限公司 一种掩模板冷却装置及光刻设备
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN213304093U (zh) * 2021-03-19 2021-05-28 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏系统
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023104051A (ja) * 2022-01-17 2023-07-28 株式会社日立ハイテク ステージ装置、荷電粒子線装置及び真空装置
WO2024128069A1 (ja) * 2022-12-16 2024-06-20 株式会社ニコン 物体保持装置、露光装置、物体移動方法、及び物体保持システム
TWI836925B (zh) * 2023-03-03 2024-03-21 家碩科技股份有限公司 光罩盒次元件的檢測裝置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11189332A (ja) * 1997-12-26 1999-07-13 Canon Inc ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法
JP2001228275A (ja) * 1999-12-23 2001-08-24 Nikon Corp 露光装置用ステージ組立体

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780617A (en) 1984-08-09 1988-10-25 Nippon Kogaku K.K. Method for successive alignment of chip patterns on a substrate
JPS6144429A (ja) 1984-08-09 1986-03-04 Nippon Kogaku Kk <Nikon> 位置合わせ方法、及び位置合せ装置
JP2847883B2 (ja) 1990-03-30 1999-01-20 株式会社ニコン 反射屈折縮小投影光学系
US5220454A (en) 1990-03-30 1993-06-15 Nikon Corporation Cata-dioptric reduction projection optical system
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
JP3265503B2 (ja) 1993-06-11 2002-03-11 株式会社ニコン 露光方法及び装置
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US6246204B1 (en) * 1994-06-27 2001-06-12 Nikon Corporation Electromagnetic alignment and scanning apparatus
JPH08171054A (ja) 1994-12-16 1996-07-02 Nikon Corp 反射屈折光学系
JPH1020195A (ja) 1996-06-28 1998-01-23 Nikon Corp 反射屈折光学系
JP3155936B2 (ja) * 1997-06-26 2001-04-16 キヤノン株式会社 リニアモータとステージ装置及びこれを用いた走査型露光装置やデバイス製造方法
JP3548411B2 (ja) * 1997-12-26 2004-07-28 キヤノン株式会社 ステージ装置、およびこれを用いた露光装置、ならびにデバイス製造方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
KR20010043861A (ko) * 1998-06-17 2001-05-25 오노 시게오 노광방법 및 장치
JP3129293B2 (ja) * 1998-08-13 2001-01-29 日本電気株式会社 液晶表示装置
JP2000077503A (ja) * 1998-08-28 2000-03-14 Nikon Corp ステージ装置及び露光装置
TWI264617B (en) * 1999-12-21 2006-10-21 Asml Netherlands Bv Balanced positioning system for use in lithographic apparatus
JP4945845B2 (ja) * 2000-03-31 2012-06-06 株式会社ニコン 光学素子保持装置、鏡筒及び露光装置並びにマイクロデバイスの製造方法。
JP2002110523A (ja) * 2000-09-29 2002-04-12 Sumitomo Heavy Ind Ltd 露光装置
US20020075467A1 (en) * 2000-12-20 2002-06-20 Nikon Corporation Exposure apparatus and method
JP2002175963A (ja) * 2000-12-05 2002-06-21 Nikon Corp ステージ装置とその位置制御方法および露光装置並びに露光方法
JP2002217082A (ja) * 2001-01-12 2002-08-02 Nikon Corp ステージ装置及び露光装置
JP2003309055A (ja) * 2002-04-12 2003-10-31 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
TWI338323B (en) * 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11189332A (ja) * 1997-12-26 1999-07-13 Canon Inc ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法
JP2001228275A (ja) * 1999-12-23 2001-08-24 Nikon Corp 露光装置用ステージ組立体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101862311B1 (ko) * 2013-10-22 2018-05-29 에이피시스템 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
US20050248744A1 (en) 2005-11-10
KR101313509B1 (ko) 2013-10-01
US7489389B2 (en) 2009-02-10
JP4915431B2 (ja) 2012-04-11
EP3038138A1 (en) 2016-06-29
EP2560192B1 (en) 2018-03-21
JP4356114B2 (ja) 2009-11-04
EP1596423A1 (en) 2005-11-16
EP3038138B1 (en) 2018-07-18
CN101216673B (zh) 2011-05-18
US10120288B2 (en) 2018-11-06
CN102103331B (zh) 2013-02-13
EP2560192A3 (en) 2013-05-22
US9152060B2 (en) 2015-10-06
JP2009158973A (ja) 2009-07-16
KR20120007083A (ko) 2012-01-19
EP1596423B1 (en) 2015-08-12
EP1596423A4 (en) 2009-04-08
CN101216673A (zh) 2008-07-09
WO2004073053A1 (ja) 2004-08-26
JP2009158974A (ja) 2009-07-16
KR20050098842A (ko) 2005-10-12
US20160048084A1 (en) 2016-02-18
HK1221553A1 (zh) 2017-06-02
TW200421445A (en) 2004-10-16
TWI338323B (en) 2011-03-01
US20090122293A1 (en) 2009-05-14
EP2560192A2 (en) 2013-02-20
JPWO2004073053A1 (ja) 2006-06-01
CN100380585C (zh) 2008-04-09
JP4985691B2 (ja) 2012-07-25
EP3401947B1 (en) 2019-09-25
EP3401947A1 (en) 2018-11-14
CN1717779A (zh) 2006-01-04
HK1256632A1 (zh) 2019-09-27
CN102103331A (zh) 2011-06-22

Similar Documents

Publication Publication Date Title
KR101134958B1 (ko) 스테이지 장치 및 노광 장치, 그리고 디바이스 제조방법
US10254662B2 (en) Exposure apparatus, exposure method, and device manufacturing method
US8749753B2 (en) Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method
KR101096479B1 (ko) 스테이지 장치, 노광 장치, 및 디바이스 제조 방법
KR102027589B1 (ko) 노광 장치 및 디바이스 제조 방법
US20100296070A1 (en) Exposure apparatus, exposure method, and device manufacturing method
JP2001160530A (ja) ステージ装置及び露光装置
JP5348627B2 (ja) 移動体装置、露光装置及びデバイス製造方法
JP2004349285A (ja) ステージ装置及び露光装置、並びにデバイス製造方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180316

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190318

Year of fee payment: 8