CN101216673B - 平台装置与曝光装置,以及元件制造方法 - Google Patents

平台装置与曝光装置,以及元件制造方法 Download PDF

Info

Publication number
CN101216673B
CN101216673B CN2007101638289A CN200710163828A CN101216673B CN 101216673 B CN101216673 B CN 101216673B CN 2007101638289 A CN2007101638289 A CN 2007101638289A CN 200710163828 A CN200710163828 A CN 200710163828A CN 101216673 B CN101216673 B CN 101216673B
Authority
CN
China
Prior art keywords
aforementioned
grating
slide block
platform
stator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2007101638289A
Other languages
English (en)
Other versions
CN101216673A (zh
Inventor
柴崎祐一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Publication of CN101216673A publication Critical patent/CN101216673A/zh
Application granted granted Critical
Publication of CN101216673B publication Critical patent/CN101216673B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70758Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70766Reaction force control means, e.g. countermass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Abstract

本发明是有关于一种平台装置与曝光装置,以及元件制造方法。该平台(RST),是一面浮动于平板的上方一面支撑光栅,并可移动于二维面内的三自由度方向,框状构件是以浮动于平板的上方可移动于二维面内的三自由度方向。在框状构件设置第一定子(1361~1382)、第二定子(1401、1402),在平台设第一可动元件、第二可动元件以与第一定子、第二定子各自协动以产生使平台于二维面内驱动的驱动力。因此,由平台的驱动的反力作用于第一或第二定子,由此反力框状构件大略依照动量守恒定律在二维面内移动。藉此,由平台的移动的反力可大略完全加以消除的同时,不产生包含平台及框状构件系统的重心移动的关系,偏负载亦不会作用在平板。

Description

平台装置与曝光装置,以及元件制造方法
本申请是原国家申请号为2004800015124(国际申请号为PCT/JP2004/000655),国家申请日为2004年1月26日,原发明名称为“平台装置与曝光装置,以及元件制造方法”的分案申请。
技术领域
本发明涉及一种平台装置与曝光装置,以及元件制造方法,特别是涉及一种具有平板与,沿该平板移动的滑块(slider)的平台装置,具有该平台装置的曝光装置,以及使用上述曝光装置的元件制造方法。
背景技术
近年来,在制造半导体元件、液晶显示元件等的微影(lithography)工序,是多使用使光掩膜(mask)或光栅(reticle)(以下总称为“光栅”)与晶圆(wafer)或玻璃基板等的感光物体(以下总称为“晶圆”)沿所定扫描方向(scan方向)一面加以同步移动,一面使光栅的图案(pattern)经由投影光学系统转印于晶圆上的步进扫描(step.and.scan)方式的扫描型曝光装置(所谓扫描式步进机Scanning.Stepper)等,此种扫描型曝光装置比起步进机(stepper)等的静止曝光型的装置时,可使大区域(field)以较小的投影光学系统加以曝光。因此,投影光学系统的制造变成容易的同时,由于以大区域曝光的照射数减小可以期待高生产率(throughput),对于投影光学系统使光栅及基板藉由相对扫描时具有平均化效果,具有可期待变形(distortion)或焦点深度的提升等的优点(merit)。
然而,以扫描型曝光装置时,除了在晶圆侧之外,在光栅侧也必要有使驱动光栅的驱动装置。在最近的扫描型曝光装置,于光栅侧的驱动装置,是使用具有光栅粗调平台(stage)与光栅微调平台的粗微调构造的光栅平台(reticle stage)装置。其中,光栅粗调平台,是在光栅平板上由以空气轴承(air bearing)等加以浮动支持、配置于与扫描方向直交的非扫描方向的两侧的一对线性马达(linear motor),在扫描方向以所定行程范围加以驱动。光栅微调平台,是对于该光栅粗调平台,在扫描方向、非扫描方向及左右摇动(yawing)方向以音圈马达(voicecoil motor)等加以微少驱动。
又,为极力抑制因应光栅平台的驱动在线性马达的定子(stator)所产生的反力不要成为光栅平板的震动要因或姿势变化的要因,受上述反力,按照动量恒守定律(law of conservation of momentum),例如,也有在光栅平台的扫描方向所设的线性马达的定子[线性导板(linear guide)]设置具有移动于与光栅平台相反方向的平衡质量(counter mass)(锤构件)的平衡质量机构的光栅平台装置。
可是,在习知的扫描型曝光装置所采用的光栅平台装置,以下的种种应加以改善的问题点存在。
a.由于在设有微调平台驱动用的马达定子的定子台架(carrier)与平板之间有侧导板(side guide),光栅微调平台(光栅)的非扫描方向的位置决定时的反力与左右偏摇力距(yawing moment),以及在粗调平台的驱动时所产生的力距,以经由侧导板传达于平板,以此成为平板的震动要因,结果使光栅的位置控制精度(包含位置决定精度)恶化。
b.在光栅微动平台及光栅粗动平台是连接电流供给用的配线或真空夹盘(vacuum chuck)所用的真空排气用及对空气轴承的供给加压空气用的配管等,由于此等平台是以拖拉配线、配管的状态加以移动,此等配线、配管的张力,结果成为使光栅的位置控制精度(包含位置决定精度)恶化的要因。
c.由光栅微调平台周边的机械震动、热要因的平台弯曲成为光栅微调平台的位置检测误差的要因。对于其一例,如图12A所示,以经由设在光栅微调平台RST的移动镜169使光栅微调平台RST(光栅R)的位置以具有测长轴LX的干涉仪加以测定的场合加以考虑。在此种场合,在光栅平台RST产生如图12B所示的变形时,在由干涉仪所检测的位置资讯成为产生ΔM的检测误差(一种阿贝(abbe)误差)。尚且,在图12A、12B符号CR,是表示光栅微调平台RST的中立面(弯曲中立面)。
d.更且,光栅微动平台的变形成为移动镜的变形(弯曲)要因,导致光栅微动平台的位置检测精度的降低,进而位置控制精度的降低。
e.又,特别在具有平衡质量机构的光栅平台装置,使平衡质量(锤构件)与光栅平台的质量比确保充分变大成为困难。其理由是在上述习知平衡质量机构,平衡质量需要在线导板的轴上配置重心的关系,为增大平衡质量的质量,需要使平衡质量加以延长于线性导板的轴方向,或以线性导板为中心在轴直交面内使放射方向的距离一律变大,在设计布置的理由上,自然有所限制的关系。如此由于难加确保使平衡质量(锤构件)与光栅平台的质量比充分变大,平衡质量的行程变大,不能忽视拖拉配管的影响,或由重心移动的局部物体变形,成为位置控制性的低降要因。
f.其他,在光栅平台的周边构件的配置、形状等复杂,在其周边空间是成为进入内面的开放空间的关系,空调效率较差,由空气摇动(空气温摇动)等成为使干涉仪检测精度,进而使光栅的位置控制精度恶化的要因。更且,在使用F2激光等的真空紫外光为曝光光的场合,虽然在光栅周边也必进行气体清除(gas purge)以使环境气体由惰性气体加以取代,因上述光栅平台的周边构件的配置、形状等的复杂化的关系,其设计变成为非常困难。
发明内容
本发明的目的在于,提供一种新型结构的平台装置,所要解决的技术问题是使其可以谋求载置物体的移动体的位置控制性的提升,从而更加适于实用。
本发明的另一目的在于,提供一种新型结构的曝光装置,所要解决的技术问题是使其可以实现高精度的曝光,从而更加适于实用。
本发明的再一目的在于,提供一种元件制造方法,所要解决的技术问题是使其可以提升高集积度的元件生产性,从而更加适于实用。
由本发明的第1观点,提供第一平台装置,其特征在于包括平板、滑块、框状构件、第一驱动机构及第二驱动机构。其中,滑块一面在前述平板的上方浮动一面支撑物体并可以沿前述平板移动于包含第一轴及与此直交的第二轴的二维面内的三自由度方向。框状构件浮动于前述平板的上方至少具有前述二维面内的三自由度,并围绕前述滑块。第一驱动机构件包含第一定子与第一可动元件,其中,第一定子设在前述框状构件,第一活动元件与该第一定子加以协动产生使前述滑块加以驱动于前述第一轴方向的驱动力。第二驱动机构包含第二定子与第二可动元件,其中,第二定子设在前述框状构件,第二可动元件与前述第二定子协动产生使前述滑块于前述第二轴方向驱动的驱动力。
在此,定子与可动元件的“协动”是在定子与可动元件之间进行某物理交互作用(例如电交互作用等),产生驱动力的意义。在本说明书以此种意义加以使用协动的用语。
依此种情形,滑块一面浮动于平板上方一面支撑物体并可以沿前述平板移动于包含第一轴及与此直交的第二轴的二维面内的三自由度方向,框状构件浮动于平板的上方至少具有前述二维内的三自由度。在框状构件加以设第一定子、第二定子,在滑块加以设第一可动元件、第二可动元件,第一可动元件与第一定子加以协动产生使滑块驱动于第一轴方向的驱动力,第二元件与第二定子加以协动产生使滑块驱动于第二轴方向的驱动力。因此,当滑块由第一驱动机构或第二驱动机构加以驱动于第一轴方向或第二轴方向,按照其驱动力的反力产生(作用)于第一定子或第二定子。由此反力的作用框状构件大略依照动量恒守定律移动于二维面内的三自由度方向。即,框状构件完作平衡质量的工作。此种场合,由于藉由框状构件的移动,可大略完全消除前述反力的同时,不产生包含滑块及框状构件的系统的重心移动,在平板无偏负载作用。因此,可谋求载置物体的滑块的位置控制性的提升。又,此种场合,由于框状构件以围绕滑块的状态设置的关系,所以必然成为大型化,其质量变大,可确保框状构件与滑块的大质量比,框状构件的程动行程以较短就足够。又,使框状构件大型化的场合,几乎无阻碍。
在此种场合,第一驱动机构、第二驱机构的构成虽然可考虑种种样式,例如在申请专利范围第2项所述的平台装置,前述第一驱动机构,包含至少两个线性马达,前述第二驱动机构包含一个音圈马达。
本发明的第一平台装置,更再包括平涉仪系统加以检测前述滑块的位置,前述滑块在中立面的一部分形成前述物体的载置面的同时,可使从前述干涉仪系统的测长光束的光路的直交于前述二维面的第三轴方向的位置一致于前述中立面的位置。关于此种场合,在前述的使用图12B的说明,可使起因于中立面与测长轴的偏移所产生的位置检测误差ΔM大略为零。
本发明的第一平台装置,在前述滑块的第一轴方向的一侧以及他侧的端部设延伸于第一轴方向的延设部,从上一侧的延设部至他侧的延设部在所有长度方向的全区域形成气体静压轴承,从前述平板可以不经由配管能对前述气体静压轴承以供给加压气体。
由本发明的第二观点,提供第一曝光装置,使光掩膜与感光物体以同步移动于所定方向将形成于前述光掩膜的图案转印于前述感光物体,其特征在于包括照明单元、平台装置及投影光学系统单元。其中,照明单元,由照明光照射前述光掩膜。平台装置,是前述光掩膜作为前述物体载置于前述滑块的本发明的第一平台装置。投影光学系统单元,将从前述光掩膜所射光的前述照明光投射于前述感光物体上。
依照此种情形时,由于具有本发明的第一平台装置,可提升滑块的位置控制精度,进而提升光掩膜的位置控制精度。因此,可使形成光掩膜的图案以高精度转印于感光物体。
在此种场合,包含前述照明单元与前述投影光学系统单元之间的前述照明光的光路的空间,是成为以吸收前述照明光的特性比空气较小的特定气体加以清除的清洁空间的同时,前述框状构件,可兼为使前述清洁空间对外界大气加以隔离的隔离壁。关于此种场合,与习知相异,可使光掩膜周边的空间容易成为清洁空间。
在此种场合,可在前述框状构件的与前述照明单元相反侧的与前述平板对向的面,以大略全周设置使用前述特定气体为加压气体的第一气体静压轴承。
在此种场合,可在前述框状构件的前述照明单元侧的面,以大略全周设置使用前述特定气体为加压气体的第二气体静压轴承;以及以经由所定间隙在对向于前述框状构件的前述照明单元侧的面的大略全面配置板,并使从前述第二气体静压轴承喷射加压气体于前述板上。
本发明的第一曝光装置,在前述框状构件兼为使前述清洁空间对外界大气加以隔离的隔离壁的场合,可在前述框状构件的与前述照明单元相反侧的与前述平板对向的面,以大略全周设置同时进行真空吸引与加压气体的喷出的动排气型的第一气体静压轴承。
在此场合,可在前述框状构件的前述照明单元侧的面,以大略全周设置同时进行真空吸引与加压气体的喷出的动排气型的第二气体静压轴承;以及以经由所定间隙在对向于前述框状构件的前述照明单元侧的面的大略全面配置板,并使从前述第二气体静压轴承喷射加压气体于前述板上。
本发明的第一曝光装置,在前述框状构件兼为使前述清洁空间对外界大气加以隔离的隔离壁的场合,更具备检测前述滑块的位置时,在位置于从前述干涉仪系统向前述清洁空间内的前述滑块的测长光束的光路上的前述框状构件的侧面部分形成开口部的同时,设置封闭前述开口部的盖玻璃。
由本发明的第3观点,提供第二平台装置,其包括平板、滑块及驱动机构。其中,滑块,一面浮动于前述平板的上方一面支撑物体并沿前述平板移动。驱动机构具有各一对的可动元件,与一对的定子部,各一对的可动元件,在前述滑块的载置前述物体的区域的直交于第一轴方向的第二轴方向的一侧与他侧以前述滑块的中立面为基准各自对称配置,一对定子部与该各可动元件各自协动以各自产生前述第一轴方向的驱动力。
依此种情形时,使滑块驱动的驱动机构,具有在滑块的载置体的区域的第二轴方向的一侧与他侧以滑块的中立面为基准以各自对称配置的一对可动元件与,以与该各可动元件各自协动以各自产生第一轴方向的驱动力的一对定子部。即,在第二轴方向的一侧、他侧的任何侧,也成为可动元件、滑块,可动元件的叠积构造的同时,其可动元件彼此,是关于滑块的中立面成为对称的配置。此种场合,滑块的中立面,是大略一致于其重心的高度位置(直交于第一轴及第二轴的第三轴方向的位置)的关系,由与左右各一对的可动元件所对应的定子协动所产生的第一轴方向的驱动力的合力,成为作用于滑块的重心位置。因此,可进行滑块的至少在第一轴方向的位置控制性的提升,及滑块的第二轴周围的回转抑制。
又,例如,在可动元件由电枢单元构成的场合,使滑块沿平板驱动于第一轴方向之际,虽然供给流于可动元件由可动元件的发热使滑块加热时,在其发热部分,起因于中立面的上侧、下侧所产生的双金属效果的滑块的变形彼此可加以相抵,结果不产生双金属效果所引起的滑块变形。
因此,特别,使滑块的位置经由设在滑块的反射面由干涉仪加以检测的场合,可使其位置控制性成为良好。
在此场合,前述一对的定子部,是以前述滑块的中立面为基准可各自对称配置。
本发明的第二平台装置,在前述滑块的第一轴方向的一侧以及他侧的端部设延伸于第一轴方向的延设部,从上一侧的延设部至他侧的延设部在所有长度方向的全区域形成气体静压轴承,从前述平板可以不经由配管能对前述气体静压轴承以供给加压气体。
由本发明的第4观点,提供第二曝光装置,使光掩膜与感光物体以同步移动于所定方向将形成于前述光掩膜的图案转印于前述感光物体,其特征在于包括照明单元、平台装置及投影光学系统单元。其中,照明单元,由照明光照射前述光掩膜。平台装置,是前述光掩膜作为前述物体载置于前述滑块的本发明的第二平台装置。投影光学系统单元,将从前述光掩膜所射光的前述照明光投射于前述感光物体上。
依照此种情形时,具有本发明的第二平台装置的关系,可提升滑块的位置控制精度,进而提升光掩膜与物体的同步精度。因此,可使形成于光掩膜的图案以高精度转印于物体。
由本发明的第5观点,提供第三平台装置,其包括平板、滑块、驱动机构及干涉仪系统。其中,滑块一面浮动于前述平板的上方一面支撑物体并可以沿前述平板移动于包含第一轴及与此第一轴直交的二维面内。驱动机构,包含使前述滑块于前述第一轴方向驱动的线性马达。干涉仪系统,使测长光束照射于前述滑块所设的反射面,依据其反射光检测前述第一轴方向及第二轴方向的位置。其特征在于,从前述平涉仪系统的前述第二轴方向的测长光束照射的反射面,设置在比前述线性马达较外侧位置的前述滑块的一部分。
依此种情形时,从干涉仪系统的第二轴方向的测长光束所照射的反射面,由于是设于比使滑块于第一轴方向驱动的线性马达较外侧,虽然起因于线性马达的发热在该线性马达周边的空气产生温度的摇动,由于在其第二轴方向的测长光束不产生任何影响,可以高精度加以实行由干涉仪的滑块的第二轴方向的位置检测。此种场合,从干涉仪的第一轴方向的测长光束,是与通常同样,以特别无障碍可加以照射滑块所设的另外的反射面(位置于几乎不受前述线性马达的发热的影响场所的反射面)的关系,以良好精度检测滑块的第一轴方向及第二轴方向的位置,可加以谋求滑块的位置控制性的提升。
在此种场合,前述反射面,形成于与前述滑块的载置前述物体的第一部以外的另外的所定长度的棒状第一部分的端面,在该第一部分除去其长度方向的两端部的部分设置补强部,使该补强部的两端经由弹性铰链部连结于前述第一部分。
在此种场合,可在前述第一部分中,在由前述一侧的弹性铰链部起的第一部分与相反侧间隔所定的距离的位置,更设置有其他的弹性铰链部。
本发明的第三平台装置,滑块的第一部分、弹性铰链部、及第二部分是也可以合全部为一体形成,也可使任一个与其他的另外构件形成,也可以全部为各自构件以形成。
本发明的第三平台装置,在前述滑块的第一轴方向的一侧以及他侧的端部设延伸于第一轴方向的延设部,从上一侧的延设部至他侧的延设部在所有长度方向的全区域形成气体静压轴承,从前述平板可以不经由配管能对前述气体静压轴承以供给加压气体。
由本发明的第6观点,提供第三曝光装置,使光掩膜与感光物体以同步移动于所定方向将形成于前述光掩膜的图案转印于前述感光物体,其特征在于包括照明单元、平台装置及投影光学系统单元。其中,照明单元,由照明光照射前述光掩膜。平台装置,是前述光掩膜作为前述物体载置于前述滑块的本发明的第三平台装置。投影光学系统单元,将从前述光掩膜所射光的前述照明光投射于前述感光物体上。
依照此种情形时,具有本发明的第三平台装置的关系,可提升滑块的位置控制精度,进而提升光掩膜与物体的同步精度。因此,可使形成于光掩膜的图案以高精度转印于物体。
由本发明的第7观点,提供第四平台装置,其包括滑块、一对第一可动元件、一对第二可动元件及一对定子部。其中,滑块在载置面载置物体并可移动。一对第一可动元件对于前述滑块的前述载置面对称配置。一对第二可动元件与前述一对第一可动元件相异,对于前述滑块的前述载置面对称配置。一对定子部与前述一对第一可动元件与前述一对第二可动元件协动,以使前述滑块于第一轴方向驱动。
依此,藉由一对的第一可动元件与对应的定子部协动,以及一对的第二可动元件与对应的定子部协动,各自产生的第一轴方向的区动力的合力可作用在滑块的重心位置附近。因此,滑块的至少第一轴的位置控制性提升,以及可控制环绕第2轴的回转。
于此场合,前述载置面可与前述滑块的中立面一致。
本发明的第四平台装置,前述一对定子部的各个对于前述载置面对称配置。
本发明的第四平台装置,具有连接于前述滑块的第一部分,以及与该第一部分加以协动的第二部分,以使前述滑块加以驱动于与前述第一轴相异的第二轴的驱动装置。
于此场合,更具备框状构件,以支撑前述一对定子部与前述驱动装置的前述第二部分。
于此场合,前述框状构件藉由使前述滑块驱动时所产生反力加以驱动。
于此场合,前述滑块设于平板上。
于此场合,前述框状构件设于前述平板上。
本发明的第四平台装置,前述滑块具有反射面,更具有使测长光束照射于该反射面以检测前述滑块的前述第二轴方向的位置的位置检测装置。
于此场合,前述一对定子部各自设置于不包围前述测长光束的位置。
由本发明的第8观点,提供第四曝光装置,使光掩膜与感光物体以同步移动于所定方向将形成于前述光掩膜的图案转印于前述感光物体,其特征在于包括照明单元、平台装置及投影光学系统单元。其中,照明单元,由照明光照射前述光掩膜。平台装置,是前述光掩膜作为前述物体载置于前述滑块的本发明的第四平台装置。投影光学系统单元,将从前述光掩膜所射光的前述照明光投射于前述感光物体上。
依照此种情形时,具有本发明的第四平台装置的关系,可提升滑块的位置控制精度,进而提升光掩膜与物体的同步精度。因此,可使形成于光掩膜的图案以高精度转印于物体。
由本发明的第9观点,提供第五平台装置,其包括滑块、驱动装置、平衡质量、反射构件及位置检测装置。其中,滑块支撑物体并可移动。驱动装置具有连接于前述滑块的移动元件,与该移动元件协动的定子,以使前述滑块沿第一轴方向驱动。平衡质量具有支撑前述定子的支撑部与重量部,由使前述滑块驱动时所产生的反力加以驱动。反射构件以位置于前述重量部与前述定子之间的状态而设置在前述滑块上。位置检测装置,使测长光束加以照射于前述反射构件以检测前述滑块的位置。
依此,藉由驱动装置使滑块沿着第一轴方向驱动,而藉由此驱动时所产生的反力使平衡质量几乎保持动能守恒原理的移动。藉由平衡质量的移动,在几乎将前述反力完全抵销的同时,由于不产生包含滑块以及平衡质量的系统的重心移动,因此亦不会在支撑滑块与平衡质量的支撑构件上施加偏荷重。而且,对设置在由位置检测装置的重量部与前述定子之间的设置在滑块上的反射构件照射测长光束,计测此滑块的位置。亦即是,由于由位置检测装置发出的测长光束照射的反射构件,设置在比于第一轴方向驱动滑块的驱动装置的定子更外侧,即使产生因为驱动装置发热所引起的驱动装置周边气体的温度变动,由于不会对其测长光束造成任何影响,能够进行滑块的高精度的位置检测。因此能够达成提升载置物体的滑块的位置控制性的目的。
于此场合,前述滑块在前述滑块的中立面具有载置前述物体的载置部。
本发明的第五平台装置,前述滑块设于平板上。
于此场合,前述平衡质量是设于前述平板上。
由本发明的第10观点,提供第五曝光装置,使光掩膜与感光物体以同步移动于所定方向将形成于前述光掩膜的图案转印于前述感光物体,其特征在于包括照明单元、平台装置及投影光学系统单元。其中,照明单元,由照明光照射前述光掩膜。平台装置,是前述光掩膜作为前述物体载置于前述滑块的本发明的第五平台装置。投影光学系统单元,将从前述光掩膜所射光的前述照明光投射于前述感光物体上。
依照此种情形时,具有本发明的第五平台装置的关系,可提升滑块的位置控制精度,进而提升光掩膜与物体的同步精度。因此,可使形成于光掩膜的图案以高精度转印于物体。
由本发明的第11观点,提供第六平台装置,其包括滑块、驱动装置、平衡质量及位置检测装置。其中,滑块设有反射构件,以支撑物体并可移动。驱动装置具有连接于前述滑块的移动元件,以及与该移动元件协动的定子,以使前述滑块沿第一轴方向驱动。平衡质量具有透明部,支撑前述定子并藉由驱动前述滑块时所产生的反力加以驱动。位置检测装置,经由前述透明部使测长光束照射于前述反射构件以检测前述滑块的位置。
依此,藉由驱动装置使滑块沿着第一轴方向驱动,而藉由此驱动时所产生的反力使平衡质量几乎保持动能守恒原理的移动。藉由平衡质量的移动,在几乎将前述反力完全抵销的同时,由于不产生包含滑块以及平衡质量的系统的重心移动,因此亦不会在支撑滑块与平衡质量的支撑构件上施加偏荷重。而且,藉由位置检测装置,由于透过平衡质量的透明部对反射构件照射测长光束以检测出滑块的位置,即使位置检测装置配置于平衡质量的外部,亦能够无障碍的精度良好的检测出滑块的位置。而且,亦能够防止位置检测装置配置在平衡质量中的场合以高机率产生的问题(例如由构成位置检测构件的光学构件以及检测器所产生的气体外泄,对平衡质量的内部造成不良的影响等)。
于此场合,前述滑块在前述滑块的中立面具有载置前述物体的载置部。
本发明的第六平台装置,前述滑块设于平板上。
于此场合,前述平衡质量是设于前述平板上。
由本发明的第12观点,提供第六曝光装置,使光掩膜与感光物体以同步移动于所定方向将形成于前述光掩膜的图案转印于前述感光物体,其特征在于包括照明单元、平台装置及投影光学系统单元。其中,照明单元,由照明光照射前述光掩膜。平台装置,是前述光掩膜作为前述物体载置于前述滑块的本发明的第六平台装置。投影光学系统单元,将从前述光掩膜所射光的前述照明光投射于前述感光物体上。
依照此种情形时,具有本发明的第六平台装置的关系,可提升滑块的位置控制精度,进而提升光掩膜与物体的同步精度。因此,可使形成于光掩膜的图案以高精度转印于物体。
而且,于曝光工序中,藉由使用本发明第一至第六曝光装置的其中之一以进行曝光,能够将光掩膜上的图案精度良好的转写到感光物体上,因此能够以良好的产量制造高集积度的微元件。依此,本发明以其他观点观之的话,是指使用本发明第一至第六曝光装置的元件制造方法。
本发明与现有技术相比具有明显的优点和有益效果。经由上述可知,本发明是有关于一种平台装置与曝光装置,以及元件制造方法。该平台(RST),是一面浮动于平板16的上方一面支撑光栅,并可移动于二维面内的三自由度方向,框状构件18是以浮动于平板的上方可移动于二维面内的三自由度方向。在框状构件设置第一定子(1361~1382)、第二定子(1401、1402),在平台设第一可动元件、第二可动元件以与第一定子、第二定子各自协动以产生使平台于二维面内驱动的驱动力。因此,由平台的驱动的反力作用于第一或第二定子,由此反力框状构件大略依照动量守恒定律在二维面内移动。藉此,由平台的移动的反力可大略完全加以消除的同时,不产生包含平台及框状构件系统的重心移动的关系,偏负载亦不会作用在平板。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
图1是表示关于一实施例的曝光装置的结构概结图。
图2是表示图1的光栅平台装置的立体图。
图3是图的光栅平台装置的分解立体图。
图4A是表示光栅平台的立体图。
图4B是光栅平台的断面图。
图5A、5B是在光栅平台所设的反射镜部的构成及效果的说明图。
图6A、6B是轴承装置的构成的说明图。
图7是光栅平台装置的XZ断面图。
图8是光栅平台装置的YZ断面图。
图9是对框状构件的下面侧的说明图。
图10是说明关于元件制造方法的流程图。
图11是表示图10的阶段204的具体例的流程图。
图12A、12B是习知技术的说明图。
符号的说明
10:曝光装置             12:光栅平台装置(平台装置)
14:照明系统侧板(板)     16:光栅平台平板
18:框状构件                    18b、18c:矩形开口(开口部)
24C1、24C2、24D1、24D2:延设部
261、262、281、282磁极单元(第一可动元件)
30:永久磁铁(第二可动元件)
69X、69Y:X轴Y轴激光干涉仪(干涉仪系统)
124b:补强部                    124c、124d:弹性铰链
124m:反射面
1361、1362、1381、1382电枢单元(第一定子)
1401、1402定子(第二定子)        CT:中立面
g1:窗玻璃(盖玻璃)              IOP:照明系统(照明单元)
PL:投影光学系统单元            R:光栅(物体、光掩膜)
RST:光栅平台(滑块)             W:晶圆(感光物体)
具体实施方式
为更进一步阐述本发明为达成预定发明目的所采取的技术手段及功效,以下结合附图及较佳实施例,对依据本发明提出的平台装置与曝光装置,以及元件制造方法其具体实施方式、结构、制造方法、步骤、特征及其功效,详细说明如后。
以下,依照图1~图9说明本发明的一实施例。
图1是表示关于一实施例的曝光装置10的概略构成图。此曝光装置10,是为步进扫描方式的扫描型曝光装置,即,所谓扫描曝光装置。如在后述本实施例,是设有投影光学系统单元(unit)PL,在以下,是以构成此投影光学系统PL的投影光学系统的光轴AX方向为Z轴方向(第三轴方向)、在与此直交的面内,作为光掩膜(及物体)的光栅R与作为感光物体的晶圆R的相对扫描的方向(图1中纸面的左右方向)为Y轴方向(第一轴方向),以直交于此等Z轴及轴的方向(图1中纸面的直交方向)为X轴方向(第二轴方向)进行说明。
此曝光装置10,是使照明单元IOP、光栅R以所定行程驱动于Y轴方向的同时,具有光栅平台装置12、晶圆平台WST、及控制系统等。其中,光栅平台装置12,是以微少驱动于X轴方向、Y轴方向及θ2方向(Z轴周围的回转方向)、晶圆平台WST,是使投影光学系统单元PL、晶圆W在XY平面内驱动于XY的二维方向,控制系统,是对此等加以控制。
上述照明单元IOP,是包含光源及照明光学系统,以高能射线束(energy beam)的照明光IL加以照射由其内部所配置的视野光圈(也称为遮蔽片(masking blade)或光栅隐蔽(reticle blind)所规定的矩形或圆孤状的照明区域,以均一照度加以照明形成电路图案的光栅R。与照明单元IOP同样的照明系统,例如提案于日本专利特开平6-349701号公报以及其对应的美国专利第5534970号所揭示。在此,照明光IL是使用ArF准分子激光(excimer laser)光(波长193nm)或F2电射光(波长157nm)等的真空紫外光。尚,且对照明光IL,也可使用KrF准分子激光光(波长248nm)等的远紫外光、从超高压水银灯的紫外域的辉线(g线、i线等)。尚且,本国际申请所指定的指定国或选择国的国内法令的限制中,引用上述美国专利所揭示的内容并作为本说明书记载的一部分。
可是,以真空紫外域的波长光为曝光光的场合,需要从其光路排除氧、水蒸气、碳化氢是的气体等的对于该波长带域的光具有吸收特性的气体(以下,称为“吸收性气体”)。因此,对于本实施例,在照明单元IOP的内部的照明光IL的光路上的空间,充满具有对于真空紫外域的光的吸收比空气(氧)较少的特性的特定气体例如氮、及氦、氩、氖、氪等的稀有气体,或此等的混合气体(以下,称为“低吸收性气体”)。此结果,照明单元IOP内的光路上的空间,吸收性气体的浓度是成为数ppm以下的浓度。
上述光栅平台装置12,是配置于图1的照明系统侧板(plate)(压顶板(cap plate))14的下方。其中,照明系统侧板14,是在照明单元IOP的下端部的外周具有以经由O形环(O-ring)等的密封(seal)构件99所连接的环状配件部101的平板。照明系统侧板14,是以大略水平由未图示的支承构件加以支持,大概在中央部位形成矩形开口14a为照明光IL的光路(通路)。
光栅平台装置12,是从图1及图2的光栅平台装置12的立体图可知,具有光栅平台平板16、光栅平台RST、框状构件(重量部)18、及光栅平台驱动系统等。其中,光栅平台平板16,是在上述照明系统侧板14的下方隔所定间隔以大略平行配置以作为平板。光栅平台RST,是配置于该光栅平台平板16与照明系统侧板14之间作为滑块(slider)。框状构件18,是以围绕该光栅平台RST的状态配置于光栅平台平板16与照明系统侧板14之间。光栅平台驱动系统,是驱动光栅平台RST。
光栅平台平板16,是由未图示的支承构件加以支承大略为水平。此光栅平台平板16,是如图2的分解立体图的图3所示,是由大略为板状的构件所构成,大略在中央,形成突部16a。在此突部16a的大略中央,是以向Z轴方向相连状态以形成使照明光IL通过之以X轴方向为长度方向的矩形开口16b。在光台平板16的下面侧,是如图1所示,以围绕矩形开口16b的周围的状态,经由V形环(V-ring)或伸缩自如的波形管(bellows)等的密封构件98连接在投影光学系统单元PL的镜筒部的上端。
上述光栅平台RST,如图4A所示具有特殊形状光栅平台本体22及固定于该光栅平台本体22的各种磁极单元(对此将于后述)等。
光栅平台本体22,是具有平面视(从上方所见概略为矩形的板状部24A、设于该板状部24A的一X端部的反射镜部24B,与从板状部24A的Y轴方向的一侧及他侧的端部各突设于Y轴方向的各一对延设部24C1、24C2、24D1、24D2
在上述板状部24A,是使大略在中央部位成为照明光IL的通路的开口,是形成为在其中央(内部底面)所形成的成段开口22a,在该成段开口22a的段部(一段下面的部分),是设从光栅R的下侧以复数点(例如为三点)支持的复数(例如为三个)光栅支持构件34。
在本实施例,光栅R,是使其图案面(下面)成为以大略一致于光栅平台22(光栅平台RST)的中立面CT的状态,由复数支持构件34加以支持。即,光栅R的载置面(载置部),是大略一致于光栅平台RST的中立面CT(参照图4B)。
又,各对应于各光栅支持构件34,在板状部24A的光栅支持构件34的近傍部分,是设复数(例如为三个)的光栅固定机构36。各光栅固定机构36,是各包括具有XZ断面为L字形的形状,以设在L字的角部的轴为中心可起伏回动自如的装设于板状部24A的固定构件。各固定构件,是在光栅R置于光栅支持构件34时,以经由由第1图的平台控制系统90所驱动的未图示的驱动机构各回转驱动于所定方向,藉由与光栅支持构件34间使光栅R加以夹持,可使光栅R以机械方式加以固定。此种场合,固定构件,也可采用由未图示的施压手段使光栅r向支持构件34侧按压的方向加以经常施加压力的构成。
尚且,以替代光栅支持构件34及光栅固定机构36,或者与此一起,也可使用真空夹盘或静电夹盘等的各种夹盘。
上述反射镜部24B,是总和图4A及图5A,具有以Y轴方向为长度方向的概略角柱状的形状,包括在其中心部分为企图轻量化起见形成断面圆形的空洞部CH(参照图4A)的棒状部分124a,与除去该棒状部分124a的长度方向的两端部部分的在+X侧以一体形成的中突的补强部124b。棒状部分124a的-X侧端面是为施加镜面加工的反射面(反射构件)124m。
反射镜部24B与板状部24间,是如图5A所示的由铰链(hinge)124c、124d的两处局部连结。尚且,在实际上,虽然包含板状部24A与反射镜部24B。铰链部124c、124d的光栅平台本体部22是以一体成形(例如,藉由切削出一个构件以成形),在以下的说明,为使说明易懂起见,视需要也使用以各部若似另外的构件的情形加以表现。当然,也可使上述各部的任何一个为其他的另外构件加以构成,也可使全部为各自的另外构件加以构成。
将此更再详述时,如图5A所示,反射镜部24B,是在板状部24A的-X端部,设于补强部124b的±Y侧面的两处铰链部124c、124d,即以经由回转支点加以连结。此种场合,连结两个铰链部124c、124d的线CS,是成为反射镜部24B的水平面内弯曲时的中立面。
藉此,由某些要因在反射镜24B产生弯曲力矩的场合如图5B所示,仅在两铰链部124c、124d的外侧范围(A及A’)部份产生弯曲变形(挠曲),对于在曝光中实际使用检测的范围(范围B),可大概确实抑制弯曲变形。
在本实施例,更再,如图5A所示,从两个铰链部124c、124d中的一方的铰链部124c向+方向离开所定距离的位置设同样的铰链部124e。藉此,如图5B所示,虽然在板状部24A产生向Y轴方向伸延(ΔL )的场合,铰链部124c与铰链部124e间的部分124f,由于仅产生以铰链部124c为中心可回转部分的变形,对于反射镜部24B的反射面124m由板状部24A的变形所给与的影响成为可极力加以抑制。即,由铰链部124c、124e所夹的部分124f,是具有一种挠曲(flexure)的机能。
在光栅平台本体22的板状部24A的-Y侧端部,如图4A所示,形成两个凹部24g1、24g2,在设凹部24g1、24g2的各个,是各自设置反射镜(retro-reflector)321、322
在上述四个延设部24C1、24C2、24D1、24D2,是如图4A所示,具有概略板状的形状,在各延设部为提升强度,是设断面三角形状的补强部。在光栅平台本体22的底面,是形经过从延设部24C1至延设部24D1的Y轴方向全域的第一气体静压轴承,形成经过从延设部24C2至延设部24D2的Y轴方向全域的第二气体静压轴承。
其次,对于第一气体静压轴承及二气体静压轴承依据图6A及图6B加以说明。
在图6A,是表示从光栅平台本体22的下面侧所见的平面图(底面图)。从此图6A可知,在包含延设部24C1、24D1、及及其间的部分的光栅平台本体22的底面,是形成二个沟。此等沟之中在位置于X轴方向的中央的沟是由干沟55A与复数的表面喷压沟55B所构成的供气沟56。其中,干沟55A,是延伸于Y轴方向的T字状。干沟55A与表面喷压沟55B,是如图6A的D-D线断面图的图6B所示,干沟55A的一方,是形成比表面喷压沟55B较深。
一方面,上述三个沟中所剩余的两个沟,是延伸于Y轴方向的排气沟57A、57B。此等排气沟57A、57B,是具有与上述干沟55A大略且一断面形状。
一方面,如图6B(及图3)所示,以对向于此等三个沟56、57A、57B的各个的至少一部分在光栅平台平板16,于凸部16a的上面,是各自形成三个开口(58、59A、59B)。此等三个开口中在中央位置的开口是为供气口58,两端位置的开口是为排气口59A、59B。供气口58,是经由图6B所示的供气管路60连接于未图示的气体供气装置。从气体供气装置是例如供给氦等的稀有气体或氮等低吸收性气体。又,排气口59A、59B,是经由排气管路61A、61B连接于未图示的真空泵。
在本实施例,当从未图示的气体供给装置经由供气管路60供给低吸收性气体时,此低吸收性气体,是如图6B示,从供气口58供给于供气沟56的干沟55A,以通过该干沟55A的Y方向全域。当低吸收性气体更再连续供给时,从供气沟56的复数表面喷压沟55B使低吸收性气体喷压光栅平台平板16的上面。
此时,如从真空泵经由排气管路61A、61B进行吸引动作时,在光栅平台RST与光栅平台平板16之间的气体,是以经由排气口59A、59B排出于外部。因此,从左右的表面喷压沟55B向排气沟57A、57B的低吸收性气体的气流,是在光栅平台RST与光栅平台平板16之间产生间隙,藉由经常继续流通一定流速、压力的低吸收气体,使上述间隙内的加压气体的静压(所谓间隙内压力)成为一定,使光栅平台RST在光栅平台平板16之间例如形成数μm程度的间隙(clearance)加以维持。即,在本实施例,是以实质上加以构成,藉由在光栅平台本体22底面的部分所形成供气沟56、排气沟59A、59B、供气口58、供气管路60、排气口59A、59B、排气管路61A、61B,使从未图示的气体供给装置的加压气体,由光栅平台平板16以不经由配管供给的第一差动排气型的气体静压轴承。
也在包含延设部24C2、24D2及其间的部分的光栅平台本体22的底面,实质上构成由上述干沟55A及复数表面喷压沟55B所构成的供气沟56,与形成其两侧的排气沟57A、57B,包含此等三个沟以与上述同样,使从未图示的气体供给装置的加压气体,由光栅平台平板16以不经由配管加以供给的第二差动排气型的气体静压轴承。
以如此,在本实施例,藉由从第一、第二差动排气型的气体静压轴承今表面喷压沟55B以经由光栅平台平板16的上面所喷出的加压气体的静压与,与光栅平台RST全体的自重的平衡,在光栅平台平板16的上面以经由数μm程度的间隙,使光栅平台RST以非接触的浮动支承。
回到图2,在上述框状构件18的上面,是以双重加以形成概略环状的凹沟(环状凹沟)83、85。在此中的内侧环状凹沟83,是在其内部形成复数供气口(未图示),在外侧的环状凹沟85,是形成复数排气口(未图示)。尚且,在以下称内侧的环状凹沟83为“供气沟83”、外侧的环状凹沟85称为“排气沟85”。
在供气沟83的内部所形的供气口,是以经由未图示的供气管路及供气管连接于供给氮或稀有气体等的低吸收性气体的未图示的气体供给装置,在排气沟85的内部所形成的排气孔,是以经由未图示的排气管路及排气管连接于未图示的真空泵。
又,在此框状构件18的底面,从使该框状构件18以上下反转的立体图所示的图9可知,是双重形成概略环状凹沟82、84。在此中的内侧环状凹沟82,是在其内部形成复数供气口(未图示),在外侧的环状凹沟84,是形成复数排气孔(未图示)。尚且,在以下称内侧凹沟82为“供气沟82”、称外侧环状凹沟84为“排气沟84”。
在供气沟82的内部所形成供气口,是经由供气管路及供气管连接于供给氮或稀有气体等的低吸收性气体的未图示的气体供给装置。又,在排气沟84的内部所形成的排气口,是经由排气管路及排气管连接于未图示的真空泵。
因此,气体给装置与真空泵在动作状态时,从框状构件18的底面所形的供气沟82向光栅平台机台16的上面喷出力压气体(低吸收性气体),由此喷出的加压气体的静压加以支承框状构件18的自重,使框状构件18在光栅平台平板16的上面的上方以经由数μm程度的间隙加以浮动支承。在此种场合,其间隙内的气体,是以经由排气沟84由真空泵的吸引力排出于外部。此种场合,从供气沟82向排气沟84产生气体的流动。因此,可有效果的阻止外界大气经由其间隙混入于框状构件18的内部。
如此,由框状构件18的底面的全体,实质上的构成在光栅平台平板16的上方浮动支承框状构件18的差动排气型的气体静压轴承。
又,气体供给装与真空泵在动作状态时,藉由从框状构件18的上面所形成供气沟83向照明系统侧板14的下面喷出加压气体(低吸收性气体)的同时,在照明系统侧板14与框状构件18之间的间隙内的气体,是经由排气沟85由真空泵的吸引力排气于外部。此种场合,从供气沟83向排气沟85产生气体的流动。因此,可以有效果的阻止外气经由其间隙混入于框状构件18的内部。又,在此种场合,藉由所喷出的加压气体的静压与真空吸引力的平衡,在框状构件18与照明系统侧板14之间维持间隙。即,由框状构件18的上面全体,实质上的构成维持框状构件18与照明系统侧板14之间的间隙的差动排气型的气体静压轴承。
又,在本实施例的场合,框状构件18与光栅平台平板16之间的上述间隙(即轴承间隙),是实际上由框状构件18上下的差动排气型的气体静压轴承加于框状构件18的力,与框状构件18全体自重的总合的平衡决定。
如此,框状构件18与照明系统侧板14之间的间隙,及光栅平台平板16与框状构件18之间的间隙由上述的气体流动气密化,更且,如上所述,由于投影光学系统单元PL的上端部与光栅平台平板16之间由上述的密封构件98连接(参照图7、图8)、由框状构件18所围绕的空间内,是成为非常高气密度的空间。以下,使由框状构件18所围绕的空间,为方便起见称为“气密空间”。
如本实施例,在使用真空紫外的曝光波长的曝光装置,为避免由氧气等吸收性气体对曝光光的吸收,从照明单元IOP至投影光学系统单元PL的光路,即对于上述的气密空间内(的光路)也必要以氮或稀有气体取代。
此种场合,在框状构件18的侧壁也可以各自连接供气管、排气管,并经由供气管使低吸收性气体供给于上述的气密空间,并且经由排气管使内部气体排气于外部即可。
其他,也可采用下述的构成结构,即,使连接于框状构件18的未图示的供气管内流的氮或稀有气体的一部分,藉由经由在框状构件18内从供气管路的一部分所分岐的供气支管流入上述气密空间内以使氮或稀有气体供给气密空间内,另一方面,经由从排气管路的一部分所分岐的排气支管以使气密空间内的气体排气的构成。以此方式时,连同于上述气密化,可以在支撑光栅R的空间内由曝光光的吸收较少的氮或稀有气体取代。
尚且,对供给于气密空间的气体使用氦气体的场合,回收经由气体排气机构的氦气体,经去除不纯物后,加以再利用为宜。
上述光栅平台驱动系统,是如图2所示,在框状构件18的内部,具有第一驱动机构与第二动机构。其中,第一驱动机构,是包含各自架设于Y轴方向的一对定子单元(一对定子部)36、38所构成的使光栅平台RST驱动于Y轴方向的同时以微小驱动于θz方向(z轴周围的回转方向)。第二驱动机构,是包含在构件18的内部的一方的定子单元38的+X侧架设于Y轴方向的定子单元40所构成,使光栅平台RST以微小驱动于X轴方向。
上述一方的定子单元36,是如为光栅平台装置12的分解立体图的图3所示,是包括一对Y轴直线导板1361、1362与一对固定构件(支撑部)152。其中,一对Y轴直线导板1361、1362,是以Y方向为长度方向的由作为一对第一定子的电极(armature)所构成。一对固定构件(支撑部)152,在Y轴方向(长度方向)的一端部与他端部支撑此等Y轴直线导板1361、1362。此种场合,藉由一对的固定构件152,在Z轴方向(上下方向)以互相对向隔所定间隔并且各自平行于XY面的支撑Y轴直线导板1361、1362。一对的固定构件152是各自固定于上述框状构件18的Y轴方向一侧与他侧的内壁面(侧壁的内面)。
上述Y轴直线导板1361、1362,是从图3及图7可知,具有由断面矩形(长方形)的非磁性材料所构成的构架(frame),在其内部,是使复数电枢以所定间隔加以配设于Y轴方向。
上述他方的定子单元38也与上述一方的定子36同样地构成。即,定子单元38是具有Y轴直线导板1381、1382与一对的固定构件(支撑部)154。其中,Y轴直线导板1381、1382,是由以Y轴方向为长度方向的作为一对第一定子的电枢单元所构成。一对固定构件154,是使此等Y轴导向板1381、1382以所定间隔维持于Z轴方向状态固定于两端部。一对固定构件154是各自固定于上述框状构件18的Y轴方向一侧与他侧的内壁面。
上述Y轴直线导板1381、1382,是与上述轴直线导板1361、1362以同样加以构成(参照图7)。
在Y轴直线导板1361、1381与,Y轴直线导向板1362、1382之间,是如图7所示,是各自经由所定间隙配设光栅平台RST。对向于Y轴直线导板1361、1362,在光栅平台RST的上面、下面,是各自埋入作为一对第一活动元件的磁极单元261、262,对向于Y轴直线导板1381、1382,在光栅平台RST的上面、下面,是各埋入一对第一活动元件的磁极单元281、282
各自的磁极单元261、262,是如图4B所示,配置于上述光栅平台22的板状部24A的成段开口22a的-X侧,以光栅平台本体22的中立面CT为基准在对称的上下面侧各所形成的凹部24e1、24e2内。
此种场合,Y轴直线导板1361、1362是以上述中立面CT为基准位置于大略对称的位置。
上述一对磁极单元261、262是各具有磁性体构件与复数场磁铁(field magnet)。其中,复数场磁铁,是在该磁性体构件的表面沿Y轴方向以所定间隔配置。复数场磁铁,是以邻接的场磁铁彼此成为相反磁性,因此,在磁极单元261的上方的空间沿Y轴方向形成交变磁场,在磁极单元262的下方的空间沿Y轴方向加以形成交变磁场。
以同样,在上述的一对磁极单元281、282,是如图4B所示,各自配置于上述光栅平台本体22的板状部24A的成段开口22a的+X侧,以光栅平台本体22的中立面CT为基准在对称的上下面侧各自形成的凹部24f1、24f2内。又,一对磁极单元281、282,关于通过成段开口22a的X轴方向的中心位置(与光栅平台RST的重心的X轴方向位置大略一致)的Z轴,是与磁极单元261、262成为大略左右对称的配置。
又,上述Y轴直线导板1381、1382,是位置于以中立面CT为基准的大略对称的位置。
上述一对磁极单元281、282,是各自具有磁性体构件,与在该磁性构件的表面沿Y轴方向以所定间隔加以配置的复数场磁铁。复数场磁铁,是以邻接的场磁铁彼此成为相反磁性。因此,在磁极单元281的上方的空间沿Y轴方向加以形成交变磁场,在磁极单元282的下方的空间沿Y轴方向加以形成变磁场。
在本实施例,由上述定子单元36、38(包含两对Y轴直线导板1361、1362、1381、1382)与两对磁极单元261、262、281、282构成第一驱动机构。依照此第一驱动机构时,藉由使电流供给于Y轴直线导板1361、1362内的电枢线圈,由磁极单元261、262的所产生的磁场与在电枢单元1361、1362所流通的电流之间的电磁相互作用产生Y轴方向的电磁力(洛伦兹力Lorentz force),此洛伦兹力的反力成为使磁极单元261、262(光栅平台RST)驱动于Y轴方向的驱动力。
以同样,藉由使电流供给于Y轴直线导板1381、1382内的电枢线圈,由磁极单元281、282的所产生的磁场与Y轴直线导板1381、1382所流通的电流之间的电磁相互作用产生Y轴方向电磁力(洛伦兹力),此洛伦兹力的反力成为使磁极单元281、282(光栅平台RST轴动于Y轴方向的驱动力。
在本实施例的场合,以光栅平台RST的中立面CT为基准,磁单元261与262、磁极单281与282是以各自对称的配置,对应于此等磁极单元的Y轴直线导板1361与1362、Y轴直线导板1381、1382也以中立面CT为基准,是以上下对称的配置。因此,藉由使同一雷流供给Y轴直线导板1361、1362、1381、1382的各个电枢线圈时,对磁极单元261、262、281、282的各个给与同一驱动力,在光栅平台RST的中立面CT(参照图4B)上的两处可使Y轴方向的驱动力(磁极单元261、262的驱动力的合力、磁极单元281、282的驱动力的合力)加以作用,藉此,可极力使纵摆力距(pitching moment)不作用于光栅平台RST。
又,此种场合,磁极单元261与262,磁极单元281与282,也关于X轴方向,由于在关于光栅平台RST的重心近傍位置大略对称配置,并且由于从光栅平台RST的重心在等距离的两处使上述Y轴方向的驱动力加以作用,可以在该两处产生同一力量能在光栅平台RST的重心位置近傍使轴方向的驱动力的合力加以作用。因此,可极力使偏摇力距(yawing moment)不作用于光栅平台RST。
尚且,与上述相反,藉由使左右的Y轴方向的驱动力成为相异时,也可以控制光栅平台RST的偏摇。
从至今的说明可知,由磁极单元261、262与所应的Y轴直线导板1361、1362构成使光栅平台RST驱动于Y轴方向的一对Y轴线性马达,由磁极单元281、282与所对应的Y轴直线导板1381、1382构成使光栅平台RST驱动于Y轴方向的一对动磁铁(moving-magnet)型的Y轴线性马达。尚且,在以下,将此等Y轴线性马达使用与构成各Y轴线性马达的直线导板同一符号以“Y轴线性马达1361、1362、1381、1382”加以记述。
由左右各一对的Y轴线性马达1361、1362及1381、1382构成上述的第一驱动机构。
上述定子单元40,是如图2所示,具有以Y轴方向为长度方向的一对作为第二定子的电枢单元1401、1402与使此等电枢单元1401、1402在Y轴方向(长度方向)的一端部与他端部支撑的一对固定构件156。此种场合,由一对固定构件156,使电枢单元1401、1402以相互对向隔所间隔于Z轴方向(上下方向)并且各自平行于XY面加以支撑。一对固定构件156的各个,是固定于上述框状构件18的Y轴方向一侧与他侧的内壁面。
电枢单元1401、1402是从图7可知,具有由断面矩形(长形)的非磁性材料所构成的构架,在其内部配置电枢线圈。
在电枢单元1401、1402相互间,如图7所示,各以经由所定的间隙,配置固定在光栅平台RST的X轴方向端部的作为第二活动元件的断面矩形(长方形)的板状永久磁铁30。以替代永久磁铁30,也可使用由磁性体构件与各固定于其上下面的一对平板状永久磁铁所构成的磁极单元。
此种场合,永久磁铁30,并且电枢单元1401、1402,是以中立面CT为基准成为大略对称的形状及配置(参照图4B及图7)。
因此,由永久磁铁30形成的Z轴方向的磁场与在各自构成电枢单元1401、1402的电枢线圈流向于Y轴方向的电流间的电磁相互作用产生X轴方向的电磁力(洛伦兹力),此洛伦兹力的反力成为使永久磁铁30(光栅平台RST)驱动于X轴方向的驱动力。
此种场合,藉由在各构成电枢单元1401、1402的电枢线圈供给同一电流时,在光栅平台RST的中立面CT(参照图4B)上的位置可使X轴方向的驱动力作用。藉此,可极力使转动力距不作用于光栅平台RST。
如上所述,由电枢单元1401、1402与永久磁铁30构成使光栅平台RST可微小驱动于X轴方向的动磁铁型的音圈马达(voice coil motor)。尚且,在以下,将此音圈马达使用构成该音圈马达的可动元件,即永久磁铁的符号称为音圈马达30。由此音圈马达30构成第三驱动机构。
在本实施例,更且,在上述框状构件18的+X侧面及+Y侧面,是如图3所示,设置由磁极单元所构成的可动元件601、602、603。以对应于此等可动元件(第一部分)601、602、603在光栅平台平板16,是以经由支持台641、642、643,以设由电枢单元所构成的定子(第二部分)621、622、623
上述可动元件601、602,是在其内部有永久磁铁,形成Z轴方向的磁场。上述定子621、622,是在其内部有电枢线圈,在上述Z轴方向的磁场中成为电流流向于Y轴方向。因此,藉由在定子621、622内的电枢线圈供给Y轴方向的电流时,在可动元件601、602成为使向X轴方向的驱动力(洛伦兹力的反力)加以作用。即,由可动元件601与定子621构成由动磁铁型的音圈马达所构成的X轴方向驱动用的微调马达(trim motor),由可动元件602与定子622,构成由动磁铁型的音圈马达所构成的X轴方向驱动用的微调马达。
又,上述可动元件603,是在其内部具有永久磁铁以形成Z轴方向的磁场。上述定子623,是在其内部具有电枢线圈,在上述Z轴方向的磁场中成为使电流流向于X轴方向。因此,藉由在定子623内的电枢线圈供给X轴方向的电流时,在可动元件603成为使向Y轴方向的驱动力(洛伦兹力的反力)加以作用,即,由可动元件603与定子623构成由动磁铁型的音圈马达所构成的Y轴方向驱动用的微调马达。
如此,藉由使用此等三个微调马达时,可使框状构件18驱动于X轴方向、Y轴方向、及θz方向的三自由度方向。
在上述框状构件18的-X侧的侧壁的大略中央,是如图3所示,形成凹状部18a。在此凹状部18a形成使框状构件18的内部与外部连通的矩形开口18b,在该矩形开口18b,是嵌入窗玻璃(透明部)g1。又,在框状构件18的-y侧的侧壁,是形成使框状构件18的内部与外部连通的矩形开口18c在该开口18c,是嵌入窗玻璃(透明部)g2。在此等窗玻璃g1、g2,为不产生从安装部分漏出气体,在安装部分,是施加铟(In)或铜(Cu)等金属密封或由氟系树脂的密封(sealing)。尚且,对于上述氟是树脂,是以使用80℃经两小时加热施加脱气体处理者为宜。
在上述窗玻璃g1的外侧(-X侧),是从表示光栅平台装置的XZ断面图的图7可知,以对向于光栅平台RST的反射镜部24B的反射面124m,设置X轴激光平涉仪69X作为位置检测装置。从此X轴激光平涉仪69X的测长光束,是经由窗玻璃g1对反射镜部24B的反射面124m投射,其反射光是经由窗玻璃g1返回于X轴激光干涉仪内。此种场合,测长光束的光路的Z轴方向的位置是一致于中立面CT的位置。
又,如图7所示,投影光学系统单元PL的镜筒的上端鄣近傍,是经由安装构件92设置固定镜Mrx。从X轴激光平涉仪69X参照光束,是经由形成于光栅平台平板16的贯通孔(光路)71,对固定镜Mrx投射,其反射光是返回于X轴激光平涉仪69X内。在X轴激光平涉仪69X是使测长光束的反射光,参照光束的反射光由内部的光学系统以合成为同轴并且同一偏光方向的光,使两反射光的平涉光由内部检测器(detector)加以受光。然而,依据由其干涉光在检测器的受光面所产生的平涉条纹(interference fringe)的计数值,X轴激光平涉仪69X,是使光栅平台本体22的X轴方向的位置,以固定镜Mrx为基准,例如以0.5~1nm程度的分解度经常的进行检测。
在上述窗玻璃g2的外侧(-Y侧),是从光栅平台装置12近傍的YZ断面图的图8可知,以对向于在光栅平台本体22所设的上述反射镜(retro-reflector)321、322的反射面设置Y轴激光平涉仪69X作为位置检测装置。此种场合,Y轴激光平涉仪69Y,为各自对应于反射镜321、322是设置一对。从各Y轴激光干涉仪的测长光束是经由窗玻璃g2对反射镜321、322的反射面各自投射,各反射光,是经由窗玻璃g2返回各Y轴激光光涉仪69Y内。此种场合,测长光束的照射点的Z轴方向的位置,是大略一致于中立面CT的位置。
又,如图8所示,在投影光学系统单元PL的镜筒的上端部近傍,是经由安装构件93设置固定镜Mry。从各Y轴激光仪69Y的参照光束,是经由形成于光栅平台平板16的贯通孔(光路)72,对固定镜Mry各自投射,各自的反射光是返回各自的Y轴激光干涉仪69Y内。然而,各自的Y轴激光干涉仪69Y,是与上述X轴激光干涉仪69X同样,依据测长光束的反射光与参照光束的反射光的干涉光,在各自测长光束的投射位置(反射镜321、322的反射面的位置)的光栅平台本体22的Y轴方向的位置,以固定镜Mry为各自的基准,例如以0.5~1nm程度的分解度经常的进行检测。
在此种场合。由一对的Y轴激光平涉仪69Y,也可检测光栅平台RST的Z轴周围的回转量。
在本实施例,如在图2所示,反射镜部24B是配置于Y轴线性马达1361、1362的外侧。因此,由于X轴激光干涉仪69X的测长轴不通过Y轴线性马达1361、1362的定子的上方,由Y轴线性马达1361、1362的定子所流通的电流的发热,虽然在Y轴线性马达1361、1362近傍产生空气摇动时,由于由此空气摇动对X轴激光干涉仪69X的计测值不发生影响,可以高精度检测光栅平台RST,进而高精度检测光栅R的X轴方向位置。又,在此种场合,如前所述,由于X轴激光干涉仪69X的测长光束的光路的Z轴方向的位置,是一致于中立面CT的位置,光栅R的载置面也一致于中立面CT,没有所谓的阿贝误差(abbe error),可以精度良好的计测光栅平台RST,进而精度良好的计测光栅R的X轴方向位置。在一对的Y轴平涉仪69Y,也以同样理由,没有所谓的阿贝误差,可以精度良好的计测光栅平台RST,进而精度良好的计测光栅R的Y轴方向位置。
又,由于上述的X轴激光干涉仪69X及一对Y轴平涉仪69Y,是配置于框状构件18的外部,从构成各干涉仪的棱镜等的光学构件及检测器等假使虽然产生微量的吸收性气体时,以此对于曝光也成为不会有不良影响。
如上所述,实际上,于移动镜,虽然是设置三个反射镜部24B、反射镜321、322,对应于此激光干涉仪也设X轴激光干涉仪69X与一对Y轴激光干涉仪69Y,在图1此等是以代表性的以光栅移动镜Mm、光栅平涉仪系统69图示。尚且,在图1,固定镜(固定镜Mrx、固定镜Mry)是省略图示。
在以下的说明,是由光栅干涉仪系统69检测光栅平台RST的XY面内的位置(包含θz回转)。从此光栅干涉仪系统69的光栅平台RST的位置资讯(或是速度资料)是送至平台控制系统90及经此至主控制装置90,在平台控制90是按照从主控制装置70的指示,依据光栅平台RST的位置资料(或是速度资讯)控制光栅平台RST的驱动。
回至图1,对于上述投影光学系统单元PL,是使用由具有两侧远心(telecentric)缩少系统,并且共同的z轴的复数片透镜元件所构成的折射光学系统。此投影光学系统单元PL,实际上是,以经由在该投影光学系统单元PL的镜筒部所设的凸缘(flange)部FLG,由未图示的支撑构件支撑。此投影光学系统单元PL的投影倍率β,是例如为1*4或1/5。因此,如前述,由从照明单元IOP的照明光IL区域内的电路图案由投影光学系统单元PL以缩小投影于晶圆W上的与照明区域共轭的照明光IL的照射区域(曝光区域),转印形成电路图案的缩小像(部分等立像)。
在投影光学系统单元PL的镜筒,供气管路50的一端与排气管路51的一端是各自连接。在供气管路50的他端,是连接于未图示的低吸收性气体供给装置,例如为氦气供给装置。又,排气管路51的他端,是连接外部的气体回收装置。然而,从氦气供给装置使高纯度的氦气经由供气管路50流通于投影光学系统单元PL的镜筒内部。此种场合,氦气是回收于气体回收装置。尚且,对于低吸收性气体使用氦气的理由,是与上述同样理由以外,由于投影光学系统单元PL的透镜材料使用热膨张是数较大的萤石(fluorite)的关系,考虑由于透镜吸收照明光IL所产生的温度上升会使透镜的成像特性劣化,是以使用冷却效果大的低吸收性气体为宜。
上述晶圆平台WST,是配置于晶圆室80内。此晶圆室80,是由在顶部的大略中央部分形成圆形开口71a的隔壁71加以形成。此隔壁71,是以不锈钢(SUS)等脱气体较少的材料加以形成。在隔壁71的顶部的开口71a内,是插入投影光学系统单元PL的镜筒的下端部。又,在隔壁71的顶壁的开口71a的周围与投影光学系统单元PL的凸缘部FLG之间,是以无间隙状态连接可挠性波形管(felxible bellows)97。依此,使晶圆室80的内部的气体与外部隔离。
在晶圆室80内,平台底板BS经由复数防震单元86大略支撑于水平,此等的防震单元86对从床面(floor)F传达于平台底板BS的微震动例如以μG程度加以绝缘。尚且,对于此防震单元86,也可以使用依据安装于平台底板BS的一部分的半导体加速度仪等震动感应器的输出以对平台底板BS积极制震的所谓主动防震装置。
上述晶圆平台WST,是经由晶圆支撑器(holder)以真空吸附等支撑晶圆W,例如包含由线性马达等未图示的晶圆驱动系统沿上述包含线性马达等未图示的晶圆驱动系统,沿上述底板BS的上面以自由自在于XY二维方向驱动。
如本实施例,在使用真空紫外域的曝光波长的曝光装置,为避免由氧气等的吸收性气体对曝光光的吸收,对于从投影光学系统单元PL至晶圆W的光路,有必要以氮或稀有气体取代。
在晶圆室80的隔壁71,是如图1所示,使供气管路41的一端与排气管路43的一端是各自连接。供气管路41的他端,是连接于未图示的低吸收性气体供给装置,例如为氦气供给装置。又,排气管路43的他端,是连接于外部的气体回收装置。然而,以与上述同样,在晶圆室80内使氦气以经常流通。
在晶圆室80的隔壁71的-Y侧的壁面,是设置光透过窗85。以与此同样,虽然省略图示,在壁71的-X侧(在图1的纸面的前侧)的侧壁也设置光透过窗。此等光透过窗,是在壁71所形成的窗部(开口部)藉由安装封闭该窗部的光透过构件,在此为安装一般的光学玻璃以构成。此种场合,为从构成光透过窗85的安装光透过构件的部分不产生气体漏出起见,在安装部分,是施加铟或铜等的金属密封或氟系树脂的密封。尚且,对于上述氟系树脂,是使用以80℃两小时加热施加脱气体处理者为宜。
在上述晶圆支撑器25的-Y侧的端部,是使由平面镜所构成的Y移动镜56Y延设于X轴方向,在此Y移动镜56Y,是从大略垂直配置于晶圆室80的外部的Y轴激光平涉仪57Y的测长光束经由光透过窗85投射,其反射光,是经由光透过窗85由Y轴激光干涉仪57Y内部的检测器受光,以Y轴激光干涉仪57Y内部的照镜的位置为基准检测Y移动镜56Y的位置,即晶圆W的Y位置。
以同样,虽然省略其图示,在晶圆支撑器25的+X侧的端部,由平面镜所构成的X轴移动镜延设于Y轴方向。然而,以经由此X移动镜由X轴激光干涉仪与上述同样检测X移动镜的位置,即晶圆W的X位置。上述两个激光干涉仪的检测值(计测值),是供给于平台控制系统90及以经此供给主控制装置70,平台控制系统90,是依据主控制装置70的指示,一面监视上述两个激光干涉仪的检测值一面以经由晶圆驱动系统进行晶圆平台WST的位置控制。
如此,在本实施例,由于激光干涉仪,即激光光源棱镜等的光学构件及检测器等,是配置于晶圆室80的外部,虽然从上述检测器等假使产生微量的吸收性气体时,以此对曝光不产生不良影响。
尚且,上述的投影光学系统单元PL的镜筒所连接的供气管路50的他端,及排气管路51的他端,是各自连接于未图示的氦气体供给装置,从氦气体供给装置经由供气管路50经常使高纯度的氦气体供给于投影光学系统单元PL的镜筒内,使该镜筒内部的气体经由排气管路51返回氦气体供给装置,依此,也可采用循环使用氮气的构成结构。此种场合,在氦气体供给装置,是以内存气体精制装置为宜。以此种结构时,由气体精装装置的作用,虽然由包含氦气体供给装置与投影光学系统单元PL内部的循环经路使氦气经长时间循环使用时,投影光学系统单元PL内的氦气以外的吸收性气体(氧气、水蒸气、有机物等)的浓度,是可维持于数ppm以下的浓度。又,此种场合,也可在投影光学系统单元PL内设置压力感应器,吸收性气体浓度感应器等的感应器,是据前述感应器的检测值,以经由未图示的控制装置适宜控制内装于氦气供给装置的泵的动作、停止等。
以同样,在晶圆室80,也可采用与上述同样的氦气循环经路。
其次,简单说明由上述情形所构成的曝光装置10的曝光动作流程。
首先,在主控制装置70的管理下,由光栅加载器(rectile loader)、晶圆加载器(wafer loader)进行光栅装载、晶圆装载,又,使用光栅定位系统、晶圆平台WST上的基准标识(mark)板、离轴(off-axis)、定位(alignement)检测系统(均省略图示)等,以所定顺序进行光栅定位、基线(base line)检测(从定位检测系统的检测中心至投影光学系统单元PL的光轴距离的检测等的准备作业。
其后,由主控制装置70,使用未图示的定位检测系统实行增强整体定位EGA(Enhanced Global Alignement)等的定位检测。以此种动作在需要晶圆移动的场合,基于主控制装置70的指示,平台控制系统90经由未图示的晶圆驱动系统,使支撑晶圆W的晶圆平台WST移动于所定方向。
尚且,关于上述光栅定位、基线(Baseline)计测等,例如是如同日本专利特开平7-176468号以及美国专利第5646413号所详细揭示,而且,关于EGA例如是如同日本专利特开昭61-44429号以及美国专利第4780617号所详细揭示。尚且,本国际申请所指定的指定国或选择国的国内法令的限制中,引用上述美国专利所揭示的内容并作为本说明书记载的一部分。
在上述EGA(定位检测)完成后,以如下的情形加以实行步进扫描(Step.And.Scan)方式的曝光动作。
在此曝光动作,首先,使晶圆W的XY位置,以成为晶圆W上的最初照射区域(first.shoot)的曝光的扫描开始位置(加速开始位置)的状态移动晶圆平台WST。在同时,以使光栅R的位置成为扫描开始位置的状态移动光平台RST。然而,由从主控制装置70的指示,平台控制系统90依据由光栅干涉仪是统69所检测的光栅R的位置资讯、及由晶圆侧的Y轴激光干涉仪57Y与X轴激光干涉仪所检测的晶圆W的位置资讯,藉由使光栅R(光栅平台RST)与晶圆W(晶圆平台WST)同步移动,以实行扫描曝光。
依此,对最初照射区域完成光栅图案转印时,使晶圆平台WST以一照射区域之分加以步进(stepping)于非扫描方向(X轴方向)从实行对其次的照射区域的扫描曝光。以如此,依序重复照射间步进动作与扫描曝光,在晶圆W上的复数照射区域转印光栅R的图案。
在上述的扫描曝光时,依据主控制装置70的指示,虽然由平台控制系统90实行对晶圆平台WST的光栅平台RST的追随控制,在此时随伴于光栅平台RST的移动的反力,是由上述框状构件18的移动消除(cancel)。以下,对止此点加以说明。
即,在上述的追随控制之际,当光栅平台RST驱动于X轴方向时,上述音圈马达30的可动元件与光栅平台RST成为一体驱动于X轴方向,此驱动力的反力作用于音圈马达30的定子(电枢单元1401、1402)及固定该定子的框状构件18。此种场合,由于框状构件18是对光栅平台平板16及照明系统侧板14经由所定间隙而不接触,由上述反力的作用,框状构件18是仅以依照动量守恒定律的距离移动于依照其反力的方向。藉由此框状构件18的移动吸收上述反力。此时,对于光栅平台RST的Y轴方向的位置,起因于上述X轴方向的驱动力的反力的偏摇力距有作用于框状构件18的情形。此种场合,框状构件18,是由其偏摇力距及X轴方向的反力的作用,依照动量守恒定律以吸收反力的状态随伴θz回转自由运动。
一方面,由于光栅平台RST为与晶圆平台WST同步,驱动于Y轴方向之际,Y轴线性马达1361、1362、1381、1382的各可动元件,是与光栅平台RST成为一体驱动于Y轴方向,各可动元件的驱动力的反力的合力作用于Y轴线性马达1361、1362、1381、1382的各定子及固定此等定子的框状构件18。在此种场合,也由上述反力的合力的作用,框状构件18是依照动量守恒定律,仅以吸收上述反力的合力的距离移动于依照反力的合力的方向。
又,使Y轴线性马达1361、1362与,Y轴线性马达1381、1382所产生的驱动力(推力)成为相异以将光栅平台RST以θz回转,此时,虽然偏摇力距有作用于框状构件18的情形,虽然在此种场合,框状构件18,也由于其偏摇力距及Y轴方向的反力的作用,是照动量守恒定律以吸收反力的状态加以随伴θz回转的自由运动。
又,由于在任何场合,也不产生包含框状构件18及光栅平台RST的系统的重心移动,偏负载亦不会作用在光栅平台平板16。
因此,以本实施例,在光栅平台RST的驱动时,可确实消除随伴于该光栅平台RST的驱动所产生的反力(X轴方向及Y轴方向的反力)及由该反力所产生的偏摇力距,可抑制随伴于光栅平台RST的驱动的震动。又,也可防止如上述的偏负载的产生的关系,可防止起因于此的光栅平台平板16的姿势变化等。
又,在本实施例,为消除上述的反力,在光栅平台平板16上使框状构件18移动之际,为使从基准位置的偏离量以不超过容许值的状态(即,例如,由框状构件18的移动,以不发生变成不能进行音圈马达30的控制,或,以经由框状构件18与光栅平台平板16间的间隙使外气混入于框状构件18内部的气密空间内的事态)例如在不影响于曝光的适当时机,由主控制装置70经由平台控制系统90使用上述的三个微调马达将框状构件18返回于所定的基准位置。
如以上详细说明,依照关于本实施例的光栅平台装置12时,光栅平台RST,一面浮动于光栅平台平板16的上方一面支撑光栅R可在包括Y轴及与此直交的X轴的二维面内的三自由度方向能沿光栅平台平板16移动,框状构件18,是一面浮动于光栅平台平板16的上方具有上述二维面内的三自由度。又,在框状构件18,是加设Y轴线性马达1361、1362、1381、1382的各定子(直线导板1361、1362、1381、1382)及音圈马达30的定子(电枢单元1401、1402),使Y轴线性马达1361、1362、1381、1382的各可动元件(磁极单元261、262、281、282)及音圈马达30的可动元件(永久磁铁30)设置于光栅平台RST。
因此,光栅平台RST,是由Y轴线性马达1361、1362、1381、1382或音圈马达30加以驱动于Y轴方向或X轴方向时,按照其驱动力的反力产生作用于定子(直线导板1361、1362、1381、1382)或定子(电枢单元1401、1402)。由此反力的作用框状构件18,大略依照动量守恒定律,移动于二维面内的三自由度方向。即,框状构件18完成平衡质量(counter mass)的任务。此种场合,由于由光栅平台RST的移动,大略可消除上述反力的同时,包含光栅平台RST及框状构件18的系统不产生重心移动,亦无偏负载作用在光栅平台平板16。因此,可谋求使载置光栅R的光栅平台RST的位置控制性的提升。
又,由于框状构件18是以围绕光栅平台RST的状态设置,必然成为大型化,其质量变大,由于可加以确保框状构件18与光栅平台RST的大质量比,框状构件18的移动行程能以较短就足够。又,在使框状构件18加以大型化的场合,也几乎无障碍。
又,由于在光栅平台RST是以在中立面CT的一部分形成光栅R的载置面的同时,从光栅干涉仪系统69的测长光束的光路的Z轴方向的位置一致于中立面CT的位置,与使用上述图12B所说明习知例相异,可使在光栅平台RST的变形时因于中立面CT与测长轴的偏离所产生的检测误差及测长轴与光栅R的图案面的位置偏离所相差的一种阿贝误差一起变成为大略为零,藉此可使光栅R的位置能以高精度进行检测。
又,使光栅平台RST驱动的第一驱动机构,是具有各自的一对可动元件(磁极单元261、262、281、282)与两对定子(直线导板1361、1362、1381、1382)。其中各自的一对可动元件(磁极单元261、262、281、282),是在光栅平台RST的光栅R的载置区域的X轴方向的一侧与他侧以中立面CT为基准各自对称的配置。两对定子(线性导向板1361、1362、1381、1382),是与该各可动元件各自协动以各自产生Y轴方向的驱动力。即,在X轴方向的一侧,他侧的任何侧,成为可动元件、光栅平台本体、可动元件的叠层结构的同时,此可动元件彼此是关于中立面CT成为对称的配置。此种场合,由于光栅平台RST的中立面是大略一致于其重心的高度位置(Z轴方向的位置),可使藉由与左右各一对的可动元件所对应的定子协动所产生的Y轴方向的驱动力的合力,能作用于光栅平台RST的重心位置。
又,由于上述各对的定子(直线导板1361、1362、1381、1382),是以上述中立面CT为基准各自对称配置,在使光栅平台RST沿光栅平台平板16驱动于Y轴方向时,由于供给于直线导板1361、1362、1381、1382的各电线圈的电流直线导板1361、1362、1381、1382的发热,虽然光栅平台RST有加热,对其发热部分,在起因于中立面CT的上侧、下侧所产生的双金属效果的光栅平台本体22的变形彼此可抵消,结果不产生起因于双金属效果的光栅平台RST的变形。
尚且,与本实施例相异,例如上述各一对的可动元件由电枢单元构成的场合,在使光栅平台RST沿光栅平台平板16驱动于Y轴方向时,由于供给于可动元件的电流在可动元件的发热虽然滑块(slider)有所加热,此种场合,也由于同样理由,不产生起因于双金属效果的光栅平台RST的变形。
因此,使光栅平台RST的Y轴方向的位置经由设于光栅平台RST的一对反射镜321、322由一对Y轴干涉仪69Y检测,依据其检测结果控制光栅平台RST的Y轴方向的位置的关系,可使光栅平台RST的Y轴方向的位置控制变成极为良好。
又,关于本实施例的光栅平台装置12,由于使从光栅干涉仪系统69的X轴方向的测光束所照射的反射面,设置于比使光栅平台RST驱动于Y轴方向的线性马达1361、1362较外侧,虽然起因于上述线性马达的发热在该线性马达周边的气体产生温度摇动时,对上述X轴方向的测光束不产生任何影响。藉此,可由X轴干涉仪69X以高精度实行光栅平台RST的X轴方向的位置检测。此种场合,从干涉仪69Y轴方向的测长光束,是与通常同样,可特别以无障碍照射在光栅平台RST所设的反射镜321、322的反射面(位置于几乎不受上述线性马达的发热影响的场所的反射面),可以良好精度的检测光栅平台RST的Y轴方向及X轴方向的位置,进而可谋求光栅平台RST的位置控制性的提升。
又,使从光栅干涉仪系统69的X轴方向的测长光束所照射的反射面124m,是形成于与光栅平台RST的载置光栅R的板状部24A另外的所长度的棒状反射镜部24B的端面,在形成该反射镜部24B的反射面124m的棒状部分124a的长度方向的两端部除外的部分设置补强部124b,该补强部124b的两端经由弹性铰链部124c、124d连结于板状部24A。因此,在反射面的弹性铰链部124c与弹性铰链部124d之间的部分,即,将主要使用于光栅平台RST的位置控制的部分的变形,可以极力抑制。
又,在光栅平台RST的Y轴方向的一侧及他侧的端部是各自设置延伸于Y轴方向的延设部24C1~24D2,在光栅平台RST的底面,从上述一侧的延设部至他侧的延设部的长度方向的所有全域形成气体静压轴承,采用从光栅平台平板16以不经配管对上述气静压轴承供给加压气体的构成结构。因此,光栅平台RST不需要以拖拉配管的状态驱动,由于在光栅平台以等速运动实行曝光中,几乎不需要支撑等速运动所必要的推力,因此不受线性马达的推力波动(ripple)及其他影响。
又,依照关于本实施例的曝光装置10时,如上所述,由于可以极良好确保光栅平台RST的位置控制性,因此可以提升光栅平台RST与晶圆平台WST的同步控制精度,藉此,可使形成于光栅R的图案能以高精度转印于晶圆W上。
又,依照曝光装置10时,由于可使包含照明单元IOP与投影光学系统单元PL之间的照明光IL的光路的空间成为以低吸收性气体(吸收照明光的特性比空气较小的特定气体)清除(purge)的清洁空间对外界大气加以隔离的隔壁,可容易使光栅平台RST周边的空间成为清洁空间,可以极力抑制在此清洁空间内的照明光IL的吸收。
尚且,在上述实施例,虽然使驱动光栅平台RST于Y轴方向的第一驱动机构由左右各一对的Y轴线性马达构成,使驱动光栅平台RST于X轴方向的第二驱动机构由音圈马达构成的结构,当然本发明并非限定于此。
又,在上述实施例,虽然以在反射镜部24B形成空洞部CH的场合加以说明,在反射镜部24B也可不形成中空部。又,虽然以反射镜部24B与板状部24A成形为一体加以说明,并不限于此,也可以另外的构件构成,在各构件之间以弹性铰链部连结。
尚且,在上述实施例,虽然在光栅平台装置12的上方,设置照明系统侧板14,例如使框状构件的上侧(照明系统侧)留照明光透过的窗部藉由封闭时,也可不设置照明系统侧板14能使光栅平台RST近傍维持某程度的气密空间。
又,在上述实施例,虽然使光栅平台RST以一体成形加以构成,本发明并非限定于此,也可使各部分以另外构件加以构成。
尚且,在上述实施例,虽然对以围绕光栅平台RST的框状构件兼用为使光栅平台周边的空间对外界大气隔离的隔壁的场合加以说明,本发明并非限定于此,也可使光栅平台RST及框状件收纳于室(光栅平台室)内,使光栅平台RST周边的空间由低吸收性气体取代。此种场合,对于框状构件在与光栅平台平板之间形成所定间隔,以仅构成能在二维面内(XY面内)移动即可,不必要如上述实施例的情形在框状构件的上面设置气体静压轴承机构。
尚且,在本实施例,关于本发明的平台装置虽然以适用于扫描型的VUV曝光装置的光栅平台装置的场合加以说明,并非限定于此,关于本发明的平台装置,是可以应用于不使用投影光学系统,将光栅与基板加以紧靠以使光掩膜图案转印于基板的接近(proximity)型的直线定位器(aligner)的光掩膜平台装置,或液晶用的总括转印方式的扫描型曝光装置等的光掩膜平台装置或者板状平台(plate stage)装置等。其他,对于EBPS方式的电子线曝光装置、使用波长5~30nm程度的软X线区域的光为曝光光的所谓EUVL等的曝光装置也可以适用关于本发明的平台装置。
其他,只要是为使载置物体(试样)的移动体可以驱动于所定的第一轴方向在直交于第一轴方向的第二轴方向及回转方向也必要微少驱动的装置时,并不限定于曝光装置,在其他精度机械等也适合应用关于本发明的平台装置。
尚且,在本实施例,对于照明光IL,虽然以使用ArF准分子激光光(波长193nm)或F2激光光(波长157nm)等的真空紫外光、KrF准分子激光光(波长248nm)等的远紫外光、从超高压水银灯的紫外域的辉线(g线、i线等),并不限定于此,也可使用Ar2激光光(波长126nm)等的其他真空紫外光。又,例如,作为真空紫外光不限定于上述各激光光,也可使用从DFB半导体激光器(semiconductor laser)或光纤维激光器(fiber laser)所震荡的红外域、或者使可视域的单一波长激光光,例如以掺入铒(Er)(或铒与镱(Yb)的两方)的纤维放大器(fiber amplifier)放大,以用非线形光学结晶加以波长变换于紫外光的高次谐波。更且,对于照明光IL不用紫外光等,也可使用X线(包含EUV光)或电子线或离子束等的带电粒子线等。
又,在上述实施例,对于投影光学系统单元PL虽然以使用缩小系统的场合加以说明,对于投影光学系统单元PL是以等倍系统及扩大系统的任何者均可。又,对于投影光学系统,对照明光,例如在使用Ar2激光光等的真空紫外光的场合等,例如在日本专利特开平3-282527号公报以及其对应的美国专利第5220454号、日本专利特开平8-171054号公报以及其对应的美国专利第5668672号以及日本专利特开平10-20195号公报以及其对应的美国专利第5835275号所提示,主要是以使用将折射光学元件与反射光学元件(凹面镜或射束分光镜(beam splitter)等)加以组合的所谓反折射系统(catadioptric system)或者仅由反射光学元件所构成的反射光学系统。尚且,本国际申请所指定的指定国或选择国的国内法令的限制中,引用上述美国专利所揭示的内容并作为本说明书记载的一部分。
尚且,在本实施例,本发明虽然以适用于半导体制造用的曝光装置的场合加以说明,并非限定于此,本发明是可广加适用于,例如,在角型玻璃板转印液晶显示元件图案的液晶用的曝光装置或,为制造薄膜磁头、撮影元件、有机EL、微机械(micro-machine)、DNA晶片等的曝光装置等。
又,不仅为半导体元件等的微元件,对于为制造在光曝光装置、EUV曝光装置、X线曝光装置、及电子线曝光装置等所使用的光栅或光掩膜,在玻璃基板或硅晶圆等加以转印电路图案的曝光装置也可以适用本发明。在此,在使用DUV(远紫外)光或VUV(真空紫外)光等的曝光装置,是一般以使用透射型光栅,对于光栅基板,是以使用石英玻璃、掺入氟的石英玻璃、萤石、氟化镁、或水晶等。
尚且,例如在国际公开WO99/49504号等所提示,在投影光学系统单元PL与晶圆的晶充满液体的液浸曝光装置也可以适用本发明。
<<元件制造方法>>
其次,对于将上述曝光装置在微影工序使用的元件制造方法的实施例加以说明。
图10是表示元件(IC或LSI等的半导体晶片、液晶面板、CCD、薄膜磁头、微机械等)的制造例的流程图。如图10所示,首先,在阶段201(设计阶段),进行元件的机能.性能设计(例如,半导体元件的电路设计等),实行为实现其机能的图案设计。接续,在阶段202(光掩膜制作阶段),制作形成所设计的电路图案的光掩膜。一方面,在阶段203(晶圆制造阶段),使用硅等的材料制造晶圆。
其次,在阶段204(晶圆处理阶段),使用在阶段201~阶段203所准备的光掩膜与晶圆,如后述由微影技术等在晶圆上形成实际的电路等。其次,在阶段205(元件组立阶段),使用在阶段204所处理的晶圆进行元件组立。在此阶段205,是按照需要包含切割(dicing)工序、结合(bonding)工序、及封装(packaging)工序(晶片封入)等的工序。
最后,在阶段206(检查阶段)、进行在阶段205所制作的元件的动作确认测试,耐久性测试等的检查。经此工序后完成元件制作,而可以出货。
图11,是表示在半导体元件的场合,上述阶段204的详细流程例。在图11,于阶段211(氧化阶段)是将晶圆的表面氧化。在阶段212(CVD阶段)是在晶圆表面形成绝缘膜。在阶段213(电极形成阶段)是在晶圆上以蒸镀形成电极。在阶段214(离子注入阶段)是在晶圆注入离子。在以上的阶段211~阶段214各个阶段,是构成晶圆处理的各阶段的前处理工序,在各阶段因应必要的处理而选择实行。
在晶圆工序的各阶段,上述的前处理完成时,如以下的情形实行后处理工序。在此后处理工序,首先,在阶段215(光刻胶形成阶段),使感光剂涂布于晶圆。接续,在阶段216(曝光阶段),由上述实施例的曝光装置10其他本发明的曝光装置217(显像阶段)使曝光的晶圆显像,在阶段218(蚀刻阶段),使有光刻胶残留部分以外的部分的曝光构件以蚀刻去除。然而,在阶段219(光刻胶去除阶段),去除完成蚀刻而成为不需要的光刻胶。
藉由重复此等前处理工序与后处理工序,在晶圆上形成多重的电路图案。
使用以上所说明的本实施例的元件制造方法时,由于在曝光工序(阶段216)使用上述实施例的曝光装置10等的本发明的曝光装置,可使光栅的图案以良好精度转印于晶圆上,结果,可以提升高积集度的元件的生产性(包含产量)。
产业上的可利用性
如以上说明,依照本发明的平台装置时,适于载置物体并移动。依照本发明的曝光装置时,适于将光掩膜上形成的图案转印至感光物体。而且,依照本发明的元件制造方法时,适合生产微元件。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的方法及技术内容作出些许的更动或修饰为等同变化的等效实施例,但是凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (5)

1.一种平台装置,其特征在于其包括:
平板;
滑块,在前述平板的上方浮动并支撑物体,并且可以沿前述平板于第一轴方向移动;以及
驱动机构具有两对可动元件,其中一对可动元件配置在前述滑块的载置前述物体的区域的第二轴方向的一侧,另一对可动元件配置在前述滑块的载置前述物体的区域的第二轴方向的另一侧,且各对可动元件以前述滑块的第三轴方向的中立面为基准各自对称配置,其中前述第一轴方向与前述第二轴方向直交,且前述第三轴方向分别与前述第一轴方向以及前述第二轴方向直交,与一对定子部,其中一定子部与前述可动元件的其中一对协动,且另一定子部与可动元件的其中另一对协动,以各自产生前述第一轴方向的驱动力。
2.根据权利要求1所述的平台装置,其特征在于其中每一定子部具有一对定子,所述的一对定子部各自以前述滑块的前述中立面为基准而各别对称的配置前述定子。
3.根据权利要求1所述的平台装置,其特征在于:
在前述滑块的第一轴方向的一侧的端部与第一轴方向的另一侧的端部各自设置延伸于第一轴方向的延设部,从前述第一轴方向的一侧的前述延设部至前述第一轴方向的另一侧的前述延设部在所有长度方向的全域形成气体静压轴承;以及
从前述平板,以不经由配管对前述气体静压轴承供给加压气体。
4.一种曝光装置,是使光掩膜与物体同步移动于所定方向以将形成于前述光掩膜的图案转印于前述物体的曝光装置,其特征在于其包括:
照明单元,由照明光照明前述光掩膜;
权利要求1所述的平台装置,将前述光掩膜载置于前述滑块;以及
投影光学系统单元,使从前述光掩膜所射出的前述照明光投射于前述物体上。
5.一种元件制造方法,是包含微影工序的元件制造方法,其特征在于其包括:
前述微影工序使用权利要求4所述的曝光装置进行曝光。
CN2007101638289A 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法 Expired - Lifetime CN101216673B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003037929 2003-02-17
JP2003037929 2003-02-17
JP2003-037929 2003-02-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800015124A Division CN100380585C (zh) 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法

Publications (2)

Publication Number Publication Date
CN101216673A CN101216673A (zh) 2008-07-09
CN101216673B true CN101216673B (zh) 2011-05-18

Family

ID=32866377

Family Applications (3)

Application Number Title Priority Date Filing Date
CNB2004800015124A Expired - Lifetime CN100380585C (zh) 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法
CN2007101638289A Expired - Lifetime CN101216673B (zh) 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法
CN2010106084746A Expired - Lifetime CN102103331B (zh) 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2004800015124A Expired - Lifetime CN100380585C (zh) 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2010106084746A Expired - Lifetime CN102103331B (zh) 2003-02-17 2004-01-26 平台装置与曝光装置,以及元件制造方法

Country Status (8)

Country Link
US (3) US7489389B2 (zh)
EP (4) EP3401947B1 (zh)
JP (3) JP4356114B2 (zh)
KR (2) KR101134958B1 (zh)
CN (3) CN100380585C (zh)
HK (2) HK1221553A1 (zh)
TW (1) TWI338323B (zh)
WO (1) WO2004073053A1 (zh)

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI338323B (en) * 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US7375800B2 (en) * 2004-09-09 2008-05-20 Nikon Corporation Non-contact pneumatic transfer for stages with small motion
CN101032068B (zh) * 2004-10-01 2010-12-22 株式会社尼康 线性电机、载台装置和曝光装置
US7869000B2 (en) * 2004-11-02 2011-01-11 Nikon Corporation Stage assembly with lightweight fine stage and low transmissibility
US7417714B2 (en) * 2004-11-02 2008-08-26 Nikon Corporation Stage assembly with measurement system initialization, vibration compensation, low transmissibility, and lightweight fine stage
KR20070085764A (ko) * 2004-11-04 2007-08-27 가부시키가이샤 니콘 미동 스테이지 z 지지 장치
JP2006211873A (ja) * 2005-01-31 2006-08-10 Canon Inc 移動体制御装置及び移動体制御方法
WO2006090517A1 (ja) * 2005-02-23 2006-08-31 Kyocera Corporation 移動体の速度検出装置及びこれを用いた駆動ステージ
US8638422B2 (en) 2005-03-18 2014-01-28 Nikon Corporation Exposure method, exposure apparatus, method for producing device, and method for evaluating exposure apparatus
US9280069B2 (en) * 2005-03-29 2016-03-08 Nikon Corporation Exposure apparatus, producing method of exposure apparatus, and producing method of microdevice
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
JP5040657B2 (ja) * 2005-10-24 2012-10-03 株式会社ニコン 露光装置、露光方法、デバイスの製造方法、デバイス組立方法
US7643130B2 (en) 2005-11-04 2010-01-05 Nuflare Technology, Inc. Position measuring apparatus and positional deviation measuring method
US7554107B2 (en) * 2005-11-04 2009-06-30 Nuflare Technology, Inc. Writing method and writing apparatus of charged particle beam, positional deviation measuring method, and position measuring apparatus
US20070267995A1 (en) * 2006-05-18 2007-11-22 Nikon Corporation Six Degree-of-Freedom Stage Apparatus
US7502103B2 (en) 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7804582B2 (en) * 2006-07-28 2010-09-28 Asml Netherlands B.V. Lithographic apparatus, method of calibrating a lithographic apparatus and device manufacturing method
US20080073596A1 (en) * 2006-08-24 2008-03-27 Asml Netherlands B.V. Lithographic apparatus and method
EP2068349A4 (en) * 2006-09-29 2011-03-30 Nikon Corp STAGE EQUIPMENT AND EXPOSURE DEVICE
US7889322B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Specimen inspection stage implemented with processing stage coupling mechanism
US7886449B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Flexure guide bearing for short stroke stage
US7603785B2 (en) * 2007-02-20 2009-10-20 Electro Scientific Industries, Inc. Air bearing assembly for guiding motion of optical components of a laser processing system
US8749753B2 (en) * 2007-04-27 2014-06-10 Nikon Corporation Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method
US20080285004A1 (en) * 2007-05-18 2008-11-20 Nikon Corporation Monolithic, Non-Contact Six Degree-of-Freedom Stage Apparatus
US20090015806A1 (en) * 2007-06-04 2009-01-15 Nikon Corporation Environmental control apparatus, stage apparatus, exposure apparatus and device manufacturing method
CN101828149B (zh) * 2007-10-19 2013-03-27 皇家飞利浦电子股份有限公司 具有精密位置测量的移位装置
US8964166B2 (en) * 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
US8063630B2 (en) * 2008-03-14 2011-11-22 Tdk Corporation Testing method for thin-film magnetic head and jig used therefor
TW200947149A (en) * 2008-04-11 2009-11-16 Nikon Corp Stage device, exposure device and device production method
JP5146183B2 (ja) * 2008-07-31 2013-02-20 株式会社ニコン 露光方法、デバイスの製造方法及び露光装置
US9304385B2 (en) * 2008-09-16 2016-04-05 Nikon Corporation Exposure method and device manufacturing method including selective deformation of a mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5375057B2 (ja) * 2008-12-05 2013-12-25 株式会社ニコン ステージ装置、露光装置及びデバイス製造方法
US8659746B2 (en) * 2009-03-04 2014-02-25 Nikon Corporation Movable body apparatus, exposure apparatus and device manufacturing method
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010131485A1 (ja) * 2009-05-15 2010-11-18 株式会社ニコン 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法
TWI512405B (zh) * 2009-08-07 2015-12-11 尼康股份有限公司 A moving body device, an exposure apparatus, and an element manufacturing method
JP5618261B2 (ja) * 2009-08-07 2014-11-05 株式会社ニコン 露光装置及びデバイス製造方法
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102375345B (zh) * 2010-08-18 2013-09-11 上海微电子装备有限公司 可动光学元件调节驱动装置
US20120064460A1 (en) * 2010-09-07 2012-03-15 Nikon Corporation Movable body apparatus, object processing device, exposure apparatus, flat-panel display manufacturing method, and device manufacturing method
NL2007279A (en) * 2010-09-28 2012-03-29 Asml Netherlands Bv Method for calibrating a target surface of a position measurement system, position measurement system, and lithographic apparatus.
JPWO2012115002A1 (ja) 2011-02-22 2014-07-07 株式会社ニコン 保持装置、露光装置、及びデバイスの製造方法
CN102789136B (zh) * 2011-05-19 2014-08-20 上海微电子装备有限公司 气浮支撑系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5689047B2 (ja) * 2011-10-12 2015-03-25 東京エレクトロン株式会社 基体処理システム用の基体搬送装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
USD702245S1 (en) * 2012-01-11 2014-04-08 Victor Susman Scanning frame
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9651849B2 (en) * 2012-03-16 2017-05-16 Toptica Photonics Ag Low outgassing resonator
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8772731B2 (en) * 2012-04-15 2014-07-08 Kla-Tencor Corporation Apparatus and method for synchronizing sample stage motion with a time delay integration charge-couple device in a semiconductor inspection tool
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
CN104641297B (zh) * 2012-09-18 2016-11-23 Asml荷兰有限公司 平台系统以及包括该平台系统的光刻设备
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9331624B2 (en) * 2013-02-25 2016-05-03 National Taiwan University Thrust ripple mapping system in a precision stage and method thereof
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI486569B (zh) * 2013-06-10 2015-06-01 Pegatron Corp 氣密測試設備及氣密測試方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101862311B1 (ko) * 2013-10-22 2018-05-29 에이피시스템 주식회사 기판 처리 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6286024B2 (ja) * 2014-03-07 2018-02-28 富士フイルム株式会社 トランジスタの製造方法
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
NL2015914A (en) * 2014-12-31 2016-09-29 Asml Holding Nv Lithographic apparatus with a patterning device environment
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11048178B2 (en) 2017-12-14 2021-06-29 Asml Netherlands B.V. Lithographic apparatus with improved patterning performance
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111381452B (zh) * 2018-12-29 2021-11-02 上海微电子装备(集团)股份有限公司 一种掩模板冷却装置及光刻设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN213304093U (zh) * 2021-03-19 2021-05-28 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏系统
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023104051A (ja) * 2022-01-17 2023-07-28 株式会社日立ハイテク ステージ装置、荷電粒子線装置及び真空装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1317725A (zh) * 2000-03-31 2001-10-17 株式会社尼康 曝光设备的光学元件保持装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780617A (en) 1984-08-09 1988-10-25 Nippon Kogaku K.K. Method for successive alignment of chip patterns on a substrate
JPS6144429A (ja) 1984-08-09 1986-03-04 Nippon Kogaku Kk <Nikon> 位置合わせ方法、及び位置合せ装置
JP2847883B2 (ja) 1990-03-30 1999-01-20 株式会社ニコン 反射屈折縮小投影光学系
US5220454A (en) 1990-03-30 1993-06-15 Nikon Corporation Cata-dioptric reduction projection optical system
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
JP3265503B2 (ja) 1993-06-11 2002-03-11 株式会社ニコン 露光方法及び装置
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US6246204B1 (en) * 1994-06-27 2001-06-12 Nikon Corporation Electromagnetic alignment and scanning apparatus
JPH08171054A (ja) 1994-12-16 1996-07-02 Nikon Corp 反射屈折光学系
JPH1020195A (ja) 1996-06-28 1998-01-23 Nikon Corp 反射屈折光学系
JP3155936B2 (ja) * 1997-06-26 2001-04-16 キヤノン株式会社 リニアモータとステージ装置及びこれを用いた走査型露光装置やデバイス製造方法
JP3548411B2 (ja) 1997-12-26 2004-07-28 キヤノン株式会社 ステージ装置、およびこれを用いた露光装置、ならびにデバイス製造方法
JPH11189332A (ja) * 1997-12-26 1999-07-13 Canon Inc ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
WO1999066542A1 (fr) * 1998-06-17 1999-12-23 Nikon Corporation Procede et dispositif d'exposition
JP3129293B2 (ja) * 1998-08-13 2001-01-29 日本電気株式会社 液晶表示装置
JP2000077503A (ja) * 1998-08-28 2000-03-14 Nikon Corp ステージ装置及び露光装置
TWI264617B (en) * 1999-12-21 2006-10-21 Asml Netherlands Bv Balanced positioning system for use in lithographic apparatus
US6281655B1 (en) * 1999-12-23 2001-08-28 Nikon Corporation High performance stage assembly
JP2002110523A (ja) * 2000-09-29 2002-04-12 Sumitomo Heavy Ind Ltd 露光装置
US20020075467A1 (en) * 2000-12-20 2002-06-20 Nikon Corporation Exposure apparatus and method
JP2002175963A (ja) * 2000-12-05 2002-06-21 Nikon Corp ステージ装置とその位置制御方法および露光装置並びに露光方法
JP2002217082A (ja) * 2001-01-12 2002-08-02 Nikon Corp ステージ装置及び露光装置
JP2003309055A (ja) * 2002-04-12 2003-10-31 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1317725A (zh) * 2000-03-31 2001-10-17 株式会社尼康 曝光设备的光学元件保持装置

Also Published As

Publication number Publication date
US20050248744A1 (en) 2005-11-10
WO2004073053A1 (ja) 2004-08-26
US9152060B2 (en) 2015-10-06
JP4356114B2 (ja) 2009-11-04
EP1596423A1 (en) 2005-11-16
US20160048084A1 (en) 2016-02-18
EP2560192B1 (en) 2018-03-21
EP1596423A4 (en) 2009-04-08
CN102103331A (zh) 2011-06-22
KR101134958B1 (ko) 2012-04-09
CN100380585C (zh) 2008-04-09
US20090122293A1 (en) 2009-05-14
JP4915431B2 (ja) 2012-04-11
EP1596423B1 (en) 2015-08-12
CN101216673A (zh) 2008-07-09
JPWO2004073053A1 (ja) 2006-06-01
KR20120007083A (ko) 2012-01-19
KR101313509B1 (ko) 2013-10-01
JP4985691B2 (ja) 2012-07-25
JP2009158974A (ja) 2009-07-16
HK1221553A1 (zh) 2017-06-02
EP3401947A1 (en) 2018-11-14
US10120288B2 (en) 2018-11-06
KR20050098842A (ko) 2005-10-12
HK1256632A1 (zh) 2019-09-27
EP3038138A1 (en) 2016-06-29
EP2560192A2 (en) 2013-02-20
EP3401947B1 (en) 2019-09-25
US7489389B2 (en) 2009-02-10
TW200421445A (en) 2004-10-16
EP2560192A3 (en) 2013-05-22
CN1717779A (zh) 2006-01-04
CN102103331B (zh) 2013-02-13
TWI338323B (en) 2011-03-01
JP2009158973A (ja) 2009-07-16
EP3038138B1 (en) 2018-07-18

Similar Documents

Publication Publication Date Title
CN101216673B (zh) 平台装置与曝光装置,以及元件制造方法
CN101980085B (zh) 曝光装置、曝光方法及组件制造方法
CN102681368B (zh) 图案形成方法及图案形成装置、以及元件制造方法
TWI470365B (zh) A moving body device, an exposure apparatus and an exposure method, and an element manufacturing method
CN102460304B (zh) 曝光设备及器件制造方法
JP2001217183A (ja) モータ装置、ステージ装置、露光装置及びデバイス製造方法
TW201137529A (en) Exposure apparatus and device fabricating method
CN104204955A (zh) 曝光装置、平面显示器的制造方法、及元件制造方法
CN104364881A (zh) 移动体装置、曝光装置以及器件制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20110518

CX01 Expiry of patent term