JPWO2004073053A1 - ステージ装置及び露光装置、並びにデバイス製造方法 - Google Patents

ステージ装置及び露光装置、並びにデバイス製造方法 Download PDF

Info

Publication number
JPWO2004073053A1
JPWO2004073053A1 JP2005504935A JP2005504935A JPWO2004073053A1 JP WO2004073053 A1 JPWO2004073053 A1 JP WO2004073053A1 JP 2005504935 A JP2005504935 A JP 2005504935A JP 2005504935 A JP2005504935 A JP 2005504935A JP WO2004073053 A1 JPWO2004073053 A1 JP WO2004073053A1
Authority
JP
Japan
Prior art keywords
slider
stage
mask
frame
stator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005504935A
Other languages
English (en)
Other versions
JP4356114B2 (ja
Inventor
柴崎 祐一
祐一 柴崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Publication of JPWO2004073053A1 publication Critical patent/JPWO2004073053A1/ja
Application granted granted Critical
Publication of JP4356114B2 publication Critical patent/JP4356114B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70758Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70766Reaction force control means, e.g. countermass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Abstract

ステージ(RST)は、定盤(16)の上方に浮上しつつレチクルを保持して2次元面内の3自由度方向に移動可能であり、枠状部材(18)は定盤の上方に浮上しつつ2次元面内の3自由度方向に移動可能である。枠状部材には第1固定子(1361〜1382)、第2固定子(1401,1402)が設けられ、ステージには第1固定子、第2固定子それぞれと協働してステージを2次元面内で駆動する駆動力を発生する第1可動子、第2可動子が設けられている。従って、ステージの駆動による反力は第1又は第2固定子に作用し、この反力により枠状部材が運動量保存則にほぼ従って2次元面内で移動する。これにより、ステージの移動による反力がほぼ完全にキャンセルされるとともに、ステージ及び枠状部材を含む系の重心移動が生じないので、定盤には偏荷重も作用しない。

Description

本発明は、ステージ装置及び露光装置、並びにデバイス製造方法に係り、更に詳しくは、定盤と、該定盤に沿って移動するスライダとを備えるステージ装置、該ステージ装置を備える露光装置、並びに前記露光装置を用いるデバイス製造方法に関する。
近年、半導体素子、液晶表示素子等を製造するリソグラフィ工程においては、マスク又はレチクル(以下、「レチクル」と総称する)とウエハ又はガラスプレート等の感光物体(以下、「ウエハ」と総称する)とを所定の走査方向(スキャン方向)に沿って同期移動しつつ、レチクルのパターンを投影光学系を介してウエハ上に転写する、ステップ・アンド・スキャン方式の走査型露光装置(いわゆるスキャニング・ステッパ(スキャナ)とも呼ばれる)などが比較的多く用いられるようになってきた。走査型露光装置は、ステッパなどの静止型露光装置に比べると、大フィールドをより小さな投影光学系で露光できる。このため、走査型露光装置には、投影光学系の製造が容易であるとともに、大フィールド露光によるショット数の減少により高スループットが期待でき、さらに投影光学系に対してレチクル及び基板を相対走査することで平均化効果があり、ディストーションや焦点深度の向上が期待できる等の種々のメリットがある。
しかるに、走査型露光装置では、ウエハ側に加え、レチクル側にも、レチクルを駆動する駆動装置が必要である。最近の走査型露光装置では、レチクル側の駆動装置として、レチクル定盤上にエアベアリング等により浮上支持され、走査方向に直交する非走査方向(非スキャン方向)の両側に配置された1対のリニアモータによって、走査方向に所定ストローク範囲で駆動されるレチクル粗動ステージと、該レチクル粗動ステージに対して、スキャン方向及び非スキャン方向及びヨーイング方向にボイスコイルモータ等によって微少駆動されるレチクル微動ステージとを有する粗微動構造のレチクルステージ装置が用いられている。
また、レチクルステージの駆動に応じてリニアモータの固定子に生じる反力がレチクル定盤の振動要因や姿勢変化の要因となるのを極力抑制するため、前記反力を受けて、運動量保存則に従って、例えばレチクルステージのスキャン方向に延設されたリニアモータの固定子(リニアガイド)に沿って、レチクルステージとは反対方向に移動するカウンタマス(錘部材)を有するカウンタマス機構が設けられたレチクルステージ装置もある。
しかしながら、従来の走査型露光装置が採用するレチクルステージ装置では、以下のような改善すべき点が種々存在する。
a. 微動ステージ駆動用のモータの固定子が設けられた固定子キャリアと定盤との間にサイドガイドがあるため、レチクル微動ステージ(レチクル)の非スキャン方向の位置決め時の反力及びヨーイングモーメント、並びに粗動ステージの駆動時に生じるモーメントが、サイドガイドを介して定盤に伝達され、これが定盤の振動要因となり、結果的にレチクルの位置制御精度(位置決め精度を含む)を悪化させていた。
b. レチクル微動ステージ及びレチクル粗動ステージには、電流供給のための配線や、バキュームチャックのための真空排気用の配管及びエアベアリングに対する加圧空気の供給用の配管などが接続されていた。このため、レチクル微動ステージ及びレチクル粗動ステージが移動する際には、上記の配線、配管が引きずられ、それら配線、配管の張力が、結果的にレチクルの位置制御精度(位置決め精度を含む)を悪化させる要因となっていた。
c. レチクル微動ステージ周辺の機械的な振動、熱的要因によるステージ曲げがレチクル微動ステージの位置計測誤差の要因となっていた。一例として、図12Aに示されるように、レチクル微動ステージRSTに設けられた移動鏡169を介してレチクル微動ステージRST(レチクルR)の位置を測長軸LXを有する干渉計で測定する場合について考える。この場合において、レチクルステージRSTに図12Bに示されるような変形が生じたものとすると、干渉計によって計測される位置情報にΔMの計測誤差(一種のアッベ誤差)が生じることとなる。なお、図12A、図12Bにおいて、符号CRは、レチクル微動ステージRSTの中立面(曲げ中立面)を示す。
d. さらに、レチクル微動ステージの変形が、移動鏡の変形(曲がり)要因となり、レチクル微動ステージの位置計測精度、ひいては位置制御精度の低下を招いていた。
e. また、特にカウンタマス機構を備えるレチクルステージ装置では、カウンタマス(錘部材)とレチクルステージとの質量比を十分大きく確保することが困難であった。その理由は、上記従来のカウンタマス機構では、カウンタマスはリニアガイドの軸上に重心を配置する必要があるため、カウンタマスの質量を大きくするためには、カウンタマスをリニアガイドの軸方向に延長するか、リニアガイドを中心として軸直交面内で放射方向の距離が一律に大きくなるようにする必要があり、レイアウトの都合上、自ずと制限があるためである。このようにカウンタマス(錘部材)とレチクルステージとの質量比を十分大きく確保することが困難であったことから、カウンタマスのストロークが大きくなり、配管を引きずることの影響や、重心移動による局部的なボディ変形が無視できず、位置制御性の低下の要因となっていた。
f. この他、レチクルステージの周辺部材の配置、形状などが複雑で、その周辺空間は入り組んだ開放空間となっていたため、空調効率が悪く、空気揺らぎ(空気の温度揺らぎ)などによって干渉計計測精度、ひいてはレチクルの位置制御性を悪化させる要因ともなっていた。さらに、Fレーザなどの真空紫外光を露光用照明光として用いる場合には、レチクル周辺においても雰囲気気体を不活性ガスで置換するガスパージを行わなければならないが、上記のレチクルステージの周辺部材の配置、形状などの複雑化のために、その設計が非常に困難なものとなっていた。
本発明は、かかる事情の下になされたもので、その第1の目的は、特に、物体が載置される移動体の位置制御性の向上を図ることができるステージ装置を提供することにある。
また、本発明の第2の目的は、高精度な露光を実現することが可能な露光装置を提供することにある。
また、本発明の第3の目的は、高集積度のデバイスの生産性を向上させることが可能なデバイス製造方法を提供することにある。
本発明は、第1の観点からすると、定盤と;前記定盤の上方に浮上しつつ物体を保持して第1軸及びこれに直交する第2軸を含む2次元面内の3自由度方向に前記定盤に沿って移動可能なスライダと;前記定盤の上方に浮上しつつ前記2次元面内の3自由度を少なくとも有し、前記スライダを取り囲む枠状部材と;前記枠状部材に設けられた第1固定子と、該第1固定子と協働して前記スライダを前記第1軸方向に駆動する駆動力を発生する第1可動子とを含む第1駆動機構と;前記枠状部材に設けられた第2固定子と、前記第2固定子と協働して前記スライダを前記第2軸方向に駆動する駆動力を発生する第2可動子とを含む第2駆動機構と;を備える第1のステージ装置である。
ここで、固定子と可動子とが「協働する」とは、固定子と可動子との間の何らかの物理的相互作用(例えば電気磁気的な相互作用など)を行って駆動力を発生することを意味する。本明細書では、かかる意味で協働なる用語を用いるものとする。
これによれば、スライダは、定盤の上方に浮上しつつ物体を保持して第1軸及びこれに直交する第2軸を含む2次元面内の3自由度方向に前記定盤に沿って移動可能であり、枠状部材は、定盤の上方に浮上しつつ前記2次元面内の3自由度を少なくとも有している。枠状部材には、第1固定子、第2固定子が設けられ、第1固定子と協働してスライダを第1軸方向に駆動する駆動力を発生する第1可動子、第2固定子と協働してスライダを第2軸方向に駆動する駆動力を発生する第2可動子がスライダに設けられている。従って、スライダが第1駆動機構又は第2駆動機構により第1軸方向又は第2軸方向に駆動されると、その駆動力に応じた反力が第1固定子又は第2固定子に生じる(作用する)。この反力の作用により枠状部材が、運動量保存則にほぼ従って、2次元面内の3自由度方向に移動する。すなわち、枠状部材がカウンタマスの役目を果たす。この場合、枠状部材の移動により、前記反力がほぼ完全にキャンセルされるとともに、スライダ及び枠状部材を含む系の重心移動が生じないので、定盤には偏荷重も作用しない。従って、物体が載置されるスライダの位置制御性の向上を図ることができる。また、この場合、枠状部材はスライダを取り囲む状態で設けられているので、必然的に大型化し、その質量が大きくなり、枠状部材とスライダとの大きな質量比を確保することができ、枠状部材の移動ストロークは比較的短くて足りる。また、枠状部材を大型化する場合に、その支障は殆どない。
この場合において、第1駆動機構、第2駆動機構の構成は種々考えられるが、例えば前記第1駆動機構は、少なくとも2つのリニアモータを含み、前記第2駆動機構は、少なくとも1つのボイスコイルモータを含むこととすることができる。
本発明の第1のステージ装置では、前記スライダの位置を計測する干渉計システムを更に備え、前記スライダには、中立面の一部に前記物体の載置面が形成されるとともに、前記干渉計システムからの測長ビームの光路の前記2次元面に直交する第3軸方向の位置が前記中立面の位置に一致していることとすることができる。かかる場合には、前述の図12Bを用いて説明した、スライダの変形の際に中立面と測長軸とのずれに起因して生じる位置計測誤差ΔMを略零にすることができる。
本発明の第1のステージ装置では、前記スライダの第1軸方向の一側及び他側の端部には第1軸方向に延びる延設部がそれぞれ設けられ、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、前記定盤から配管を介さないで前記気体静圧軸受に対して加圧気体が供給されることとすることができる。
本発明は、第2の観点からすると、マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、前記マスクを照明光により照明する照明ユニットと;前記マスクが前記物体として前記スライダ上に載置される本発明の第1のステージ装置と;前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える第1の露光装置である。
これによれば、本発明の第1のステージ装置を備えているので、スライダの位置制御精度、ひいてはマスクの位置制御精度を向上することが可能である。したがって、マスクに形成されたパターンを感光物体に高精度に転写することが可能となる。
この場合において、前記照明ユニットと前記投影光学系ユニットとの間の前記照明光の光路を含む空間が前記照明光を吸収する特性が空気に比べて小さい特定ガスでパージされるパージ空間とされるとともに、前記枠状部材が前記パージ空間を外気に対して隔離する隔壁を兼ねていることとすることができる。かかる場合には、従来と異なり、マスク周辺の空間を容易にパージ空間とすることができる。
この場合において、前記枠状部材の前記照明ユニットとは反対側の前記定盤に対向する側の面に、前記特定ガスを加圧気体として用いる第1の気体静圧軸受が、ほぼ全周に渡って設けられていることとすることができる。
この場合において、前記枠状部材の前記照明ユニット側の面に前記特定ガスを加圧気体として用いる第2の気体静圧軸受が、ほぼ全周に渡って設けられ、前記枠状部材の照明ユニット側の面のほぼ全面に対向してかつ所定のクリアランスを介して配置され、前記第2の気体静圧軸受からの加圧気体が噴き付けられるプレートを、更に備えることとすることができる。
本発明の第1の露光装置では、前記枠状部材が前記パージ空間を外気に対して隔離する隔壁を兼ねている場合に、前記枠状部材の前記照明ユニットとは反対側の前記定盤に対向する側の面に、真空吸引と加圧気体の噴出しとを同時に行う差動排気型の第1の気体静圧軸受が、ほぼ全周に渡って設けられていることとすることができる。
この場合において、前記枠状部材の前記照明ユニット側の面に、真空吸引と加圧気体の噴出しとを同時に行う差動排気型の第2の気体静圧軸受が、ほぼ全周に渡って設けられ、前記枠状部材の照明ユニット側の面のほぼ全面に対向してかつ所定のクリアランスを介して配置され、前記第2の気体静圧軸受からの加圧気体が噴き付けられるプレートを、更に備えることとすることができる。
本発明の第1の露光装置では、前記枠状部材が前記パージ空間を外気に対して隔離する隔壁を兼ねている場合において、前記スライダの位置を計測する干渉計システムを更に備える場合には、前記干渉計システムから前記パージ空間内の前記スライダに向かう測長ビームの光路上に位置する前記枠状部材の側面部分に開口部を形成するとともに、該開口部を閉塞するカバーガラスを設置することとすることができる。
本発明は、第3の観点からすると、定盤と;前記定盤の上方に浮上しつつ物体を保持して前記定盤に沿って移動可能なスライダと;前記スライダの前記物体が載置される領域の第1軸方向に直交する第2軸方向の一側と他側とに前記スライダの中立面を基準としてそれぞれ対称に配置された各一対の可動子と、当該各対の可動子と個別に協働して前記第1軸方向の駆動力をそれぞれ発生する一対の固定子部とを有する駆動機構と;を備える第2のステージ装置である。
これによれば、スライダを駆動する駆動機構が、スライダの物体が載置される領域の第2軸方向の一側と他側とにスライダの中立面を基準としてそれぞれ対称に配置された各一対の可動子と、当該各対の可動子と個別に協働して第1軸方向の駆動力をそれぞれ発生する一対の固定子部とを有している。すなわち、第2軸方向の一側、他側のいずれにおいても、可動子、スライダ、可動子の積層構造になっているとともに、その可動子同士は、スライダの中立面に関して対称の配置となっている。この場合、スライダの中立面は、その重心の高さ位置(第1軸及び第2軸に直交する第3軸方向の位置)に略一致しているので、左右各一対の可動子と対応する固定子との協働によって発生する第1軸方向の駆動力の合力が、スライダの重心位置に作用することとなる。従って、スライダの少なくとも第1軸方向の位置制御性の向上、及びスライダの第2軸回りの回転の抑制が可能である。
また、例えば、可動子が電機子ユニットで構成される場合には、スライダを定盤に沿って第1軸方向に駆動する際に、可動子に供給される電流による可動子の発熱によりスライダが加熱されたとしても、その発熱部分では、中立面の上側、下側に生じるバイメタル効果に起因するスライダの変形同士が相殺され、結果的にバイメタル効果に起因するスライダの変形が生じることがない。
従って、特に、スライダの位置をスライダに設けられた反射面を介して干渉計により計測する場合には、その位置制御性を良好なものとすることができる。
この場合において、前記一対の固定子部のぞれぞれは、前記スライダの中立面を基準としてそれぞれ対称に配置されていることとすることができる。
本発明の第2のステージ装置では、前記スライダの第1軸方向の一側及び他側の端部には第1軸方向に延びる延設部がそれぞれ設けられ、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、前記定盤から配管を介さないで前記気体静圧軸受に対して加圧気体が供給されることとすることができる。
本発明は、第4の観点からすると、マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、前記マスクを照明光により照明する照明ユニットと;前記マスクが前記物体として前記スライダ上に載置される本発明の第2のステージ装置と;前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える第2の露光装置である。
これによれば、本発明の第2のステージ装置を備えているので、スライダの位置制御精度、ひいてはマスクと感光物体との同期精度を向上させることが可能である。従って、マスクに形成されたパターンを感光物体に高精度に転写することが可能となる。
本発明は、第5の観点からすると、定盤と;前記定盤の上方に浮上しつつ物体を保持して第1軸及びこれに直交する第2軸を含む2次元面内を前記定盤に沿って移動可能なスライダと;前記スライダを前記第1軸方向に駆動するリニアモータを含む駆動機構と;前記スライダに設けられた反射面に測長ビームを照射してその反射光に基づいて前記第1軸方向及び第2軸方向の位置を計測する干渉計システムと;を備え、前記干渉計システムからの前記第2軸方向の測長ビームが照射される反射面が、前記リニアモータより外側に位置する前記スライダの一部に設けられていることを特徴とする第3のステージ装置である。
これによれば、干渉計システムからの第2軸方向の測長ビームが照射される反射面が、スライダを第1軸方向に駆動するリニアモータよりも外側に設けられているので、リニアモータの発熱に起因して該リニアモータ周辺の気体に温度揺らぎが生じても、その第2軸方向の測長ビームにはなんらの影響も生じないので、干渉計によるスライダの第2軸方向の位置計測を高精度で行うことが可能となる。この場合、干渉計からの第1軸方向の測長ビームは、通常と同様に、スライダに設けられた別の反射面(前記リニアモータの発熱の影響を殆ど受けない場所に位置する反射面)に特に支障なく照射することができるので、スライダの第1軸方向及び第2軸方向の位置を精度良く計測することが可能となり、ひいてはスライダの位置制御性の向上を図ることが可能となる。
この場合において、前記反射面は、前記スライダの前記物体が載置される第1部分とは別の所定長さの棒状の第2部分の端面に形成され、該第2部分にはその長手方向の両端部を除く部分に補強部が設けられ、該補強部の両端が弾性ヒンジ部をそれぞれ介して前記第1部分に連結されていることとすることができる。
この場合において、前記第1部分には、前記一方の弾性ヒンジ部から前記第1部分と反対側に所定距離だけ離れた位置に、別の弾性ヒンジ部が更に設けられていることとすることができる。
本発明の第3のステージ装置では、スライダの第1部分と、弾性ヒンジ部と、第2部分とは、全てを一体成形しても良いし、いずれか1つを他と別部材で構成しても良いし、全てを別部材で構成しても良い。
本発明の第3のステージ装置では、前記スライダの第1軸方向の一側及び他側の端部には第1軸方向に延びる延設部がそれぞれ設けられ、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、前記定盤から配管を介さないで前記気体静圧軸受に対して加圧気体が供給されることとすることができる。
本発明は、第6の観点からすると、マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、前記マスクを照明光により照明する照明ユニットと;前記マスクが前記物体として前記スライダ上に載置される本発明の第3のステージ装置と;前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える第3の露光装置である。
これによれば、本発明の第3のステージ装置を備えているので、スライダの位置制御精度、ひいてはマスクと感光物体との同期精度を向上させることが可能である。従って、マスクに形成されたパターンを感光物体に高精度に転写することが可能となる。
本発明は、第7の観点からすると、載置面に物体を載置して移動可能なスライダと;前記スライダの前記載置面に対して対称に配置された一対の第1可動子と;前記一対の第1可動子とは異なり、前記スライダの前記載置面に対して対称に配置された一対の第2可動子と;前記一対の第1可動子及び前記一対の第2可動子と協働して、前記スライダを第1軸方向に駆動する一対の固定子部と;を備える第4のステージ装置である。
これによれば、一対の第1可動子と対応する固定子部との協働、及び一対の第2可動子と対応する固定子部との協働によって、それぞれ発生する第1軸方向の駆動力の合力が、スライダの重心位置近傍に作用させることが可能となる。従って、スライダの少なくとも第1軸方向の位置制御性の向上、及びスライダの第2軸回りの回転の抑制が可能である。
この場合において、前記載置面は前記スライダの中立面と一致していることとすることができる。
本発明の第4のステージ装置では、前記一対の固定子部のそれぞれは、前記載置面に対して対称に配置されていることとすることができる。
本発明の第4のステージ装置では、前記スライダに接続された第1部分と、該第1部分と協働する第2部分とを有し、前記スライダを前記第1軸とは異なる第2軸に駆動する駆動装置を、更に備えることとすることができる。
この場合において、前記一対の固定子部と前記駆動装置の前記第2部分とを保持する枠状部材を、更に備えることとすることができる。
この場合において、前記枠状部材は、前記スライダを駆動した際に発生する反力により駆動されることとすることができる。
この場合において、前記スライダは定盤上に設けられていることとすることができる。
この場合において、前記枠状部材は前記定盤上に設けられていることとすることができる。
本発明の第4のステージ装置では、前記スライダは反射面を有し、該反射面に測長ビームを照射して前記スライダの前記第2軸方向の位置を検出する位置検出装置を、更に備えることとすることができる。
この場合において、前記一対の固定子部のそれぞれは、前記測長ビームを包囲しない位置に設けられていることとすることができる。
本発明は、第8の観点からすると、マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、前記マスクを照明光により照明する照明ユニットと;前記マスクが前記物体として前記スライダ上に載置される本発明の第4のステージ装置と;前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える第4の露光装置である。
これによれば、本発明の第4のステージ装置を備えているので、スライダの位置制御精度、ひいてはマスクの位置制御精度を向上することが可能である。したがって、マスクに形成されたパターンを感光物体に高精度に転写することが可能となる。
本発明は、第9の観点からすると、物体を保持して移動可能なスライダと;前記スライダに接続された移動子と、該移動子と協働する固定子とを有し、前記スライダを第1軸方向に沿って駆動する駆動装置と;前記固定子を保持する保持部と重量部とを有し、前記スライダを駆動した際に発生する反力により駆動されるカウンタマスと;前記重量部と前記固定子との間に位置するように前記スライダに設けられた反射部材と;前記反射部材に測長ビームを照射して前記スライダの位置を検出する位置検出装置と;を備える第5のステージ装置である。
これによれば、駆動装置によりスライダが第1軸方向に沿って駆動されると、この駆動の際に発生する反力によりカウンタマスが運動量保存則にほぼ従って移動する。このカウンタマスの移動により、前記反力がほぼ完全にキャンセルされるとともに、スライダ及びカウンタマスを含む系の重心移動が生じないので、スライダ及びカウンタマスを支持する支持部材に偏荷重も作用しない。また、位置検出装置から重量部と前記固定子との間に位置するように前記スライダに設けられた反射部材に測長ビームが照射されて、そのスライダの位置が計測される。すなわち、位置検出装置からの測長ビームが照射される反射部材が、スライダを第1軸方向に駆動する駆動装置の固定子よりも外側に設けられているので、その駆動装置の発熱に起因して駆動装置周辺の気体に温度揺らぎが生じても、その測長ビームにはなんらの影響も生じないので、スライダの高精度な位置検出が可能となる。従って、物体が載置されるスライダの位置制御性の向上を図ることができる。
この場合において、前記スライダは、前記スライダの中立面に前記物体を載置する載置部を有していることとすることができる。
本発明の第5のステージ装置では、前記スライダは定盤上に設けられていることとすることができる。
この場合において、前記カウンタマスは前記定盤上に設けられていることとすることができる。
本発明は、第10の観点からすると、マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、前記マスクを照明光により照明する照明ユニットと;前記マスクが前記物体として前記スライダ上に載置される本発明の第5のステージ装置と;前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える第5の露光装置である。
これによれば、本発明の第5のステージ装置を備えているので、スライダの位置制御精度、ひいてはマスクの位置制御精度を向上することが可能である。したがって、マスクに形成されたパターンを感光物体に高精度に転写することが可能となる。
本発明は、第11の観点からすると、反射部材が設けられ、物体を保持して移動可能なスライダと;前記スライダに接続された移動子と、該移動子と協働する固定子とを有し、前記スライダを第1軸方向に沿って駆動する駆動装置と;透明部を有し、前記固定子を保持して前記スライダを駆動した際に発生する反力により駆動されるカウンタマスと;前記透明部を介して前記反射部材に測長ビームを照射して前記スライダの位置を検出する位置検出装置と;を備える第6のステージ装置である。
これによれば、駆動装置によりスライダが第1軸方向に沿って駆動されると、この駆動の際に発生する反力によりカウンタマスが運動量保存則にほぼ従って移動する。このカウンタマスの移動により、前記反力がほぼ完全にキャンセルされるとともに、スライダ及びカウンタマスを含む系の重心移動が生じないので、スライダ及びカウンタマスを支持する支持部材に偏荷重も作用しない。また、位置検出装置により、カウンタマスの透明部を介して反射部材に測長ビームを照射してスライダの位置が検出されるので、位置検出装置をカウンタマスの外部に配置しても支障なくスライダの位置を精度良く検出することが可能となる。また、位置検出装置をカウンタマスの内部に配置する場合に生じる蓋然性の高い不都合(例えば位置検出装置を構成する光学部材及びディテクタ等から発生するアウトガスが、カウンタマス内部の雰囲気に悪影響を及ぼすことなど)の発生を防止することが可能となる。
この場合において、前記スライダは、前記スライダの中立面に前記物体を載置する載置部を有していることとすることができる。
本発明の第6のステージ装置では、前記スライダは定盤上に設けられていることとすることができる。
この場合において、前記カウンタマスは前記定盤上に設けられていることとすることができる。
本発明は、第12の観点からすると、マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、前記マスクを照明光により照明する照明ユニットと;前記マスクが前記物体として前記スライダ上に載置される本発明の第6のステージ装置と;前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える第6の露光装置である。
これによれば、本発明の第6のステージ装置を備えているので、スライダの位置制御精度、ひいてはマスクの位置制御精度を向上することが可能である。したがって、マスクに形成されたパターンを感光物体に高精度に転写することが可能となる。
また、リソグラフィ工程において、本発明の第1〜第6の露光装置のいずれかを用いて露光を行うことにより、感光物体上にマスクに形成されたパターンを精度良く転写することができ、これにより、より高集積度のマイクロデバイスを歩留まり良く製造することができる。従って、本発明は、更に別の観点からすると、本発明の第1〜第6の露光装置のいずれかを用いるデバイス製造方法であるとも言える。
図1は、本発明の一実施形態に係る露光装置の構成を概略的に示す図である。
図2は、図1のレチクルステージ装置を示す斜視図である。
図3は、図2のレチクルステージ装置を示す分解斜視図である。
図4Aは、レチクルステージを斜視図にて示す図であり、図4Bは、レチクルステージの断面図である。
図5A,図5Bは、レチクルステージに設けられたミラー部の構成及び効果を説明するための図である。
図6A,図6Bは、軸受装置の構成を説明するための図である。
図7は、レチクルステージ装置のXZ断面図である。
図8は、レチクルステージ装置のYZ断面図である。
図9は、枠状部材の下面側について説明するための図である。
図10は、本発明に係るデバイス製造方法を説明するためのフローチャートである。
図11は、図10のステップ204の具体例を示すフローチャートである。
図12A,図12Bは、従来技術を説明するための図である。
以下、本発明の一実施形態を図1〜図9に基づいて説明する。
図1には、一実施形態に係る露光装置10の概略構成が示されている。この露光装置10は、ステップ・アンド・スキャン方式の走査型露光装置、すなわち、いわゆるスキャニング・ステッパ(「スキャナ」とも呼ばれる)である。後述するように本実施形態では、投影光学系ユニットPLが設けられており、以下においては、この投影光学系ユニットPLを構成する投影光学系の光軸AX方向をZ軸方向(第3軸方向)、これに直交する面内でマスク(及び物体)としてのレチクルRと感光物体としてのウエハWとが相対走査される方向(図1における紙面左右方向)をY軸方向(第1軸方向)、これらZ軸及びY軸に直交する方向(図1における紙面直交方向)をX軸方向(第2軸方向)として説明を行なう。
この露光装置10は、照明ユニットIOP、レチクルRをY軸方向に所定のストロークで駆動するとともに、X軸方向、Y軸方向及びθz方向(Z軸回りの回転方向)に微少駆動するステージ装置としてのレチクルステージ装置12、投影光学系ユニットPL、ウエハWをXY平面内でXY2次元方向に駆動するウエハステージWST、及びこれらの制御系等を備えている。
前記照明ユニットIOPは、光源及び照明光学系を含み、その内部に配置された視野絞り(マスキングブレード又はレチクルブラインドとも呼ばれる)で規定される矩形又は円弧状の照明領域にエネルギビームとしての照明光ILを照射し、回路パターンが形成されたレチクルRを均一な照度で照明する。照明ユニットIOPと同様の照明系は、例えば特開平6−349701号公報及びこれに対応する米国特許第5,534,970号などに開示されている。ここでは、照明光ILとしては、ArFエキシマレーザ光(波長193nm)あるいはFレーザ光(波長157nm)などの真空紫外光が用いられるものとする。なお、照明光ILとして、KrFエキシマレーザ光(波長248nm)などの遠紫外光、超高圧水銀ランプからの紫外域の輝線(g線、i線等)を用いることも可能である。なお、本国際出願で指定した指定国又は選択した選択国の国内法令が許す限りにおいて、上記米国特許における開示を援用して本明細書の記載の一部とする。
ところで、真空紫外域の波長の光を露光光とする場合には、その光路から酸素、水蒸気、炭化水素系のガス等の、かかる波長帯域の光に対し強い吸収特性を有するガス(以下、適宜「吸収性ガス」と呼ぶ)を排除する必要がある。このため、本実施形態では、照明ユニットIOPの内部の照明光ILの光路上の空間に、真空紫外域の光に対する吸収が空気(酸素)に比べて少ない特性を有する特定ガス、例えば窒素、及びヘリウム、アルゴン、ネオン、クリプトンなどの希ガス、又はそれらの混合ガス(以下、適宜「低吸収性ガス」と呼ぶ)を満たしている。この結果、照明ユニットIOP内の光路上の空間は吸収性ガスの濃度が数ppm以下の濃度となっている。
前記レチクルステージ装置12は、照明ユニットIOPの下端部の外周にOリング等のシール部材99を介して接続された環状の取り付け部101を有するプレートとしての照明系側プレート(キャッププレート)14の図1における下方に配置されている。照明系側プレート14は、略水平に不図示の支持部材によって支持され、そのほぼ中央部には照明光ILの光路(通路)となる矩形の開口14aが形成されている。
レチクルステージ装置12は、図1及びレチクルステージ装置12の斜視図である図2からわかるように、前記照明系側プレート14の下方に所定間隔を隔ててほぼ平行に配置された定盤としてのレチクルステージ定盤16、該レチクルステージ定盤16と照明系側プレート14との間に配置されたスライダとしてのレチクルステージRST、該レチクルステージRSTを取り囲む状態でレチクルステージ定盤16と照明系側プレート14との間に配置された枠状部材(重量部)18、及びレチクルステージRSTを駆動するレチクルステージ駆動系等を備えている。
レチクルステージ定盤16は、不図示の支持部材によって略水平に支持されている。このレチクルステージ定盤16は、図2の分解斜視図である図3に示されるように、概略板状の部材から成り、そのほぼ中央には、突部16aが形成されている。この突部16aのほぼ中央には、照明光ILを通過させるためのX軸方向を長手方向とする矩形開口16bが、突部16aの上面とレチクルステージ定盤16の下面とを連通する状態で形成されている。レチクルステージ定盤16の下面側には、図1に示されるように、矩形開口16bの周囲を取り囲む状態で、Vリング又は伸縮自在のベローズなどのシール部材98を介して投影光学系ユニットPLの鏡筒部の上端が接続されている。
前記レチクルステージRSTは、図4Aに示されるような特殊な形状のレチクルステージ本体22及び該レチクルステージ本体22に固定された各種磁極ユニット(これについては後述する)等を備えている。
レチクルステージ本体22は、平面視(上方から見て)概略矩形の板状部24Aと、該板状部24Aの−X端部に設けられたミラー部24Bと、板状部24AのY軸方向の一側及び他側の端部からそれぞれY軸方向に突設された各一対の延設部24C,24C,24D,24Dとを備えている。
前記板状部24Aのほぼ中央部には、照明光ILの通路となる開口がその中央(内部底面)に形成された段付き開口22aが形成されている。該段付き開口22aの段部(1段掘り下げられた部分)には、レチクルRを下側から複数点(例えば3点)で支持する複数(例えば3つ)のレチクル支持部材34が設けられている。
本実施形態では、レチクルRは、そのパターン面(下面)が、レチクルステージ本体22(レチクルステージRST)の中立面CTに略一致する状態で、複数の支持部材34によって支持されるようになっている。すなわち、レチクルRの載置面(載置部)は、レチクルステージRSTの中立面CTにほぼ一致している(図4B参照)。
また、各レチクル支持部材34にそれぞれ対応して、板状部24Aのレチクル支持部材34近傍部分には、複数(例えば3つ)のレチクル固定機構36が設けられている。各レチクル固定機構36は、XZ断面がL字状の形状を有し、L字の角部に設けられた軸(Y軸方向の回転軸)を中心として回動自在に板状部24Aに取り付けられた固定部材を、それぞれ備えている。各固定部材は、レチクルRがレチクル支持部材34上に載置された際に、図1のステージ制御系90によって駆動される不図示の駆動機構を介して、それぞれ所定方向に回転駆動されることで、レチクル支持部材34との間でレチクルRを狭持することにより、レチクルRを機械的に固定する。この場合、固定部材が、レチクルRを支持部材34側に押圧する方向に、不図示の付勢手段によって常時付勢される構成を採用しても良い。
なお、レチクル支持部材34及びレチクル固定機構36に代えて、あるいはこれとともに、バキュームチャックや静電チャックなどの各種チャックを用いることは可能である。
前記ミラー部24Bは、図4A及び図5Aを総合すると分かるように、Y軸方向を長手方向とする概略角柱状の形状を有し、その中心部分には軽量化を図るための断面円形の空洞部CH(図4A参照)が形成された棒状部分124aと、該棒状部分124aの長手方向の両端部を除く部分の+X側に一体的に形成された中実の補強部124bとを有している。棒状部分124aの−X側の端面は鏡面加工が施された反射面(反射部材)124mとされている。
ミラー部24Bと板状部24Aとの間は、図5Aに示されるヒンジ部124c,124dにより2箇所で局所的に連結されている。なお、実際には、板状部24Aとミラー部24B、ヒンジ部124c,124dを含むレチクルステージ本体部22は、一体成形(例えば、一つの部材を削り出すことにより成形)されているが、以下の説明では、説明を分かり易くするため、必要に応じて各部が別部材であるかのような表現をも用いるものとする。勿論、上記各部のいずれか1つを他と別部材で構成しても良いし、全てを別部材で構成しても良い。
これを更に詳述すると、図5Aに示されるように、ミラー部24Bは、板状部24Aの−X側端部に、補強部124bの±Y側面の2箇所に設けられたヒンジ部124c,124d、すなわち回転支点を介して、連結されている。この場合、2つのヒンジ部124c,124dを結ぶ線CSが、ミラー部24Bの水平面内の曲げに際しての中立面となる。
これにより、何らかの要因によりミラー部24Bに曲げ応力が生じた場合、図5Bに示されるように、両ヒンジ部124c,124dの外側の範囲(A及びA’)部分のみに曲げ変形(撓み)が生じ、露光中に実際に計測に用いられる範囲(範囲B)については、曲げ変形をほぼ確実に抑制することが可能となっている。
本実施形態では、さらに、図5Aに示されるように、2箇所のヒンジ部124c,124dのうちの一方のヒンジ部124cから+X方向に所定距離だけ離れた位置に同様のヒンジ部124eが設けられている。これにより、図5Bに示されるように、板状部24AにY軸方向への伸び(ΔL)が生じた場合であっても、ヒンジ部124cとヒンジ部124eとの間の部分124fが、ヒンジ部124cを中心として回転するような部分的な変形が生じるので、ミラー部24Bの反射面124mに対して板状部24Aの変形が与える影響が極力抑制されるようになっている。すなわち、ヒンジ部124c,124eに挟まれた部分124fは、一種のフレクシャとしての機能を有している。
レチクルステージ本体22の板状部24Aの−Y側端部には、図4Aに示されるように、2つの凹部24g,24gが形成され、該凹部24g,24gのそれぞれには、レトロリフレクタ32,32がそれぞれ設けられている。
前記4つの延設部24C,24C,24D,24Dは、図4Aに示されるように、概略板状の形状を有し、各延設部には強度向上のための断面三角形状の補強部が設けられている。レチクルステージ本体22の底面には、延設部24Cから延設部24Dに至るY軸方向の全域に渡る第1の気体静圧軸受が形成され、延設部24Cから延設部24Dに至るY軸方向の全域に渡る第2の気体静圧軸受が形成されている。
次に、第1の気体静圧軸受及び第2の気体静圧軸受について図6A及び図6Bに基づいて説明する。
図6Aには、レチクルステージ本体22を下面側から見た平面図(底面図)が示されている。この図6Aから分かるように、延設部24C,24D及びその間の部分を含むレチクルステージ本体22の底面には、3つの溝が形成されている。これらの溝のうちX軸方向の中央に位置する溝は、Y軸方向に延びる幹溝55Aと、該幹溝55AのX軸方向両側に連通状態とされ、かつY軸方向に所定間隔で形成されたT字状の複数の表面絞り溝55Bと、から構成される給気溝56とされている。幹溝55Aと表面絞り溝55Bとは、図6AのD−D線断面図である図6Bに示されるように、幹溝55Aの方が表面絞り溝55Bよりも深く形成されている。
一方、前記3つの溝のうちの残りの2つの溝は、Y軸方向に延びる排気溝57A,57Bとされている。これら排気溝57A,57Bは、前記幹溝55Aとほぼ同一の断面形状を有している。
一方、図6B(及び図3)に示されるように、これら3つの溝56、57A,57Bそれぞれの少なくとも一部に対向してレチクルステージ定盤16には、凸部16aの上面に、3つの開口(58,59A,59B)がそれぞれ形成されている。これら3つの開口のうち中央に位置する開口が給気口58とされ、両端に位置する開口が排気口59A,59Bとされている。給気口58は、図6Bに示される給気管路60を介して不図示の気体供給装置に接続されている。気体供給装置からは例えばヘリウムなどの希ガス又は窒素等の低吸収性ガスが供給される。また、排気口59A,59Bは、排気管路61A,61Bを介して不図示の真空ポンプに接続されている。
本実施形態では、不図示の気体供給装置から給気管路60を介して低吸収性ガスが供給されると、この低吸収性ガスは、図6Bに示されるように、給気口58から給気溝56の幹溝55Aに供給され、該幹溝55AのY方向全域に行き渡る。低吸収性ガスが更に供給され続けると、給気溝56の複数の表面絞り溝55Bから低吸収性ガスがレチクルステージ定盤16の上面に噴き付けられる。
このとき、真空ポンプにより排気管路61A,61Bを介して吸引動作が行われていると、レチクルステージRSTとレチクルステージ定盤16との間の気体が排気口59A,59Bを介して外部に排気される。このため、左右の表面絞り溝55Bから排気溝57A,57Bへ向かう低吸収性ガスの流れが、レチクルステージRSTとレチクルステージ定盤16との間の隙間に生じ、一定の流速、圧力の低吸収性ガスを常時流し続けることにより、前記隙間内の加圧ガスの静圧(いわゆる隙間内圧力)が一定となって、レチクルステージRSTとレチクルステージ定盤16との間に例えば数μm程度のクリアランスが形成され、維持される。すなわち、本実施形態では、給気溝56、排気溝57A,57B、給気口58、給気管路60、排気口59A,59B、排気管路61A,61Bが形成されたレチクルステージ本体22底面の部分によって、不図示の気体供給装置からの加圧気体が、レチクルステージ定盤16から配管を介さないで供給される第1の差動排気型の気体静圧軸受が実質的に構成されている。
延設部24C,24D及びその間の部分を含むレチクルステージ本体22の底面にも、前述した幹溝55A及び複数の表面絞り溝55Bから構成される給気溝56と、その両側の排気溝57A、57Bとが形成され、これら3つの溝を含んで前述と同様にして、不図示の気体供給装置からの加圧気体が、レチクルステージ定盤16から配管を介さないで供給される第2の差動排気型の気体静圧軸受が実質的に構成されている。
このように、本実施形態では、第1、第2の差動排気型の気体静圧軸受の表面絞り溝55Bからレチクルステージ定盤16の上面を介して噴き付けられる加圧気体の静圧と、レチクルステージRST全体の自重とのバランスにより、レチクルステージ定盤16の上面の上方に数ミクロン程度のクリアランスを介して、レチクルステージRSTが非接触で浮上支持されるようになっている。
図2に戻り、前記枠状部材18の上面には、概略環状の凹溝(環状凹溝)83,85が二重に形成されている。このうちの内側の環状凹溝83には、その内部に複数の給気口(不図示)が形成され、外側の環状凹溝85には、複数の排気口(不図示)が形成されている。なお、以下においては内側の環状凹溝83を「給気溝83」、外側の環状凹溝85を「排気溝85」と呼ぶものとする。
給気溝83の内部に形成された給気口は、不図示の給気管路及び給気管を介して窒素又は希ガスなどの低吸収性ガスを供給する不図示のガス供給装置に接続されている。また、排気溝85の内部に形成された排気口は、不図示の排気管路及び排気管を介して不図示の真空ポンプに接続されている。
また、この枠状部材18の底面には、該枠状部材18を上下反転して斜視図にて示す図9から分かるように、概略環状の凹溝(環状凹溝)82,84が二重に形成されている。このうちの内側の環状凹溝82には、その内部に複数の給気口(不図示)が形成され、外側の環状凹溝84には、複数の排気口(不図示)が形成されている。なお、以下においては内側の環状凹溝82を「給気溝82」、外側の環状凹溝84を「排気溝84」と呼ぶものとする。
給気溝82の内部に形成された給気口は、給気管路及び給気管を介して窒素又は希ガスなどの低吸収性ガスを供給する不図示のガス供給装置に接続されている。また、排気溝84の内部に形成された排気口は、排気管路及び排気管を介して不図示の真空ポンプに接続されている。
従って、ガス供給装置と真空ポンプとが作動状態にあるときは、枠状部材18の底面に形成された給気溝82からレチクルステージ定盤16の上面に加圧気体(低吸収性ガス)が噴き付けられ、この噴き付けられた加圧気体の静圧により枠状部材18の自重が支えられ、枠状部材18がレチクルステージ定盤16の上面の上方に数μm程度のクリアランスを介して浮上支持される。この場合も、そのクリアランス内のガスは、排気溝84を介して真空ポンプの吸引力により外部に排気される。この場合、給気溝82から排気溝84に向かうガスの流れが生じている。このため、そのクリアランスを介して枠状部材18の内部に外気が混入するのが効果的に阻止されている。
このように、枠状部材18の底面の全体により、実質的に、レチクルステージ定盤16の上面の上方に枠状部材18を浮上支持する差動排気型の気体静圧軸受が構成されている。
また、ガス供給装置と真空ポンプとが作動状態にあるときは、枠状部材18の上面に形成された給気溝83から照明系側プレート14の下面に加圧気体(低吸収性ガス)が噴き付けられるとともに、照明系側プレート14と枠状部材18との間のクリアランス内のガスは、排気溝85を介して真空ポンプの吸引力により外部に排気される。この場合、給気溝83から排気溝85に向かうガスの流れが生じている。このため、そのクリアランスを介して枠状部材18の内部に外気が混入するのが効果的に阻止されている。また、この場合、噴き付けられた加圧気体の静圧と真空吸引力とのバランスによって、枠状部材18と照明系側プレート14との間にクリアランスが維持される。すなわち、枠状部材18の上面の全体により、実質的に、枠状部材18と照明系側プレート14との間のクリアランスを維持する差動排気型の気体静圧軸受が構成されている。
また、本実施形態の場合、枠状部材18とレチクルステージ定盤16との間の前述のクリアランス(すなわち軸受隙間)は、枠状部材18上下の差動排気型の気体静圧軸受が枠状部材18に及ぼす力、枠状部材18全体の自重との総合的なバランスによって、実際には決定される。
このように、枠状部材18と照明系側プレート14との間のクリアランス、及びレチクルステージ定盤16と枠状部材18との間のクリアランスが前述のガスの流れによって気密化され、更に、前述したように、投影光学系ユニットPLの上端部とレチクルステージ定盤16との間が前述のシール部材98により接続されているので(図7,図8参照)、枠状部材18により囲まれた空間内は非常に気密度が高い空間となっている。以下、枠状部材18により囲まれた空間を、便宜上「気密空間」と呼ぶものとする。
本実施形態のように、真空紫外域の露光波長を使用する露光装置では、酸素等の吸収性ガスによる露光光の吸収を避けるために、照明ユニットIOPから投影光学系ユニットPLまでの光路、すなわち前述の気密空間内(の光路)についても窒素や希ガスで置換する必要がある。
この場合、枠状部材18の側壁に給気管、排気管をそれぞれ接続し、給気管を介して前述の気密空間に低吸収性ガスを供給し、排気管を介して内部のガスを外部に排気することとすれば良い。
この他、枠状部材18に接続された不図示の給気管内を流れる窒素又は希ガスの一部を、枠状部材18内で給気管路の一部から分岐された給気枝管を介して上記気密空間内に流入させることによって気密空間内に窒素又は希ガスが供給され、その一方で、排気管路の一部から分岐された排気枝管を介して、気密空間内のガスが排気されるような構成を採用しても良い。このようにすれば、上記気密化に併せて、レチクルRが保持された空間内を露光光の吸収の少ない窒素又は希ガス等により置換することが可能となる。
なお、気密空間に供給されるガスとしてヘリウムガスを用いる場合には、ガス排気機構を介してヘリウムガスを回収した後、不純物を除去後、再利用することが望ましい。
前記レチクルステージ駆動系は、図2に示されるように、枠状部材18の内部に、Y軸方向にそれぞれ架設された一対の固定子ユニット(一対の固定子部)36、38を含んで構成され、レチクルステージRSTをY軸方向に駆動するとともにθz方向(Z軸回りの回転方向)に微小駆動する第1駆動機構と、枠状部材18の内部の一方の固定子ユニット38の+X側にY軸方向に架設された固定子ユニット40を含んで構成され、レチクルステージRSTをX軸方向に微小駆動する第2駆動機構と、を備えている。
前記一方の固定子ユニット36は、レチクルステージ装置12の分解斜視図である図3に示されるように、Y軸方向を長手方向とする電機子ユニットから成る一対の第1固定子としてのY軸リニアガイド136,136と、これらのY軸リニアガイド136,136をY軸方向(長手方向)の一端部と他端部で保持する一対の固定部材(保持部)152とを備えている。この場合、一対の固定部材152により、Y軸リニアガイド136,136は、Z軸方向(上下方向)に所定間隔をあけて相互に対向してかつXY面にそれぞれ平行に保持されている。一対の固定部材152のそれぞれは、前述の枠状部材18のY軸方向一側と他側の内壁面(側壁の内面)に固定されている。
前記Y軸リニアガイド136,136は、図3及びレチクルステージ装置のXZ断面図を示す図7からも分かるように、断面矩形(長方形)の非磁性材料から成るフレームを有し、その内部には、Y軸方向に所定間隔で複数の電機子コイルが配設されている。
前記他方の固定子ユニット38も上記一方の固定子ユニット36と同様に構成されている。すなわち、固定子ユニット38は、Y軸方向を長手方向とする上下一対の電機子ユニットから成る第1固定子としてのY軸リニアガイド138,138と、これらのY軸リニアガイド138,138をZ軸方向に所定間隔を維持した状態で両端部にて固定する一対の固定部材(保持部)154とを備えている。一対の固定部材154のそれぞれは、前述の枠状部材18のY軸方向一側と他側の内壁面に固定されている。
前記Y軸リニアガイド138,138は、前述のY軸リニアガイド136,136と同様に構成されている(図7参照)。
Y軸リニアガイド136,138と、Y軸リニアガイド136,138との間には、図7に示されるように、それぞれ所定のクリアランスを介して、レチクルステージRSTが配設されている。Y軸リニアガイド136,136にそれぞれ対向して、レチクルステージRSTの上面、下面には、一対の第1可動子としての磁極ユニット26,26がそれぞれ埋め込まれ、Y軸リニアガイド138,138に対向して、レチクルステージRSTの上面、下面には、一対の第1可動子としての磁極ユニット28,28がそれぞれ埋め込まれている。
磁極ユニット26,26のそれぞれは、図4Bに示されるように、前述のレチクルステージ本体22の板状部24Aの段付き開口22aの−X側に、レチクルステージ本体22の中立面CTを基準として対称に上下面側にそれぞれ形成された凹部24e,24e内に配置されている。
この場合、Y軸リニアガイド136,136は、上記中立面CTを基準としてほぼ対称な位置に位置している。
前記一対の磁極ユニット26,26は、磁性体部材と、該磁性体部材の表面にY軸方向に沿って所定間隔で配置された複数の界磁石とを、それぞれ備えている。複数の界磁石は、隣り合う界磁石同士で逆極性とされている。従って、磁極ユニット26の上方の空間にはY軸方向に沿って交番磁界が形成され、磁極ユニット26の下方の空間にはY軸方向に沿って交番磁界が形成されている。
同様に、前記一対の磁極ユニット28,28のそれぞれは、図4Bに示されるように、前述のレチクルステージ本体22の板状部24Aの段付き開口22aの+X側に、レチクルステージ本体22の中立面CTを基準として対称に上下面側にそれぞれ形成された凹部24f,24f内に配置されている。また、一対の磁極ユニット28,28は、段付き開口22aのX軸方向の中心位置(レチクルステージRSTの重心のX軸方向位置とほぼ一致)を通るZ軸に関して、磁極ユニット26,26とほぼ左右対称の配置となっている。
また、前記Y軸リニアガイド138,138は、中立面CTを基準としてほぼ対称な位置に位置している。
前記一対の磁極ユニット28,28は、磁性体部材と、該磁性体部材の表面にY軸方向に沿って所定間隔で配置された複数の界磁石とを、それぞれ備えている。複数の界磁石は、隣り合う界磁石同士で逆極性とされている。従って、磁極ユニット28の上方の空間にはY軸方向に沿って交番磁界が形成され、磁極ユニット28の下方の空間にはY軸方向に沿って交番磁界が形成されている。
本実施形態では、上述した固定子ユニット36、38(2対のY軸リニアガイド136,136、138、138を含む)と2対の磁極ユニット26、26、28、28とによって第1駆動機構が構成されている。この第1駆動機構によると、Y軸リニアガイド136,136内の電機子コイルに電流が供給されることにより、磁極ユニット26,26の発生する磁界と電機子ユニット136,136を流れる電流との間の電磁相互作用によってY軸方向の電磁力(ローレンツ力)が発生し、このローレンツ力の反力が磁極ユニット26,26(レチクルステージRST)をY軸方向に駆動する駆動力となる。
同様に、Y軸リニアガイド138,138内の電機子コイルに電流が供給されることにより、磁極ユニット28,28の発生する磁界とY軸リニアガイド138,138を流れる電流との間の電磁相互作用によってY軸方向の電磁力(ローレンツ力)が発生し、このローレンツ力の反力が磁極ユニット26,26(レチクルステージRST)をY軸方向に駆動する駆動力となる。
本実施形態の場合、レチクルステージRSTの中立面CTを基準として、磁極ユニット26と26、磁極ユニット28と28がそれぞれ対称に配置され、これらの磁極ユニットに対応するY軸リニアガイド136と136、Y軸リニアガイド138,138も中立面CTを基準として上下対称に配置されている。このため、Y軸リニアガイド136,136,138,138の電機子コイルそれぞれに同一の電流を供給することにより、磁極ユニット26,26,28,28のそれぞれに同一の駆動力が与えられ、レチクルステージRSTの中立面CT(図4B参照)上の2箇所にY軸方向の駆動力(磁極ユニット26,26の駆動力の合力、磁極ユニット28,28の駆動力の合力)を作用させることができ、これにより、レチクルステージRSTにはピッチングモーメントが極力作用しないようになっている。
また、この場合、磁極ユニット26と26、磁極ユニット28と28とは、X軸方向に関しても、レチクルステージRSTの重心近傍位置に関してほぼ対称に配置されているので、レチクルステージRSTの重心から等距離の2箇所に上記のY軸方向の駆動力が作用するので、該2箇所に同一の力を発生させることでレチクルステージRSTの重心位置近傍にY軸方向の駆動力の合力を作用させることが可能となっている。従って、レチクルステージRSTにはヨーイングモーメントが極力作用しないようになっている。
なお、上記と反対に、左右のY軸方向の駆動力を異ならせることにより、レチクルステージRSTのヨーイングを制御することもできる。
これまでの説明から明らかなように、磁極ユニット26,26と、対応するリニアガイド136,136とによりレチクルステージRSTをY軸方向に駆動する一対のムービングマグネット型のY軸リニアモータが構成され、磁極ユニット28,28と、対応するY軸リニアガイド138,138とによりレチクルステージRSTをY軸方向に駆動する一対のムービングマグネット型のY軸リニアモータが構成されている。なお、以下においては、これらY軸リニアモータを各Y軸リニアモータを構成するリニアガイドと同一の符号を用いて、「Y軸リニアモータ136,136、138,138」とも記述するものとする。
左右各一対のY軸リニアモータ136,136、及び138,138によって、前述の第1駆動機構が構成されている。
前記固定子ユニット40は、図3に示されるように、Y軸方向を長手方向とする一対の第2固定子としての電機子ユニット140,140と、これらの電機子ユニット140,140をY軸方向(長手方向)の一端部と他端部で保持する一対の固定部材156とを備えている。この場合、一対の固定部材156により、電機子ユニット140,140は、Z軸方向(上下方向)に所定間隔をあけて相互に対向してかつXY面にそれぞれ平行に保持されている。一対の固定部材156のそれぞれは、前述の枠状部材18のY軸方向一側と他側の内壁面に固定されている。
電機子ユニット140,140は、図7からも分かるように、断面矩形(長方形)の非磁性材料から成るフレームを有し、その内部には、電機子コイルが配置されている。
電機子ユニット140,140相互間には、図7に示されるように、それぞれ所定のクリアランスを介して、レチクルステージRSTのX軸方向の端部に固定された第2可動子としての断面矩形(長方形)の板状の永久磁石30が配置されている。永久磁石30に代えて、平板状の磁性体部材とその上下面にそれぞれ固定された一対の平板状の永久磁石とから成る磁極ユニットを用いても良い。
この場合、永久磁石30、並びに電機子ユニット140,140は、中立面CTを基準としてほぼ対称な形状及び配置となっている(図4B及び図7参照)。
従って、永久磁石30によって形成されるZ軸方向の磁界と電機子ユニット140,140をそれぞれ構成する電機子コイルをY軸方向に流れる電流との間の電磁相互作用によりX軸方向の電磁力(ローレンツ力)が発生し、このローレンツ力の反力が永久磁石30(レチクルステージRST)をX軸方向に駆動する駆動力となる。
この場合、電機子ユニット140,140をそれぞれ構成する電機子コイルに同一の電流を供給することにより、レチクルステージRSTの中立面CT(図4B参照)上の位置にX軸方向の駆動力を作用させることができ、これにより、レチクルステージRSTにはローリングモーメントが極力作用しないようになっている。
上述のように、電機子ユニット140,140と永久磁石30とにより、レチクルステージRSTをX軸方向に微小駆動可能なムービングマグネット型のボイスコイルモータが構成されている。なお、以下においては、このボイスコイルモータを該ボイスコイルモータを構成する可動子、すなわち永久磁石の符号を用いてボイスコイルモータ30とも呼ぶものとする。このボイスコイルモータ30によって、第2駆動機構が構成されている。
本実施形態では、さらに、前述の枠状部材18の+X側面及び+Y側面には、図3に示されるように、磁極ユニットから成る可動子60,60,60が設けられている。これらの可動子(第1部分)60,60,60に対応してレチクルステージ定盤16には、支持台64,64,64を介して、電機子ユニットから成る固定子(第2部分)62,62,62が設けられている。
前記可動子60,60は、その内部に永久磁石を備えており、Z軸方向の磁界を形成する。前記固定子62,62は、その内部に電機子コイルを有し、前記Z軸方向の磁界中を電流がY軸方向に流れるようになっている。従って、固定子62,62内の電機子コイルにY軸方向の電流が供給されることにより、可動子60,60にはX軸方向への駆動力(ローレンツ力の反力)が作用することとなる。すなわち、可動子60と固定子62とにより、ムービングマグネット型のボイスコイルモータから成るX軸方向駆動用のトリムモータが構成され、可動子60と固定子62とにより、ムービングマグネット型のボイスコイルモータから成るX軸方向駆動用のトリムモータが構成されている。
また、前記可動子60は、その内部に永久磁石を備えており、Z軸方向の磁界を形成する。前記固定子62は、その内部に電機子コイルを有し、前記Z軸方向の磁界中を電流がX軸方向に流れるようになっている。従って、固定子62内の電機子コイルにX軸方向の電流が供給されることにより、可動子60にはY軸方向への駆動力(ローレンツ力の反力)が作用することとなる。すなわち、可動子60と固定子62とによりムービングマグネット型のボイスコイルモータから成るY軸方向駆動用のトリムモータが構成されている。
このように、これら3つのトリムモータを用いることにより、枠状部材18をX軸方向、Y軸方向、及びθz方向の3自由度方向に駆動することが可能である。
前記枠状部材18の−X側の側壁のほぼ中央には、図3に示されるように、凹状部18aが形成されている。この凹状部18aには枠状部材18の内部と外部とを連通する矩形開口18bが形成され、該矩形開口18bには、窓ガラス(透明部)gが嵌め込まれている。また、枠状部材18の−Y側の側壁には、枠状部材18の内部と外部とを連通する矩形開口18cが形成され、該開口18cには、窓ガラス(透明部)gが嵌め込まれている。これらの窓ガラスg、gは、その取り付け部分からのガス漏れが生じないように、取り付け部分には、インジウムや銅等の金属シールや、フッ素系樹脂による封止(シーリング)が施されている。なお、上記フッ素系樹脂としては、80℃で2時間、加熱し、脱ガス処理が施されたものを使うことが望ましい。
前記窓ガラスgの外側(−X側)には、図7から分かるように、レチクルステージRSTのミラー部24Bの反射面124mに対向して位置検出装置であるX軸レーザ干渉計69Xが設けられている。このX軸レーザ干渉計69Xからの測長ビームが窓ガラスgを介してミラー部24Bの反射面124mに対して投射され、その反射光が窓ガラスgを介してX軸レーザ干渉計69X内に戻る。この場合、測長ビームの光路のZ軸方向の位置は、前述の中立面CTの位置に一致している。
また、図7に示されるように、投影光学系ユニットPLの鏡筒の上端部近傍には、固定鏡Mrxが取付部材92を介して設けられている。X軸レーザ干渉計69Xからの参照ビームはレチクルステージ定盤16に形成された貫通孔(光路)71を介して、固定鏡Mrxに対して投射され、その反射光がX軸レーザ干渉計69X内に戻る。X軸レーザ干渉計69Xでは、測長ビームの反射光、参照ビームの反射光を内部の光学系により同軸にかつ同一の偏光方向の光に合成し、両反射光の干渉光を内部のディテクタによって受光する。そして、その干渉光によってディテクタの受光面に生じる干渉縞のカウント値に基づいて、X軸レーザ干渉計69Xは、レチクルステージ本体22のX軸方向の位置を、固定鏡Mrxを基準として、例えば0.5〜1nm程度の分解能で常時検出する。
前記窓ガラスgの外側(−Y側)には、レチクルステージ装置12近傍のYZ断面図である図8から分かるように、レチクルステージ本体22に設けられた前述のレトロリフレクタ32,32の反射面に対向して位置検出装置であるY軸レーザ干渉計69Yが設けられている。この場合、Y軸レーザ干渉計69Yは、レトロリフレクタ32,32にそれぞれ対応して一対設けられている。各Y軸レーザ干渉計69Yからの測長ビームは窓ガラスgを介してレトロリフレクタ32、32の反射面に対してそれぞれ投射され、それぞれの反射光が窓ガラスgを介して各Y軸レーザ干渉計69Y内に戻る。この場合、測長ビームの照射点のZ軸方向の位置は、前述の中立面CTの位置にほぼ一致している。
また、図8に示されるように、投影光学系ユニットPLの鏡筒の上端部近傍には、固定鏡Mryが取付部材93を介して設けられている。各Y軸レーザ干渉計69Yからの参照ビームはレチクルステージ定盤16に形成された貫通孔(光路)72をそれぞれ介して、固定鏡Mryに対してそれぞれ投射され、それぞれの反射光が各Y軸レーザ干渉計69Y内に戻る。そして、各Y軸レーザ干渉計69Yは、前述のX軸レーザ干渉計69Xと同様に、測長ビームの反射光と参照ビームの反射光との干渉光に基づいて、それぞれの測長ビームの投射位置(レトロリフレクタ32,32の反射面の位置)におけるレチクルステージ本体22のY軸方向の位置を、固定鏡Mryをそれぞれ基準として例えば0.5〜1nm程度の分解能でそれぞれ常時検出する。
この場合、一対のY軸レーザ干渉計69Yによって、レチクルステージRSTのZ軸回りの回転量も検出することが可能となっている。
本実施形態では、図2に示されるように、ミラー部24Bは、固定子ユニット36(Y軸リニアモータ136,136)の外側に配置されている。このため、X軸レーザ干渉計69Xからの測長ビームがY軸リニアモータ136,136の固定子の上方を通過することがないことから、Y軸リニアモータ136,136の固定子を流れる電流による発熱により、Y軸リニアモータ136,136近傍に空気揺らぎが発生しても、この空気揺らぎによるX軸レーザ干渉計69Xの計測値への影響がないので、レチクルステージRST、ひいてはレチクルRのX軸方向位置を高精度で検出することが可能となる。また、この場合、前述の如く、X軸レーザ干渉計69Xの測長ビームの光路のZ軸方向の位置は、中立面CTの位置に一致しており、レチクルRの載置面も中立面CTに一致しているので、いわゆるアッベ誤差なく、レチクルステージRST、ひいてはレチクルRのX軸方向位置を精度良く計測することができる。一対のY軸干渉計69Yにおいても、同様の理由により、いわゆるアッベ誤差なく、レチクルステージRST、ひいてはレチクルRのY軸方向位置を精度良く計測することができるようになっている。
また、上述のX軸レーザ干渉計69X及び一対のY軸干渉計69Yは、枠状部材18の外部に配置されているので、各干渉計を枠状部材18の内部に配置する場合に生じる蓋然性が高い不都合の発生を防止することができる。例えば、各干渉計を構成するプリズム等の光学部材及びディテクタ等から仮に微量の吸収性ガスが発生しても、これが前述の気密空間内の低吸収性ガスに混入することがなく、結果的に露光に対して悪影響を及ぼすことがないようになっている。
上述のように、実際には、移動鏡として、ミラー部24B、レトロリフレクタ32,32の3つが設けられ、これに対応してレーザ干渉計もX軸レーザ干渉計69Xと一対のY軸レーザ干渉計69Yとが設けられているが、図1ではこれらが代表的にレチクル移動鏡Mm、レチクル干渉計システム69として図示されている。なお、図1では、固定鏡(固定鏡Mrx、固定鏡Mry)は図示が省略されている。
以下の説明においては、レチクル干渉計システム69によってレチクルステージRSTのXY面内の位置(θz回転を含む)が計測されているものとする。このレチクル干渉計システム69からのレチクルステージRSTの位置情報(又は速度情報)は図1のステージ制御系90及びこれを介して主制御装置70に送られ、ステージ制御系90では主制御装置70からの指示に応じ、レチクルステージRSTの位置情報(又は速度情報)に基づいてレチクルステージRSTの駆動を制御する。
図1に戻り、前記投影光学系ユニットPLとしては、両側テレセントリックな縮小系、かつ共通のZ軸方向の光軸を有する複数枚のレンズエレメントから成る屈折光学系が用いられている。この投影光学系ユニットPLは、実際には、該投影光学系ユニットPLの鏡筒部に設けられたフランジ部FLGを介して、不図示の保持部材によって保持されている。この投影光学系ユニットPLの投影倍率βは、例えば1/4あるいは1/5である。このため、前述の如く、照明ユニットIOPからの照明光ILによりレチクルRが照明されると、レチクルRに形成された前述の照明領域内の回路パターンが投影光学系ユニットPLにより照明領域と共役なウエハW上の照明光ILの照射領域(露光領域)に縮小投影され、回路パターンの縮小像(部分等立像)が転写形成される。
投影光学系ユニットPLの鏡筒には、給気管路50の一端と、排気管路51の一端とがそれぞれ接続されている。給気管路50の他端は、不図示の低吸収性ガスの供給装置、例えばヘリウムガス供給装置に接続されている。また、排気管路51の他端は、外部のガス回収装置に接続されている。そして、ヘリウムガス供給装置から高純度のヘリウムガスが給気管路50を介して投影光学系ユニットPLの鏡筒の内部にフローされている。この場合、ヘリウムガスがガス回収装置に回収されるようになっている。なお、低吸収性ガスとしてヘリウムガスを用いているのは、前述と同様の理由に加え、投影光学系ユニットPLのレンズ材料として熱膨張係数の大きなホタル石が用いられていることから、レンズが照明光ILを吸収することにより発生する温度上昇がレンズの結像特性を劣化させることを考慮し、冷却効果の大きな低吸収性ガスであるヘリウムガスを用いることが望ましいからである。
前記ウエハステージWSTは、ウエハ室80内に配置されている。このウエハ室80は、天井部の略中央部に円形開口71aが形成された隔壁71によって形成されている。この隔壁71は、ステンレス(SUS)等の脱ガスの少ない材料で形成されている。隔壁71の天井部の開口71a内に投影光学系ユニットPLの鏡筒の下端部が挿入されている。また、隔壁71の天井壁の開口71aの周囲と投影光学系ユニットPLのフランジ部FLGとの間は、フレキシブルベローズ97により隙間なく接続されている。このようにして、ウエハ室80の内部のガスが外部と隔離されている。
ウエハ室80内には、ステージベースBSが、複数の防振ユニット86を介してほぼ水平に支持されている。これらの防振ユニット86は、床面FからステージベースBSに伝達される微振動(暗振動)を例えばマイクロGレベルで絶縁する。なお、この防振ユニット86として、ステージベースBSの一部に取り付けられた半導体加速度計等の振動センサの出力に基づいてステージベースBSを積極的に制振するいわゆるアクティブ防振装置を用いることも可能である。
前記ウエハステージWSTは、ウエハホルダ25を介してウエハWを真空吸着等により保持し、例えばリニアモータ等を含む不図示のウエハ駆動系によって前記ベースBSの上面に沿ってXY2次元方向に自在に駆動されるようになっている。
本実施形態のように、真空紫外域の露光波長を使用する露光装置では、酸素等の吸収性ガスによる露光光(照明光IL)の吸収を避けるために、投影光学系ユニットPLからウエハWまでの光路についても窒素や希ガスで置換する必要がある。
ウエハ室80の隔壁71には、図1に示されるように、給気管路41の一端と、排気管路43の一端とがそれぞれ接続されている。給気管路41の他端は、不図示の低吸収性ガスの供給装置、例えばヘリウムガス供給装置に接続されている。また、排気管路43の他端は、外部のガス回収装置に接続されている。そして、前述と同様にして、ウエハ室80内にヘリウムガスが常時フローされている。
ウエハ室80の隔壁71の−Y側の側壁には光透過窓85が設けられている。これと同様に、図示は省略されているが、隔壁71の+X側(図1における紙面手前側)の側壁にも光透過窓が設けられている。これらの光透過窓は、隔壁71に形成された窓部(開口部)に該窓部を閉塞する光透過部材、ここでは一般的な光学ガラスを取り付けることによって構成されている。この場合、光透過窓85を構成する光透過部材の取り付け部分からのガス漏れが生じないように、取り付け部には、インジウムや銅等の金属シールや、フッ素系樹脂による封止(シーリング)が施されている。なお、上記フッ素系樹脂としては、80℃で2時間、加熱し、脱ガス処理が施されたものを使うことが望ましい。
前記ウエハホルダ25の−Y側の端部には、平面鏡から成るY移動鏡56YがX軸方向に延設されている。このY移動鏡56Yにほぼ垂直にウエハ室80の外部に配置されたY軸レーザ干渉計57Yからの測長ビームが光透過窓85を介して投射され、その反射光が光透過窓85を介してY軸レーザ干渉計57Y内部のディテクタによって受光され、Y軸レーザ干渉計57Y内部の参照鏡の位置を基準としてY移動鏡56Yの位置、すなわちウエハWのY位置が検出される。
同様に、図示は省略されているが、ウエハホルダ25の+X側の端部には、平面鏡から成るX移動鏡がY軸方向に延設されている。そして、このX移動鏡を介してX軸レーザ干渉計によって上記と同様にしてX移動鏡の位置、すなわちウエハWのX位置が検出される。上記2つのレーザ干渉計の検出値(計測値)はステージ制御系90及びこれを介して主制御装置70に供給されており、ステージ制御系90では、主制御装置70の指示に基づき、上記2つのレーザ干渉計の検出値をモニタしつつウエハ駆動系を介してウエハステージWSTの位置制御を行うようになっている。
このように、本実施形態では、レーザ干渉計、すなわちレーザ光源、プリズム等の光学部材及びディテクタ等が、ウエハ室80の外部に配置されているので、上記ディテクタ等から仮に微量の吸収性ガスが発生しても、これが露光に対して悪影響を及ぼすことがないようになっている。
なお、前述した投影光学系ユニットPLの鏡筒に接続された給気管路50の他端、及び排気管路51の他端を不図示のヘリウムガス供給装置にそれぞれ接続し、ヘリウムガス供給装置から給気管路50を介して常時高純度のヘリウムガスを投影光学系ユニットPLの鏡筒内に供給し、該鏡筒内部のガスを排気管路51を介してヘリウムガス供給装置に戻し、このようにして、ヘリウムガスを循環使用する構成を採用しても良い。この場合、ヘリウムガス供給装置には、ガス精製装置を内蔵することが望ましい。このようにすると、ガス精製装置の作用により、ヘリウムガス供給装置と投影光学系ユニットPL内部とを含む循環経路によりヘリウムガスを長時間に渡って循環使用しても、投影光学系ユニットPL内のヘリウムガス以外の吸収性ガス(酸素、水蒸気、有機物等)の濃度は数ppm以下の濃度に維持できる。また、この場合、投影光学系ユニットPL内に圧力センサ、吸収性ガス濃度センサ等のセンサを設け、該センサの計測値に基づいて、不図示の制御装置を介してヘリウムガス供給装置に内蔵されたポンプの作動、停止を適宜制御することとしても良い。
同様に、ウエハ室80にも、上記と同様のヘリウムガスの循環経路を採用しても良い。
次に、上述のようにして構成された露光装置10による露光動作の流れについて簡単に説明する。
まず、主制御装置70の管理の下、不図示のレチクルローダ、ウエハローダによって、レチクルロード、ウエハロードが行なわれ、また、レチクルアライメント系、ウエハステージWST上の基準マーク板、オフアクシス・アライメント検出系(いずれも図示省略)等を用いて、レチクルアライメント、アライメント検出系のベースライン計測(アライメント検出系の検出中心から投影光学系ユニットPLの光軸距離の計測)等の準備作業が所定の手順で行なわれる。
その後、主制御装置70により、不図示のアライメント検出系を用いてEGA(エンハンスト・グローバル・アライメント)等のアライメント計測が実行される。このような動作においてウエハWの移動が必要な場合には、主制御装置70からの指示に基づき、ステージ制御系90が不図示のウエハ駆動系を介して、ウエハWを保持するウエハステージWSTを所定の方向に移動させる。
なお、上記のレチクルアライメント、ベースライン計測等については、例えば特開平7−176468号公報及びこれに対応する米国特許第5,646,413号に詳細に開示され、また、EGAについては、特開昭61−44429号公報及びこれに対応する米国特許第4,780,617号等に詳細に開示されている。本国際出願で指定した指定国又は選択した選択国の国内法令が許す限りにおいて、上記各公報並びにこれらに対応する上記米国特許における開示を援用して本明細書の記載の一部とする。
上記のEGA(アライメント計測)の終了後、以下のようにしてステップ・アンド・スキャン方式の露光動作が行なわれる。
この露光動作にあたって、まず、ウエハWのXY位置が、ウエハW上の最初のショット領域(ファースト・ショット)の露光のための走査開始位置(加速開始位置)となるように、ウエハステージWSTが移動される。同時に、レチクルRの位置が走査開始位置となるように、レチクルステージRSTが移動される。そして、主制御装置70からの指示により、ステージ制御系90がレチクル干渉計システム69によって計測されたレチクルRの位置情報、及びウエハ側のY軸レーザ干渉計57Y及びX軸レーザ干渉計によって計測されたウエハWの位置情報に基づき、レチクルR(レチクルステージRST)とウエハW(ウエハステージWST)とを同期移動させることにより、走査露光が行なわれる。
このようにして、最初のショット領域に対するレチクルパターンの転写が終了すると、ウエハステージWSTが非走査方向(X軸方向)に1ショット領域分だけステッピングされた後、次のショット領域に対する走査露光が行なわれる。このようにして、ショット間ステッピング動作と走査露光とが順次繰り返され、ウエハW上に複数のショット領域にレチクルRのパターンが転写される。
上記の走査露光に際して、主制御装置70の指示に基づき、ステージ制御系90によりウエハステージWSTに対するレチクルステージRSTの追従制御が行われるが、この際にレチクルステージRSTの移動に伴う反力が、上記枠状部材18の移動によりキャンセルされている。以下、この点について説明する。
すなわち、上記の追従制御の際に、レチクルステージRSTがX軸方向に駆動されると、前述のボイスコイルモータ30の可動子がレチクルステージRSTと一体でX軸方向に駆動され、この駆動力の反力がボイスコイルモータ30の固定子(電機子ユニット140,140)及び該固定子が固定された枠状部材18に作用することとなる。この場合、枠状部材18は、レチクルステージ定盤16及び照明系側プレート14に対して所定のクリアランスを介して非接触とされているので、前記反力の作用により、枠状部材18は、運動量保存の法則に従った距離だけその反力に応じた方向に移動する。この枠状部材18の移動により、前記反力が吸収される。このとき、レチクルステージRSTのY軸方向の位置によっては、上記のX軸方向に駆動力の反力に起因するヨーイングモーメントが枠状部材18に作用することがある。この場合、枠状部材18は、そのヨーイングモーメント及びX軸方向の反力の作用によって、運動量保存の法則に従って反力を吸収するようにθz回転を伴う自由運動をする。
一方、レチクルステージRSTがウエハステージWSTとの同期をとるために、Y軸方向に駆動される際には、Y軸リニアモータ136,136、138,138の各可動子がレチクルステージRSTと一体でY軸方向に駆動され、各可動子の駆動力の反力の合力がY軸リニアモータ136,136、138,138の各固定子及びこれらが固定された枠状部材18に作用する。この場合も、上記反力の合力の作用により、枠状部材18は、運動量保存の法則に従って、前記反力の合力を吸収する距離だけその反力の合力に応じた方向に移動する。
また、Y軸リニアモータ136,136と、Y軸リニアモータ138,138とが発生する駆動力(推力)を異ならせてレチクルステージRSTをθz回転させ、その際、ヨーイングモーメントが枠状部材18に作用することがあるが、かかる場合にも、枠状部材18は、そのヨーイングモーメント及びY軸方向の反力の作用によって、運動量保存の法則に従って反力を吸収するようにθz回転を伴う自由運動をする。
また、いずれの場合にも、枠状部材18及びレチクルステージRSTを含む系の重心移動は生じないので、レチクルステージ定盤16には偏荷重は作用しない。
従って、本実施形態では、レチクルステージRSTの駆動時に、該レチクルステージRSTの駆動に伴って生じる反力(X軸方向及びY軸方向の反力)及び該反力によって生じるヨーイングモーメントを確実にキャンセルすることが可能となり、レチクルステージRSTの駆動に伴う振動を抑制することが可能となる。また、上述の如く偏荷重の発生をも防止できるので、これに起因するレチクルステージ定盤16の姿勢変化なども防止できる。
また、本実施形態では、上記の反力キャンセルのため、レチクルステージ定盤16上方を枠状部材18が移動する際に、その基準位置からのずれ量が許容値を超えないように(すなわち、例えば、枠状部材18の移動により、ボイスコイルモータ30の制御が不能となる、あるいは、枠状部材18とレチクルステージ定盤16との間の隙間を介して外気が枠状部材18内部の気密空間内に混入するなどの事態が発生しないように)、例えば露光に影響を与えない適宜なときに、主制御装置70がステージ制御系90を介して前述の3つのトリムモータを用いて枠状部材18を所定の基準位置に戻すようになっている。
以上詳細に説明したように、本実施形態に係るレチクルステージ装置12によると、レチクルステージRSTが、レチクルステージ定盤16の上方に浮上しつつレチクルRを保持してY軸及びこれに直交するX軸を含む2次元面内の3自由度方向にレチクルステージ定盤16に沿って移動可能であり、枠状部材18は、レチクルステージ定盤16の上方に浮上しつつ前記2次元面内の3自由度を有している。また、枠状部材18には、Y軸リニアモータ136,136、138,138の各固定子(リニアガイド136,136、138,138)、及びボイスコイルモータ30の固定子(電機子ユニット140,140)が設けられ、Y軸リニアモータ136,136、138,138の各可動子(磁極ユニット26,26、28,28)、及びボイスコイルモータ30の可動子(永久磁石30)が、レチクルステージRSTに設けられている。
従って、レチクルステージRSTがY軸リニアモータ136,136、138,138又はボイスコイルモータ30によりY軸方向又はX軸方向に駆動されると、その駆動力に応じた反力が固定子(リニアガイド136,136、138,138)又は固定子(電機子ユニット140,140)に生じる(作用する)。この反力の作用により枠状部材18が、運動量保存則にほぼ従って、2次元面内の3自由度方向に移動する。すなわち、枠状部材18がカウンタマスの役目を果たす。この場合、レチクルステージRSTの移動により、前記反力がほぼ完全にキャンセルされるとともに、レチクルステージRST及び枠状部材18を含む系の重心移動が生じないので、レチクルステージ定盤16には偏荷重も作用しない。従って、レチクルRが載置されるレチクルステージRSTの位置制御性の向上を図ることができる。
また、枠状部材18はレチクルステージRSTを取り囲む状態で設けられているので、必然的に大型化し、その質量が大きくなり、枠状部材18とレチクルステージRSTとの大きな質量比を確保することができるので、枠状部材18の移動ストロークは比較的短くて足りる。また、枠状部材18を大型化する場合にも、その支障は殆どない。
また、レチクルステージRSTには、中立面CTの一部にレチクルRの載置面が形成されるとともに、レチクル干渉計システム69からの測長ビームの光路のZ軸方向の位置が中立面CTの位置に一致しているので、前述の図12Bを用いて説明した従来例と異なり、レチクルステージRSTの変形の際に中立面CTと測長軸とのずれに起因して生じる位置計測誤差、及び測長軸とレチクルRのパターン面の位置ずれに相違する一種のアッベ誤差をともに、略零にすることができ、これによりレチクルRの位置を高精度に計測することが可能となる。
また、レチクルステージRSTを駆動する第1駆動機構が、レチクルステージRSTのレチクルRの載置領域のX軸方向の一側と他側とに中立面CTを基準としてそれぞれ対称に配置された各一対の可動子(磁極ユニット26,26、28,28)と、当該各可動子と個別に協働してY軸方向の駆動力をそれぞれ発生する2対の固定子(リニアガイド136,136、138,138)とを有している。すなわち、X軸方向の一側、他側のいずれにおいても、可動子、レチクルステージ本体、可動子の積層構造になっているとともに、その可動子同士は、中立面CTに関して対称の配置となっている。この場合、レチクルステージRSTの中立面は、その重心の高さ位置(Z軸方向の位置)に略一致しているので、左右各一対の可動子と対応する固定子との協働によって発生するY軸方向の駆動力の合力が、レチクルステージRSTの重心位置に作用することとなる。
また、前記各対の固定子(リニアガイド136,136、138,138)は、前記中立面CTを基準としてそれぞれ対称に配置されているので、レチクルステージRSTをレチクルステージ定盤16に沿ってY軸方向に駆動する際に、リニアガイド136,136、138,138それぞれの電機子コイルに供給される電流によるリニアガイド136,136、138,138の発熱により、レチクルステージRSTが加熱されたとしても、その発熱部分では、中立面CTの上側、下側に生じるバイメタル効果に起因するレチクルステージ本体22の変形同士が相殺され、結果的にバイメタル効果に起因するレチクルステージRSTの変形が生じることがない。
なお、本実施形態と異なり、例えば上記各一対の可動子が電機子ユニットで構成される場合には、レチクルステージRSTをレチクルステージ定盤16に沿ってY軸方向に駆動する際に、可動子に供給される電流による可動子の発熱によりスライダが加熱されるが、この場合も、同様の理由により、バイメタル効果に起因してレチクルステージRSTの変形が生じることがないようになっている。
従って、レチクルステージRSTのY軸方向の位置をレチクルステージRSTに設けられた一対のレトロリフレクタ32、32を介して一対のY軸干渉計69Yにより計測し、その計測結果に基づいてレチクルステージRSTのY軸方向の位置を制御するので、そのレチクルステージRSTのY軸方向の位置制御性を極めて良好にすることができる。
また、本実施形態に係るレチクルステージ装置12では、レチクル干渉計システム69からのX軸方向の測長ビームが照射される反射面が、レチクルステージRSTをY軸方向に駆動するリニアモータ136,136よりも外側に設けられているので、そのリニアモータの発熱に起因して該リニアモータ周辺の気体に温度揺らぎが生じても、そのX軸方向の測長ビームにはなんらの影響も生じない。これにより、X軸干渉計69XによるレチクルステージRSTのX軸方向の位置計測を高精度で行うことが可能となる。この場合、レチクル干渉計システム69からのY軸方向の測長ビームは、通常と同様に、レチクルステージRSTに設けられたレトロリフレクタ32、32の反射面(前記リニアモータの発熱の影響を殆ど受けない場所に位置する反射面)に特に支障なく照射することができるので、レチクルステージRSTのY軸方向及びX軸方向の位置を精度良く計測することが可能となり、ひいてはレチクルステージRSTの位置制御性の向上を図ることが可能となる。
また、レチクル干渉計システム69からのX軸方向の測長ビームが照射される反射面124mは、レチクルステージRSTのレチクルRが載置される板状部24Aとは別の所定長さの棒状のミラー部24Bの端面に形成され、該ミラー部24Bの反射面124mが形成された棒状部分124aの長手方向の両端部を除く部分に補強部124bが設けられ、該補強部124bの両端が弾性ヒンジ部124c,124dを介して板状部24Aに連結されている。このため、反射面の弾性ヒンジ部124cと弾性ヒンジ部124dとの間の部分、すなわち、レチクルステージRSTの位置制御に主として用いられる部分の変形を極力抑制することができる。
また、レチクルステージRSTのY軸方向の一側及び他側の端部にはY軸方向に延びる延設部24C〜24Dがそれぞれ設けられ、レチクルステージRSTの底面には、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、レチクルステージ定盤16から配管を介さないで前記気体静圧軸受に対して加圧気体が供給される構成が採用されている。このため、レチクルステージRSTが配管を引きずった状態で駆動することがなく、レチクルステージが等速運動を行う露光中においては、等速運動を保つのに必要な推力をほとんど必要としないため、リニアモータの推力リップルその他の影響を受けなくなる。
また、本実施形態に係る露光装置10によると、上述の如く、レチクルステージRSTの位置制御性を極めて良好に確保することができるので、レチクルステージRSTとウエハステージWSTとの同期制御精度を向上させることができ、これにより、レチクルRに形成されたパターンをウエハW上に高精度に転写することが可能となる。
また、露光装置10によると、照明ユニットIOPと投影光学系ユニットPLとの間の照明光ILの光路を含む空間が低吸収性ガス(照明光ILを吸収する特性が空気に比べて小さい特定ガス)でパージされるパージ空間とされるとともに、枠状部材18が前記パージ空間を外気に対して隔離する隔壁を兼ねているので、レチクルステージRST周辺の空間を容易にパージ空間とすることができ、そのパージ空間内での照明光ILの吸収を極力抑制することができる。
なお、上記実施形態では、レチクルステージRSTをY軸方向に駆動する第1駆動機構を左右各一対のY軸リニアモータで構成し、レチクルステージRSTをX軸方向に駆動する第2駆動機構をボイスコイルモータで構成するものとしたが、本発明がこれに限定されないことは勿論である。
また、上記実施形態では、ミラー部24Bには空洞部CHが形成されている場合について説明したが、ミラー部24Bに中空部を形成しなくても良い。また、ミラー部24Bと板状部24Aとを一体成形するものとして説明したが、これに限らず、これらを別部材にて構成し、各部の間を弾性ヒンジ部にて連結することとしても良い。
なお、上記実施形態では、レチクルステージ装置12の上方に、照明系側プレート14を設けるものとしたが、例えば枠状部材の上側(照明系側)を照明光を透過する窓部を残して塞ぐことで、照明系側プレート14を設けなくともレチクルステージRST近傍をある程度気密な空間に維持することは可能である。
なお、上記実施形態では、レチクルステージRSTを取り囲む枠状部材18がレチクルステージ周辺の空間を外気に対して隔離する隔壁を兼ねている場合について説明したが、本発明がこれに限られるものではなく、レチクルステージRST及び枠状部材をチャンバ(レチクルステージチャンバ)内に収納し、レチクルステージRST周辺の空間を低吸収性ガスにより置換することとしても良い。この場合、枠状部材についてはレチクルステージ定盤との間に所定間隔を形成し、2次元面内(XY面内)で移動可能に構成するのみで良く、上記実施形態のように枠状部材の上面に気体静圧軸受機構を設ける必要はない。
なお、上記実施形態では,本発明に係るステージ装置が走査型のVUV露光装置のレチクルステージ装置に適用された場合について説明したが、これに限らず、本発明に係るステージ装置は,投影光学系を用いることなくマスクと基板とを密着させてマスクパターンを基板に転写するプロキシミティタイプのアライナーのマスクステージ装置や、液晶用の一括転写方式の走査型露光装置などのマスクステージ装置あるいはプレートステージ装置などに好適に適用できる。この他、EBPS方式の電子線露光装置、波長5〜30nm程度の軟X線領域の光を露光光として用いるいわゆるEUVL等の露光装置にも本発明に係るステージ装置は適用できる。
この他、物体(試料)が載置される移動体を所定の第1軸方向に駆動可能でその第1軸方向に直交する第2軸方向及び回転方向にも微小駆動が必要な装置であれば、露光装置に限らず、その他の精密機械などにも本発明に係るステージ装置は好適に適用できる。
なお、上記実施形態では、照明光ILとしては、ArFエキシマレーザ光(波長193nm)あるいはFレーザ光(波長157nm)などの真空紫外光、KrFエキシマレーザ光(波長248nm)などの遠紫外光、超高圧水銀ランプからの紫外域の輝線(g線、i線等)を用いるものとしたが、これに限らず、Ar2レーザ光(波長126nm)などの他の真空紫外光を用いても良い。また、例えば、真空紫外光として上記各レーザ光に限らず、DFB半導体レーザ又はファイバーレーザから発振される赤外域、又は可視域の単一波長レーザ光を、例えばエルビウム(Er)(又はエルビウムとイッテルビウム(Yb)の両方)がドープされたファイバーアンプで増幅し、非線形光学結晶を用いて紫外光に波長変換した高調波を用いても良い。さらに、照明光ILとして紫外光などでなく、X線(EUV光を含む)又は電子線やイオンビームなどの荷電粒子線などを用いても良い。
また、上記実施形態では、投影光学系ユニットPLとして縮小系を用いる場合について説明したが、投影光学系は等倍系および拡大系のいずれでも良い。また、投影光学系としては、照明光ILとして、例えばArレーザ光などの真空紫外光を用いる場合などには、例えば特開平3−282527号公報及びこれに対応する米国特許第5,220,454号、特開平8−171054号公報及びこれに対応する米国特許第5,668,672号、並びに特開平10−20195号公報及びこれに対応する米国特許第5,835,275号などに開示される、屈折光学素子と反射光学素子(凹面鏡やビームスプリッタ等)とを組み合わせたいわゆるカタディオプトリック系(反射屈折系)、あるいは反射光学素子のみから成る反射光学系が主として用いられる。本国際出願で指定した指定国又は選択した選択国の国内法令が許す限りにおいて、上記各米国特許における開示を援用して本明細書の記載の一部とする。
なお、上記実施形態では、本発明が半導体製造用の露光装置に適用された場合について説明したが、これに限らず、例えば、角型のガラスプレートに液晶表示素子パターンを転写する液晶用の露光装置や、薄膜磁気ヘッド、撮像素子、有機EL、マイクロマシン、DNAチップなどを製造するための露光装置などにも本発明は広く適用できる。
また、半導体素子などのマイクロデバイスだけでなく、光露光装置、EUV露光装置、X線露光装置、及び電子線露光装置などで使用されるレチクル又はマスクを製造するために、ガラス基板又はシリコンウエハなどに回路パターンを転写する露光装置にも本発明を適用できる。ここで、DUV(遠紫外)光やVUV(真空紫外)光などを用いる露光装置では一般的に透過型レチクルが用いられ、レチクル基板としては石英ガラス、フッ素がドープされた石英ガラス、螢石、フッ化マグネシウム、又は水晶などが用いられる。
なお、例えば国際公開WO99/49504号などに開示される、投影光学系ユニットPLとウエハとの間に液体が満たされる液浸露光装置に本発明を適用しても良い。
《デバイス製造方法》
次に、上述した露光装置をリソグラフィ工程で使用したデバイスの製造方法の実施形態について説明する。
図10には、デバイス(ICやLSI等の半導体チップ、液晶パネル、CCD、薄膜磁気ヘッド、マイクロマシン等)の製造例のフローチャートが示されている。図10に示されるように、まず、ステップ201(設計ステップ)において、デバイスの機能・性能設計(例えば、半導体デバイスの回路設計等)を行い、その機能を実現するためのパターン設計を行う。引き続き、ステップ202(マスク製作ステップ)において、設計した回路パターンを形成したマスクを製作する。一方、ステップ203(ウエハ製造ステップ)において、シリコン等の材料を用いてウエハを製造する。
次に、ステップ204(ウエハ処理ステップ)において、ステップ201〜ステップ203で用意したマスクとウエハを使用して、後述するように、リソグラフィ技術等によってウエハ上に実際の回路等を形成する。次いで、ステップ205(デバイス組立ステップ)において、ステップ204で処理されたウエハを用いてデバイス組立を行う。このステップ205には、ダイシング工程、ボンディング工程、及びパッケージング工程(チップ封入)等の工程が必要に応じて含まれる。
最後に、ステップ206(検査ステップ)において、ステップ205で作製されたデバイスの動作確認テスト、耐久性テスト等の検査を行う。こうした工程を経た後にデバイスが完成し、これが出荷される。
図11には、半導体デバイスの場合における、上記ステップ204の詳細なフロー例が示されている。図11において、ステップ211(酸化ステップ)においてはウエハの表面を酸化させる。ステップ212(CVDステップ)においてはウエハ表面に絶縁膜を形成する。ステップ213(電極形成ステップ)においてはウエハ上に電極を蒸着によって形成する。ステップ214(イオン打込みステップ)においてはウエハにイオンを打ち込む。以上のステップ211〜ステップ214それぞれは、ウエハ処理の各段階の前処理工程を構成しており、各段階において必要な処理に応じて選択されて実行される。
ウエハプロセスの各段階において、上述の前処理工程が終了すると、以下のようにして後処理工程が実行される。この後処理工程では、まず、ステップ215(レジスト形成ステップ)において、ウエハに感光剤を塗布する。引き続き、ステップ216(露光ステップ)において、上記実施形態の露光装置10その他の本発明の露光装置によってマスクの回路パターンをウエハに転写する。次に、ステップ217(現像ステップ)においては露光されたウエハを現像し、ステップ218(エッチングステップ)において、レジストが残存している部分以外の部分の露出部材をエッチングにより取り去る。そして、ステップ219(レジスト除去ステップ)において、エッチングが済んで不要となったレジストを取り除く。
これらの前処理工程と後処理工程とを繰り返し行うことによって、ウエハ上に多重に回路パターンが形成される。
以上説明した本実施形態のデバイス製造方法を用いれば、露光工程(ステップ216)において上記実施形態の露光装置10などの本発明の露光装置が用いられるので、レチクルのパターンをウエハ上に精度良く転写することができ、結果的に、高集積度のデバイスの生産性(歩留まりを含む)を向上させることができる。
以上説明したように、本発明のステージ装置は、物体を載置して移動するのに適している。また、本発明の露光装置は、マスクに形成されたパターンを感光物体に転写するのに適している。また、本発明のデバイス製造方法は、マイクロデバイスの生産に適している。

Claims (42)

  1. 定盤と;
    前記定盤の上方に浮上しつつ物体を保持して第1軸及びこれに直交する第2軸を含む2次元面内の3自由度方向に前記定盤に沿って移動可能なスライダと;
    前記定盤の上方に浮上しつつ前記2次元面内の3自由度を少なくとも有し、前記スライダを取り囲む枠状部材と;
    前記枠状部材に設けられた第1固定子と、該第1固定子と協働して前記スライダを前記第1軸方向に駆動する駆動力を発生する第1可動子とを含む第1駆動機構と;
    前記枠状部材に設けられた第2固定子と、前記第2固定子と協働して前記スライダを前記第2軸方向に駆動する駆動力を発生する第2可動子とを含む第2駆動機構と;を備えるステージ装置。
  2. 請求項1に記載のステージ装置において、
    前記第1駆動機構は、少なくとも2つのリニアモータを含み、
    前記第2駆動機構は、少なくとも1つのボイスコイルモータを含むことを特徴とするステージ装置。
  3. 請求項1に記載のステージ装置において、
    前記スライダの位置を計測する干渉計システムを更に備え、
    前記スライダには、中立面の一部に前記物体の載置面が形成されるとともに、前記干渉計システムからの測長ビームの光路の前記2次元面に直交する第3軸方向の位置が前記中立面の位置に一致していることを特徴とするステージ装置。
  4. 請求項1に記載のステージ装置において、
    前記スライダの第1軸方向の一側及び他側の端部には第1軸方向に延びる延設部がそれぞれ設けられ、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、
    前記定盤から配管を介さないで前記気体静圧軸受に対して加圧気体が供給されることを特徴とするステージ装置。
  5. マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、
    前記マスクを照明光により照明する照明ユニットと;
    前記マスクが前記物体として前記スライダ上に載置される請求項1に記載のステージ装置と;
    前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える露光装置。
  6. 請求項5に記載の露光装置において、
    前記照明ユニットと前記投影光学系ユニットとの間の前記照明光の光路を含む空間が前記照明光を吸収する特性が空気に比べて小さい特定ガスでパージされるパージ空間とされるとともに、前記枠状部材が前記パージ空間を外気に対して隔離する隔壁を兼ねていることを特徴とする露光装置。
  7. 請求項6に記載の露光装置において、
    前記枠状部材の前記照明ユニットとは反対側の前記定盤に対向する側の面に、前記特定ガスを加圧気体として用いる第1の気体静圧軸受が、ほぼ全周に渡って設けられていることを特徴とする露光装置。
  8. 請求項7に記載の露光装置において、
    前記枠状部材の前記照明ユニット側の面に前記特定ガスを加圧気体として用いる第2の気体静圧軸受が、ほぼ全周に渡って設けられ、
    前記枠状部材の照明ユニット側の面のほぼ全面に対向してかつ所定のクリアランスを介して配置され、前記第2の気体静圧軸受からの加圧気体が噴き付けられるプレートを、更に備える露光装置。
  9. 請求項6に記載の露光装置において、
    前記枠状部材の前記照明ユニットとは反対側の前記定盤に対向する側の面に、真空吸引と加圧気体の噴出しとを同時に行う差動排気型の第1の気体静圧軸受が、ほぼ全周に渡って設けられていることを特徴とする露光装置。
  10. 請求項9に記載の露光装置において、
    前記枠状部材の前記照明ユニット側の面に、真空吸引と加圧気体の噴出しとを同時に行う差動排気型の第2の気体静圧軸受が、ほぼ全周に渡って設けられ、
    前記枠状部材の照明ユニット側の面のほぼ全面に対向してかつ所定のクリアランスを介して配置され、前記第2の気体静圧軸受からの加圧気体が噴き付けられるプレートを、更に備える露光装置。
  11. 請求項6に記載の露光装置において、
    前記スライダの位置を計測する干渉計システムを更に備え、
    前記干渉計システムから前記パージ空間内の前記スライダに向かう測長ビームの光路上に位置する前記枠状部材の側面部分に開口部を形成するとともに、該開口部を閉塞するカバーガラスを設置したことを特徴とする露光装置。
  12. 定盤と;
    前記定盤の上方に浮上しつつ物体を保持して前記定盤に沿って移動可能なスライダと;
    前記スライダの前記物体が載置される領域の第1軸方向に直交する第2軸方向の一側と他側とに前記スライダの中立面を基準としてそれぞれ対称に配置された各一対の可動子と、当該各対の可動子と個別に協働して前記第1軸方向の駆動力をそれぞれ発生する一対の固定子部とを有する駆動機構と;を備えるステージ装置。
  13. 請求項12に記載のステージ装置において、
    前記一対の固定子部のそれぞれは、前記スライダの中立面を基準としてそれぞれ対称に配置されていることを特徴とするステージ装置。
  14. 請求項12に記載のステージ装置において、
    前記スライダの第1軸方向の一側及び他側の端部には第1軸方向に延びる延設部がそれぞれ設けられ、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、
    前記定盤から配管を介さないで前記気体静圧軸受に対して加圧気体が供給されることを特徴とするステージ装置。
  15. マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、
    前記マスクを照明光により照明する照明ユニットと;
    前記マスクが前記物体として前記スライダ上に載置される請求項12に記載のステージ装置と;
    前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える露光装置。
  16. 定盤と;
    前記定盤の上方に浮上しつつ物体を保持して第1軸及びこれに直交する第2軸を含む2次元面内を前記定盤に沿って移動可能なスライダと;
    前記スライダを前記第1軸方向に駆動するリニアモータを含む駆動機構と;
    前記スライダに設けられた反射面に測長ビームを照射してその反射光に基づいて前記第1軸方向及び第2軸方向の位置を計測する干渉計システムと;を備え、
    前記干渉計システムからの前記第2軸方向の測長ビームが照射される反射面が、前記リニアモータより外側に位置する前記スライダの一部に設けられていることを特徴とするステージ装置。
  17. 請求項16に記載のステージ装置において、
    前記反射面は、前記スライダの前記物体が載置される第1部分とは別の所定長さの棒状の第2部分の端面に形成され、該第2部分にはその長手方向の両端部を除く部分に補強部が設けられ、該補強部の両端が弾性ヒンジ部をそれぞれ介して前記第1部分に連結されていることを特徴とするステージ装置。
  18. 請求項17に記載のステージ装置において、
    前記第1部分には、前記一方の弾性ヒンジ部から前記第1部分と反対側に所定距離だけ離れた位置に、別の弾性ヒンジ部が更に設けられていることを特徴とするステージ装置。
  19. 請求項16に記載のステージ装置において、
    前記スライダの第1軸方向の一側及び他側の端部には第1軸方向に延びる延設部がそれぞれ設けられ、前記一側の延設部から他側の延設部に至る長手方向の全域に渡る気体静圧軸受が形成され、
    前記定盤から配管を介さないで前記気体静圧軸受に対して加圧気体が供給されることを特徴とするステージ装置。
  20. マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、
    前記マスクを照明光により照明する照明ユニットと;
    前記マスクが前記物体として前記スライダ上に載置される請求項16に記載のステージ装置と;
    前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える露光装置。
  21. 載置面に物体を載置して移動可能なスライダと;
    前記スライダの前記載置面に対して対称に配置された一対の第1可動子と;
    前記一対の第1可動子とは異なり、前記スライダの前記載置面に対して対称に配置された一対の第2可動子と;
    前記一対の第1可動子及び前記一対の第2可動子と協働して、前記スライダを第1軸方向に駆動する一対の固定子部と;を備えるステージ装置。
  22. 請求項21に記載のステージ装置において、
    前記載置面は前記スライダの中立面と一致していることを特徴とするステージ装置。
  23. 請求項21に記載のステージ装置において、
    前記一対の固定子部のそれぞれは、前記載置面に対して対称に配置されていることを特徴とするステージ装置。
  24. 請求項21に記載のステージ装置において、
    前記スライダに接続された第1部分と、該第1部分と協働する第2部分とを有し、前記スライダを前記第1軸とは異なる第2軸に駆動する駆動装置を、更に備えるステージ装置。
  25. 請求項24に記載のステージ装置において、
    前記一対の固定子部と前記駆動装置の前記第2部分とを保持する枠状部材を、更に備えるステージ装置。
  26. 請求項25に記載のステージ装置において、
    前記枠状部材は、前記スライダを駆動した際に発生する反力により駆動されることを特徴とするステージ装置。
  27. 請求項26に記載のステージ装置において、
    前記スライダは定盤上に設けられていることを特徴とするステージ装置。
  28. 請求項27に記載のステージ装置において、
    前記枠状部材は前記定盤上に設けられていることを特徴とするステージ装置。
  29. 請求項24に記載のステージ装置において、
    前記スライダは反射面を有し、
    該反射面に測長ビームを照射して前記スライダの前記第2軸方向の位置を検出する位置検出装置を、更に備えるステージ装置。
  30. 請求項29に記載のステージ装置において、
    前記一対の固定子部のそれぞれは、前記測長ビームを包囲しない位置に設けられていることを特徴とするステージ装置。
  31. マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、
    前記マスクを照明光により照明する照明ユニットと;
    前記マスクが前記物体として前記スライダ上に載置される請求項21に記載のステージ装置と;
    前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える露光装置。
  32. 物体を保持して移動可能なスライダと;
    前記スライダに接続された移動子と、該移動子と協働する固定子とを有し、前記スライダを第1軸方向に沿って駆動する駆動装置と;
    前記固定子を保持する保持部と重量部とを有し、前記スライダを駆動した際に発生する反力により駆動されるカウンタマスと;
    前記重量部と前記固定子との間に位置するように前記スライダに設けられた反射部材と;
    前記反射部材に測長ビームを照射して前記スライダの位置を検出する位置検出装置と;を備えるステージ装置。
  33. 請求項32に記載のステージ装置において、
    前記スライダは、前記スライダの中立面に前記物体を載置する載置部を有していることを特徴とするステージ装置。
  34. 請求項32に記載のステージ装置において、
    前記スライダは定盤上に設けられていることを特徴とする請求項26記載のステージ装置。
  35. 請求項34に記載のステージ装置において、
    前記カウンタマスは前記定盤上に設けられていることを特徴とするステージ装置。
  36. マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、
    前記マスクを照明光により照明する照明ユニットと;
    前記マスクが前記物体として前記スライダ上に載置される請求項32に記載のステージ装置と;
    前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える露光装置。
  37. 反射部材が設けられ、物体を保持して移動可能なスライダと;
    前記スライダに接続された移動子と、該移動子と協働する固定子とを有し、前記スライダを第1軸方向に沿って駆動する駆動装置と;
    透明部を有し、前記固定子を保持して前記スライダを駆動した際に発生する反力により駆動されるカウンタマスと;
    前記透明部を介して前記反射部材に測長ビームを照射して前記スライダの位置を検出する位置検出装置と;を備えるステージ装置。
  38. 請求項37に記載のステージ装置において、
    前記スライダは、前記スライダの中立面に前記物体を載置する載置部を有していることを特徴とするステージ装置。
  39. 請求項37に記載のステージ装置において、
    前記スライダは定盤上に設けられていることを特徴とするステージ装置。
  40. 請求項39に記載のステージ装置において、
    前記カウンタマスは前記定盤上に設けられていることを特徴とするステージ装置。
  41. マスクと感光物体とを所定方向に同期移動して前記マスクに形成されたパターンを前記感光物体に転写する露光装置であって、
    前記マスクを照明光により照明する照明ユニットと;
    前記マスクが前記物体として前記スライダ上に載置される請求項37に記載のステージ装置と;
    前記マスクから射出される前記照明光を前記感光物体上に投射する投影光学系ユニットと;を備える露光装置。
  42. リソグラフィ工程を含むデバイス製造方法であって、
    前記リソグラフィ工程で、請求項5〜11、15、20、31、36、41のいずれか一項に記載の露光装置を用いて露光を行うことを特徴とするデバイス製造方法。
JP2005504935A 2003-02-17 2004-01-26 ステージ装置及び露光装置、並びにデバイス製造方法 Expired - Fee Related JP4356114B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003037929 2003-02-17
JP2003037929 2003-02-17
PCT/JP2004/000655 WO2004073053A1 (ja) 2003-02-17 2004-01-26 ステージ装置及び露光装置、並びにデバイス製造方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2009093518A Division JP4985691B2 (ja) 2003-02-17 2009-04-08 ステージ装置及び露光装置、並びにデバイス製造方法
JP2009093517A Division JP4915431B2 (ja) 2003-02-17 2009-04-08 ステージ装置及び露光装置、並びにデバイス製造方法

Publications (2)

Publication Number Publication Date
JPWO2004073053A1 true JPWO2004073053A1 (ja) 2006-06-01
JP4356114B2 JP4356114B2 (ja) 2009-11-04

Family

ID=32866377

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2005504935A Expired - Fee Related JP4356114B2 (ja) 2003-02-17 2004-01-26 ステージ装置及び露光装置、並びにデバイス製造方法
JP2009093518A Expired - Fee Related JP4985691B2 (ja) 2003-02-17 2009-04-08 ステージ装置及び露光装置、並びにデバイス製造方法
JP2009093517A Expired - Fee Related JP4915431B2 (ja) 2003-02-17 2009-04-08 ステージ装置及び露光装置、並びにデバイス製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2009093518A Expired - Fee Related JP4985691B2 (ja) 2003-02-17 2009-04-08 ステージ装置及び露光装置、並びにデバイス製造方法
JP2009093517A Expired - Fee Related JP4915431B2 (ja) 2003-02-17 2009-04-08 ステージ装置及び露光装置、並びにデバイス製造方法

Country Status (8)

Country Link
US (3) US7489389B2 (ja)
EP (4) EP2560192B1 (ja)
JP (3) JP4356114B2 (ja)
KR (2) KR101313509B1 (ja)
CN (3) CN102103331B (ja)
HK (2) HK1221553A1 (ja)
TW (1) TWI338323B (ja)
WO (1) WO2004073053A1 (ja)

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US7375800B2 (en) * 2004-09-09 2008-05-20 Nikon Corporation Non-contact pneumatic transfer for stages with small motion
US9804508B2 (en) * 2004-10-01 2017-10-31 Nikon Corporation Linear motor, stage apparatus, and exposure apparatus
US7417714B2 (en) * 2004-11-02 2008-08-26 Nikon Corporation Stage assembly with measurement system initialization, vibration compensation, low transmissibility, and lightweight fine stage
US7869000B2 (en) * 2004-11-02 2011-01-11 Nikon Corporation Stage assembly with lightweight fine stage and low transmissibility
US20080105069A1 (en) * 2004-11-04 2008-05-08 Binnard Michael B Fine Stage Z Support Apparatus
JP2006211873A (ja) * 2005-01-31 2006-08-10 Canon Inc 移動体制御装置及び移動体制御方法
EP1865327A1 (en) * 2005-02-23 2007-12-12 Kyocera Corporation Speed detection device for movable body and drive stage using the same
JP4946109B2 (ja) 2005-03-18 2012-06-06 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
CN100514193C (zh) * 2005-03-29 2009-07-15 株式会社尼康 曝光装置、曝光装置的制造方法以及微元件的制造方法
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
JP5040657B2 (ja) * 2005-10-24 2012-10-03 株式会社ニコン 露光装置、露光方法、デバイスの製造方法、デバイス組立方法
US7554107B2 (en) * 2005-11-04 2009-06-30 Nuflare Technology, Inc. Writing method and writing apparatus of charged particle beam, positional deviation measuring method, and position measuring apparatus
US7643130B2 (en) 2005-11-04 2010-01-05 Nuflare Technology, Inc. Position measuring apparatus and positional deviation measuring method
US20070267995A1 (en) * 2006-05-18 2007-11-22 Nikon Corporation Six Degree-of-Freedom Stage Apparatus
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7804582B2 (en) * 2006-07-28 2010-09-28 Asml Netherlands B.V. Lithographic apparatus, method of calibrating a lithographic apparatus and device manufacturing method
US20080073596A1 (en) * 2006-08-24 2008-03-27 Asml Netherlands B.V. Lithographic apparatus and method
WO2008041575A1 (fr) * 2006-09-29 2008-04-10 Nikon Corporation Dispositif formant platine et dispositif d'exposition
US7603785B2 (en) * 2007-02-20 2009-10-20 Electro Scientific Industries, Inc. Air bearing assembly for guiding motion of optical components of a laser processing system
US7886449B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Flexure guide bearing for short stroke stage
US7889322B2 (en) * 2007-02-20 2011-02-15 Electro Scientific Industries, Inc. Specimen inspection stage implemented with processing stage coupling mechanism
US8749753B2 (en) * 2007-04-27 2014-06-10 Nikon Corporation Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method
US20080285004A1 (en) * 2007-05-18 2008-11-20 Nikon Corporation Monolithic, Non-Contact Six Degree-of-Freedom Stage Apparatus
WO2008149853A1 (ja) * 2007-06-04 2008-12-11 Nikon Corporation 環境制御装置、ステージ装置、露光装置、及びデバイス製造方法
JP2011503529A (ja) * 2007-10-19 2011-01-27 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 精確な測定を有する移動装置
US8964166B2 (en) * 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
US8063630B2 (en) * 2008-03-14 2011-11-22 Tdk Corporation Testing method for thin-film magnetic head and jig used therefor
WO2009125867A1 (ja) * 2008-04-11 2009-10-15 株式会社ニコン ステージ装置、露光装置、及びデバイス製造方法
JP5146183B2 (ja) * 2008-07-31 2013-02-20 株式会社ニコン 露光方法、デバイスの製造方法及び露光装置
US9304385B2 (en) * 2008-09-16 2016-04-05 Nikon Corporation Exposure method and device manufacturing method including selective deformation of a mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5375057B2 (ja) * 2008-12-05 2013-12-25 株式会社ニコン ステージ装置、露光装置及びデバイス製造方法
US8659746B2 (en) * 2009-03-04 2014-02-25 Nikon Corporation Movable body apparatus, exposure apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101869463B1 (ko) * 2009-05-15 2018-06-20 가부시키가이샤 니콘 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법
JP5667568B2 (ja) 2009-08-07 2015-02-12 株式会社ニコン 移動体装置、露光装置、及びデバイス製造方法
US20110032495A1 (en) 2009-08-07 2011-02-10 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN102375345B (zh) * 2010-08-18 2013-09-11 上海微电子装备有限公司 可动光学元件调节驱动装置
US20120064460A1 (en) * 2010-09-07 2012-03-15 Nikon Corporation Movable body apparatus, object processing device, exposure apparatus, flat-panel display manufacturing method, and device manufacturing method
NL2007279A (en) * 2010-09-28 2012-03-29 Asml Netherlands Bv Method for calibrating a target surface of a position measurement system, position measurement system, and lithographic apparatus.
WO2012115002A1 (ja) 2011-02-22 2012-08-30 株式会社ニコン 保持装置、露光装置、及びデバイスの製造方法
CN102789136B (zh) * 2011-05-19 2014-08-20 上海微电子装备有限公司 气浮支撑系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5689047B2 (ja) * 2011-10-12 2015-03-25 東京エレクトロン株式会社 基体処理システム用の基体搬送装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
USD702245S1 (en) * 2012-01-11 2014-04-08 Victor Susman Scanning frame
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9651849B2 (en) * 2012-03-16 2017-05-16 Toptica Photonics Ag Low outgassing resonator
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8772731B2 (en) * 2012-04-15 2014-07-08 Kla-Tencor Corporation Apparatus and method for synchronizing sample stage motion with a time delay integration charge-couple device in a semiconductor inspection tool
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6132917B2 (ja) * 2012-09-18 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. ステージシステム、ステージシステムを備えるリソグラフィ装置
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9331624B2 (en) * 2013-02-25 2016-05-03 National Taiwan University Thrust ripple mapping system in a precision stage and method thereof
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI486569B (zh) * 2013-06-10 2015-06-01 Pegatron Corp 氣密測試設備及氣密測試方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101862311B1 (ko) * 2013-10-22 2018-05-29 에이피시스템 주식회사 기판 처리 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6286024B2 (ja) * 2014-03-07 2018-02-28 富士フイルム株式会社 トランジスタの製造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2018500596A (ja) * 2014-12-31 2018-01-11 エーエスエムエル ホールディング エヌ.ブイ. パターニングデバイス環境を有するリソグラフィ装置
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11048178B2 (en) 2017-12-14 2021-06-29 Asml Netherlands B.V. Lithographic apparatus with improved patterning performance
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111381452B (zh) * 2018-12-29 2021-11-02 上海微电子装备(集团)股份有限公司 一种掩模板冷却装置及光刻设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN213304093U (zh) * 2021-03-19 2021-05-28 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏系统
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023104051A (ja) * 2022-01-17 2023-07-28 株式会社日立ハイテク ステージ装置、荷電粒子線装置及び真空装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780617A (en) 1984-08-09 1988-10-25 Nippon Kogaku K.K. Method for successive alignment of chip patterns on a substrate
JPS6144429A (ja) 1984-08-09 1986-03-04 Nippon Kogaku Kk <Nikon> 位置合わせ方法、及び位置合せ装置
JP2847883B2 (ja) 1990-03-30 1999-01-20 株式会社ニコン 反射屈折縮小投影光学系
US5220454A (en) 1990-03-30 1993-06-15 Nikon Corporation Cata-dioptric reduction projection optical system
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
JP3265503B2 (ja) 1993-06-11 2002-03-11 株式会社ニコン 露光方法及び装置
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US6246204B1 (en) * 1994-06-27 2001-06-12 Nikon Corporation Electromagnetic alignment and scanning apparatus
JPH08171054A (ja) 1994-12-16 1996-07-02 Nikon Corp 反射屈折光学系
JPH1020195A (ja) 1996-06-28 1998-01-23 Nikon Corp 反射屈折光学系
JP3155936B2 (ja) * 1997-06-26 2001-04-16 キヤノン株式会社 リニアモータとステージ装置及びこれを用いた走査型露光装置やデバイス製造方法
JPH11189332A (ja) * 1997-12-26 1999-07-13 Canon Inc ステージ装置およびこれを用いた露光装置ならびにデバイス製造方法
JP3548411B2 (ja) 1997-12-26 2004-07-28 キヤノン株式会社 ステージ装置、およびこれを用いた露光装置、ならびにデバイス製造方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
AU4061099A (en) 1998-06-17 2000-01-05 Nikon Corporation Exposure method and exposure apparatus
JP3129293B2 (ja) * 1998-08-13 2001-01-29 日本電気株式会社 液晶表示装置
JP2000077503A (ja) * 1998-08-28 2000-03-14 Nikon Corp ステージ装置及び露光装置
TWI264617B (en) * 1999-12-21 2006-10-21 Asml Netherlands Bv Balanced positioning system for use in lithographic apparatus
US6281655B1 (en) * 1999-12-23 2001-08-28 Nikon Corporation High performance stage assembly
JP4945845B2 (ja) * 2000-03-31 2012-06-06 株式会社ニコン 光学素子保持装置、鏡筒及び露光装置並びにマイクロデバイスの製造方法。
JP2002110523A (ja) * 2000-09-29 2002-04-12 Sumitomo Heavy Ind Ltd 露光装置
US20020075467A1 (en) * 2000-12-20 2002-06-20 Nikon Corporation Exposure apparatus and method
JP2002175963A (ja) * 2000-12-05 2002-06-21 Nikon Corp ステージ装置とその位置制御方法および露光装置並びに露光方法
JP2002217082A (ja) * 2001-01-12 2002-08-02 Nikon Corp ステージ装置及び露光装置
JP2003309055A (ja) * 2002-04-12 2003-10-31 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices

Also Published As

Publication number Publication date
JP2009158974A (ja) 2009-07-16
EP1596423B1 (en) 2015-08-12
CN101216673A (zh) 2008-07-09
WO2004073053A1 (ja) 2004-08-26
EP2560192A2 (en) 2013-02-20
US20090122293A1 (en) 2009-05-14
US9152060B2 (en) 2015-10-06
EP2560192A3 (en) 2013-05-22
EP3038138A1 (en) 2016-06-29
KR101134958B1 (ko) 2012-04-09
JP2009158973A (ja) 2009-07-16
JP4985691B2 (ja) 2012-07-25
EP1596423A1 (en) 2005-11-16
HK1256632A1 (zh) 2019-09-27
EP3401947B1 (en) 2019-09-25
TW200421445A (en) 2004-10-16
US7489389B2 (en) 2009-02-10
JP4915431B2 (ja) 2012-04-11
CN101216673B (zh) 2011-05-18
EP2560192B1 (en) 2018-03-21
KR20050098842A (ko) 2005-10-12
CN102103331B (zh) 2013-02-13
JP4356114B2 (ja) 2009-11-04
HK1221553A1 (zh) 2017-06-02
EP1596423A4 (en) 2009-04-08
KR101313509B1 (ko) 2013-10-01
CN100380585C (zh) 2008-04-09
TWI338323B (en) 2011-03-01
KR20120007083A (ko) 2012-01-19
CN1717779A (zh) 2006-01-04
CN102103331A (zh) 2011-06-22
US20050248744A1 (en) 2005-11-10
EP3038138B1 (en) 2018-07-18
EP3401947A1 (en) 2018-11-14
US20160048084A1 (en) 2016-02-18
US10120288B2 (en) 2018-11-06

Similar Documents

Publication Publication Date Title
JP4356114B2 (ja) ステージ装置及び露光装置、並びにデバイス製造方法
US8749753B2 (en) Movable body apparatus, exposure apparatus and optical system unit, and device manufacturing method
KR101096479B1 (ko) 스테이지 장치, 노광 장치, 및 디바이스 제조 방법
US8792084B2 (en) Exposure apparatus, exposure method, and device manufacturing method
EP2006884A2 (en) Mobile device, exposure device, exposure method, micro-motion body, and device manufacturing method
US20140049764A1 (en) Exposure apparatus, exposure method, device manufacturing method, and carrier method
US20080192226A1 (en) Stage Unit, Exposure Apparatus, and Exposure Method
JP2014195104A (ja) 露光装置及び露光方法、並びにデバイス製造方法
JP2007274881A (ja) 移動体装置、微動体及び露光装置
JPWO2004100237A1 (ja) ステージ装置及び露光装置、並びにデバイス製造方法
JP5233483B2 (ja) ステージ装置及び露光装置並びにデバイス製造方法
JP2004349285A (ja) ステージ装置及び露光装置、並びにデバイス製造方法
JPWO2005036618A1 (ja) ステージ装置及び露光装置
KR20150003312A (ko) 이동체 장치, 노광 장치, 및 디바이스 제조 방법
JP2007312538A (ja) リニアモータ、ステージ装置及び露光装置
JP2004063790A (ja) 露光装置及びデバイス製造方法
JP2010182788A (ja) ステージ装置及び露光装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090726

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120814

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4356114

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120814

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150814

Year of fee payment: 6

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150814

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150814

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees