WO2003062490A2 - Ald apparatus and method - Google Patents

Ald apparatus and method Download PDF

Info

Publication number
WO2003062490A2
WO2003062490A2 PCT/US2003/001548 US0301548W WO03062490A2 WO 2003062490 A2 WO2003062490 A2 WO 2003062490A2 US 0301548 W US0301548 W US 0301548W WO 03062490 A2 WO03062490 A2 WO 03062490A2
Authority
WO
WIPO (PCT)
Prior art keywords
draw
gas
chamber
purge
chemical
Prior art date
Application number
PCT/US2003/001548
Other languages
English (en)
French (fr)
Inventor
Ofer Sneh
Original Assignee
Sundew Technologies, Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sundew Technologies, Llc filed Critical Sundew Technologies, Llc
Priority to JP2003562353A priority Critical patent/JP4908738B2/ja
Priority to CN038062348A priority patent/CN1643179B/zh
Priority to KR1020047011192A priority patent/KR100979575B1/ko
Priority to EP03731983A priority patent/EP1466034A1/en
Publication of WO2003062490A2 publication Critical patent/WO2003062490A2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control

Definitions

  • This invention relates to the field of atomic layer deposition ("ALD”), and more particularly to systems and methods for performing ALD with high throughput and low cost.
  • Thin film deposition is commonly practiced in the fabrication of semiconductor devices and many other useful devices.
  • Well-known techniques of chemical vapor deposition (“CVD”) utilize chemically reactive molecules that react in a reaction chamber to deposit a desired film on a substrate.
  • Molecular precursors useful for CVD applications comprise elemental (atomic) constituents of the film to be deposited and typically additional elements.
  • CVD precursors are volatile molecules that can be practically delivered, in the gas phase, to react at the substrate.
  • CVD is practiced in the art by a variety of techniques. Desired thin film properties and cost-effective operational parameters influence the choice of equipment, precursor composition, pressure range, temperature, and other variables. Many different apparatuses and methods have been successfully implemented. Common to most CVD techniques is the application of a well-controlled flux of one or more molecular precursors into the CVD reactor. A substrate is kept at a well-controlled temperature under well-controlled pressure conditions to promote chemical reaction between the molecular precursors concurrent with efficient desorption of byproducts. The chemical reaction is allowed to proceed to deposit the desired thin film with a desired film thickness.
  • Optimum CVD performance directly correlates with the ability to achieve and sustain steady-state conditions of flux, temperature, and pressure throughout the process, in which unavoidable transients are suppressed or minimized.
  • CVD has provided uniform and conformal coatings with reproducible thickness and exceptional quality.
  • ALD atomic layer deposition
  • M metal element
  • the metal precursor reacts with the substrate.
  • This ALD reaction occurs only if the substrate surface is prepared to react directly with the molecular precursor.
  • the substrate surface typically is prepared to include hydrogen-containing ligands, AH, that are reactive with the metal precursor.
  • the gaseous precursor molecule effectively reacts with all the ligands on the substrate surface, resulting in deposition of an atomic layer of the metal: substrate- AH + ML X ⁇ substrate-AML ⁇ . 1 + HL, where HL is a reaction by-product.
  • HL is a reaction by-product.
  • the initial surface ligands, AH are consumed, and the surface becomes covered with L ligands, which cannot further react with metal precursor ML X . Therefore, the reaction self-terminates when all the initial AH ligands on the surface are replaced with AML X-1 species.
  • the reaction stage is typically followed by an inert-gas purge stage that eliminates the metal precursor from the chamber prior to the separate introduction of the other precursor.
  • a second molecular precursor then is used to restore the surface reactivity of the substrate towards the metal precursor. This is done, for example, by removing the L ligands and redepositing AH ligands.
  • the second precursor typically comprises the desired (usually nonmetallic) element A (i.e., O, N, S), and hydrogen (i.e., H 2 0, NH 3 , H 2 S).
  • substrate-ML + AH y -» substrate-M- AH + HL (here, for the sake of simplicity, the chemical reactions are not balanced) converts the surface back to being AH-covered.
  • the desired additional element, A is incorporated into the film and the undesired ligands, L, are eliminated as volatile by-product.
  • the reaction consumes the reactive sites (this time, the L terminated sites) and self-terminates when the reactive sites on the substrate are entirely depleted.
  • the second molecular precursor then is removed from the deposition chamber by flowing inert purge-gas in a second purge stage.
  • This sequence of surface reactions and precursor-removal that restores the substrate surface to its initial reactive state is a typical ALD deposition cycle. Restoration of the substrate to its initial condition is a key aspect of ALD. It implies that films can be layered down in equal metered sequences that are all identical in chemical kinetics, deposition per cycle, composition, and thickness. Self-saturating surface reactions make ALD insensitive to transport nonuniformity. This transport nonuniformity may pertain either to the engineering and the limitations of the flow system or could be related to surface topography (i.e., deposition into three dimensional, high aspect ratio structures). Nonuniform flux of chemicals can only result in different completion times at different areas.
  • ALD atomic layer deposition
  • an ALD process deposits about 0.1 nm of a film per ALD cycle.
  • a useful and economically feasible cycle time must accommodate a thickness in a range of about from 3 nm to 30 nm for most semiconductor applications, and even thicker films for other applications.
  • Industry throughput standards dictate that substrates be processed in 2 minutes to 3 minutes, which means that ALD cycle times must be in a range of about from 0.6 seconds to 6 seconds.
  • an ALD process requires alternating in sequence the flux of chemicals to the substrate.
  • a representative ALD process as discussed above, requires four different operational stages: 1. ML X reaction;
  • ALD reactor facilitates low gas residence times and increases the speed of removal
  • ALD reaction time requires maximizing the flux of chemical precursors into the ALD reactor through the use of a high pressure within the ALD reactor.
  • gas residence time and chemical usage efficiency are inversely proportional to the flow. Thus, while lowering flow will increase efficiency, it will also increase gas residence time.
  • ALD apparatuses have struggled with the trade-off between the need to shorten reaction times and improve chemical utilization efficiency, and on the other hand, the need to minimize purge-gas residence and chemical removal times.
  • Certain ALD systems of the prior art contain chemical delivery manifolds using synchronized actuation of multiple valves. In such systems, satisfactory elimination of flow excursions is impossible because valve actuation with perfect synchronization is itself practically impossible. As a result, the inevitable flow excursions are notorious for generating backflow of gas that leads to adverse chemical mixing.
  • Film growth on chamber walls deteriorates performance of the ALD apparatus to the extent that the growth of film produces an increased surface area on the walls of the ALD chamber.
  • the propensity of films to grow on the chamber walls scales with the surface area of the chamber walls.
  • increased surface area further extends chamber memory effects.
  • An increase in surface area may result from the growth of inferior porous film deposits.
  • Film growth that results in porous deposits can extend chamber memory by entrapments of chemical molecules inside the pores.
  • a well optimized ALD apparatus and method is designed to maintain adequate minimal coexistence of ALD precursors in the reaction space in which ALD deposition on a substrate occurs.
  • adverse coexistence of ALD precursors is practically inevitable in the system space downstream from the ALD reaction space, provided that throughput is not significantly compromised.
  • the adverse coexistence could only be avoided by purging a substantially larger volume, thereby significantly sacrificing throughput of the ALD system.
  • ALD precursors coexisting in a chamber space tend to produce inferior films.
  • throughput-optimized ALD systems suffer from the tendency to grow inferior solid deposits in the space immediately downstream from the ALD space. Inferior film growth becomes increasingly worse because the inferior films present increased surface area, which enhances precursor coexistence, thereby aggravating the problem.
  • ALD performance deteriorates.
  • inferior deposition of particles on the substrate results.
  • conventional ALD systems operated at peak throughput are doomed to rapid buildup of contamination and rapid degradation of ALD performance.
  • throughput-optimized ALD systems are characterized by precursor- coexistence immediately downstream from the ALD reaction space, maintaining these systems at peak performance over long and cost-effective maintenance cycles dictates that the unavoidable downstream deposition of films be actively controlled for adequate quality and preferred location. Localized precursor abatement downstream from the ALD space would also substantially reduce wear of downstream components such as pumps, valves, and gauges.
  • Cold and hot traps have been extensively used to remove undesired constituents from downstream effluents, in the sub-atmospheric pressure range, and are well known to those who are skilled in the art.
  • Other techniques have also been effective for this purpose, such as plasma abatement apparatuses and residence-time extending traps.
  • Many of these abatement solutions are available in the commercial market as "turn-key" equipment that can be adapted for effective use on a variety of different systems.
  • these abatement apparatuses implement sacrificial abatement surfaces for effectively trapping reactive constituents either permanently (e.g., by chemical reaction to deposit solid films) or temporarily.
  • a majority of these traps can be adapted, in principle, into the downstream of ALD systems.
  • considerations of safety and the need to seamlessly integrate abatement into an optimized ALD system considerably restrict the practical feasibility and cost effectiveness of most abatement techniques.
  • ALD precursor combinations can deposit exceptional quality ALD films but, if allowed to react under CVD conditions, under typical exhaust conditions where the concentration of AH y precursor is high, create inferior films.
  • the quality of the CVD deposits improve by elevating the temperature and by maintaining the concentration of AH y precursors at very low levels.
  • a generalized ALD abatement solution should be suitable for many different types of ALD processes.
  • Patent Application Publication 2002/0187084 describes a method for removing substances in gases discharged from an ALD reaction process that involves directing excess reactant to sacrificial material maintained at substantially the same reaction conditions as at the substrate.
  • conditions in the abatement space must, by definition, deviate from conditions in the ALD space.
  • ALD space is optimized to grow high-quality ALD films
  • coexistence of ALD precursors in the abatement space could promote deposition of inferior films.
  • Practical capacity of abatement surfaces dictate that either the abatement surface is made of very high porosity element or the abatement volume made of very large volume.
  • H 2 0 precursor used in an ALD process to deposit AI 2 O 3 from TMA and H 2 0 could accumulate in the abatement space to a substantially high partial pressure, promoting deposition of inferior films.
  • This potential accumulation of H 2 0 would be aggravated if the deposition of inferior films became excessive, and diffusion of accumulated H O back into the reaction space could lead to deteriorated ALD performance.
  • hot traps such as the one described in U.S.
  • Application Publication 2002/0187084 are not a good choice for ALD abatement unless means are provided to control accumulation of ALD precursors, typically the ones that must be excessively used. It is also essential for a generic abatement solution to provide generic means of abatement capable of generating quality film deposition under a variety of conditions.
  • gas entrapment and gas-flow disturbances in a reaction chamber, and resulting gas-flow and gas-pressure nonuniformities at the substrate surface commonly cause adverse nonuniformities in the thickness and other characteristics of the deposited thin film.
  • ALD gas- flow and gas-pressure nonuniformities during chemical dosage do not necessarily cause film nonuniformities, provided that appropriately long dosage times are implemented.
  • gas entrapment and gas-flow disturbances often severely and adversely impact the effectiveness of purge steps.
  • the "dead-leg" space associated with the wafer transport channel in the wall of a single wafer processing chamber is a known problem in the art of wafer processing such as CVD, etch, ALD and PVD.
  • ALD purge of this space typically is impossible.
  • the art of single wafer deposition has produced a variety of effective remedies for this problem.
  • U.S. Patent No. 5,558,717 issued September 24, 1996 to Zhao et al. teaches the advantageous implementation of an annular flow orifice and an annular pumping channel. This annular design requires a relatively wide process-chamber design.
  • U.S. Patent No. 6,174,377 issued January 16, 2001 to Doering et al.
  • Embodiments in accordance with the invention helped solve some of the problems described above.
  • Systems, apparatuses, and methods in accordance with the invention provide Synchronous Modulation of Flow and Draw ("SMFD") in chemical processes, and particularly in atomic layer deposition processes and systems.
  • SMFD Synchronous Modulation of Flow and Draw
  • Atomic layer deposition is preferably practiced with the highest possible flow rate through the deposition chamber during purge, and with the lowest possible flow rate during dosage of chemicals. Accordingly, an ALD system in accordance with the invention generates and accommodates significant modulation of flow rates during ALD cycles.
  • the flow of process gas either inert
  • I purge gas or chemical reactant gas) into a process chamber is referred to herein as "flow”; the flow of gas out of a process chamber is referred to herein as “draw”.
  • flow the flow of gas out of a process chamber
  • draw the flow of gas out of a process chamber
  • SMFD in accordance with the invention provides the ability to purge a process chamber at a low-pressure and a high purge-gas flow rate, and sequentially to conduct chemical dosage in the process chamber at a high-pressure and a low flow rate of chemical reactant gas, and to modulate pressures and gas flow rates with fast response times.
  • a method in accordance with the invention comprises a cycle of conducting a first chemical dosage stage, the first chemical dosage stage comprising flowing a first chemical reactant gas through a deposition chamber at a selected first-dosage flow rate and at an independently selected first-dosage pressure; secondly, conducting a first purge stage by flowing a first purge gas through the deposition chamber at a selected first purge flow rate and at an independently selected first purge pressure; thirdly, conducting a second chemical dosage stage, the second chemical dosage stage comprising flowing a second chemical reactant gas through the deposition chamber at a selected second- dosage flow rate and at an independently selected second-dosage pressure; and fourthly, conducting a second purge stage by flowing a second purge gas through the deposition chamber at a selected second purge flow rate and at an independently selected second purge pressure.
  • the first purge gas and second purge gas are the same and are supplied by a common purge gas source.
  • An inherent characteristic of methods in accordance with the invention is that the first chemical dosage stage, the first purge stage, the second dosage stage, and the second purge stage are each conducted for a selected, controlled time period, which remains the same in each repetition of the cycle.
  • a typical four-stage cycle is commonly repeated scores or hundreds of times to deposit a single thin film in an ALD process.
  • An important benefit of embodiments in accordance with the invention is that the duration of each stage of a cycle, and consequently the total time duration of a cycle, is typically much shorter than the times that are practically feasible in conventional ALD processes and systems.
  • conducting the first chemical dosage stage, the first purge stage, the second chemical dosage stage, and the second purge stage in sequence typically comprises conducting the sequence in less than 3 seconds, preferably less than one second, and more preferably less than 0.5 second.
  • excellent ALD thin films of AI 2 O 3 have been produced by a method in accordance with the invention in which the cycle time was only 450 milliseconds ("msec").
  • the time duration of each of the four stages is typically different from that of the other stages.
  • the flow rate of each of the stages is typically different from that of the other stages in the cycle.
  • the first purge flow rate is greater than the first dosage flow rate, the ratio of the first purge flow rate to the first dosage flow rate typically exceeding 1.5, usually exceeding 20, and preferably exceeding 100.
  • the second purge flow rate is generally greater than the second dosage flow rate, the ratio of the second purge flow rate to the second dosage flow rate typically exceeding 1.5, usually exceeding 20, and preferably exceeding 100.
  • initiating the first chemical dosage stage includes initially flowing the first chemical reactant gas at a first transient flow rate, the first transient flow rate being initially substantially greater than the first-dosage flow rate.
  • initiating the second chemical dosage stage includes initially flowing the second chemical reactant gas at a second transient flow rate, the second transient flow rate being initially substantially greater than the second- dosage flow rate.
  • flowing a first chemical reactant gas at a selected first- dosage flow rate and at an independently selected first-dosage pressure comprises controlling the first-dosage flow rate of the first chemical reactant gas into the deposition chamber and independently substantially matching a first-chemical draw of the first chemical reactant gas out of the deposition chamber to the first-dosage flow rate.
  • independently substantially matching the first- chemical draw of the first chemical reactant gas out of the deposition chamber comprises controlling a first-dosage draw pressure downstream from the deposition chamber.
  • controlling the first-dosage draw pressure comprises flowing draw gas at a first-dosage draw-gas flow rate through a draw control chamber and controlling the first-dosage draw-gas flow rate to achieve the first- dosage draw pressure, the draw control chamber being located downstream from the deposition chamber.
  • flowing the first purge gas through the deposition chamber at the selected first purge flow rate and at the independently selected first purge pressure comprises controlling the first purge flow rate of the first purge gas into the deposition chamber and independently substantially matching a first purge- draw of the first purge gas out of the deposition chamber to the first purge flow rate.
  • independently substantially matching the first purge-draw of the first purge gas out of the deposition chamber comprises controlling a first purge- draw pressure downstream from the deposition chamber.
  • controlling the first purge-draw pressure comprises flowing draw gas at a first-purge draw-gas flow rate through the draw control chamber and controlling the first-purge draw-gas flow rate to achieve the first-purge draw pressure.
  • flowing a second chemical reactant gas at a selected second-dosage flow rate and at an independently selected second-dosage pressure comprises controlling the second-dosage flow rate of the second chemical reactant gas into the deposition chamber and independently substantially matching a second-chemical draw of the second chemical reactant gas out of the deposition chamber to the second-dosage flow rate.
  • independently substantially matching the second-chemical draw of the second chemical reactant gas out of the deposition chamber comprises controlling a second-dosage draw pressure downstream from the deposition chamber.
  • controlling the second-dosage draw pressure comprises flowing draw gas at a second-dosage draw-gas flow rate through the draw control chamber and controlling the second- dosage draw-gas flow rate to achieve the second-dosage draw pressure.
  • flowing the second purge gas through the deposition chamber at the selected second purge flow rate and at the independently selected second purge pressure comprises controlling the second purge flow rate of the second purge gas into the deposition chamber and independently substantially matching a second purge-draw of the second purge gas out of the deposition chamber to the second purge flow rate.
  • independently substantially matching the second purge-draw of the second purge gas out of the deposition chamber comprises controlling a second purge-draw pressure downstream from the deposition chamber.
  • controlling the second purge-draw pressure comprises flowing draw gas at a second-purge draw- gas flow rate through the draw control chamber and controlling the second-purge draw-gas flow rate to achieve the second-purge draw pressure, the draw control chamber being located downstream from the deposition chamber.
  • flowing a first chemical reactant gas at a selected first- dosage flow rate and at an independently selected first-dosage pressure comprises controlling the first-dosage flow rate of the first chemical reactant gas into the deposition chamber, and independently intentionally generating a mismatch between the first-dosage flow rate and a first-chemical draw of the first chemical reactant gas out of the deposition chamber by controlling a draw pressure downstream from the deposition chamber, so that the first-dosage pressure in the deposition chamber substantially changes during a pressure-transition period to reduce substantially the mismatch, thereby substantially matching the first-chemical draw to the first-dosage flow rate.
  • flowing a second chemical reactant gas at a selected second-dosage flow rate and at an independently selected second-dosage pressure comprises controlling the second-dosage flow rate of the second chemical reactant gas into the deposition chamber, and independently intentionally generating a mismatch between the second-dosage flow rate and a second- chemical draw of the second chemical reactant gas out of the deposition chamber by controlling a draw pressure downstream from the deposition chamber, so that the second-dosage pressure in the deposition chamber substantially changes during a pressure-transition period to reduce substantially the mismatch, thereby substantially matching the second-chemical draw to the second-dosage flow rate.
  • flowing the first chemical reactant gas through the deposition chamber comprises providing a first reactant-gas source having a known first-source pressure, and flowing first chemical reactant gas from the first reactant- gas source through a first-source flow restriction element ("FRE") into the deposition chamber.
  • FRE first-source flow restriction element
  • flowing the second chemical reactant gas through the deposition chamber comprises providing a second reactant-gas source having a known second-source pressure, and flowing second chemical reactant gas from the second reactant-gas source through a second-source FRE into the deposition chamber.
  • a method in accordance with the invention preferably also includes filling a first booster chamber with the first chemical reactant gas at substantially the known first-source pressure during a time period not including the first chemical dosage stage, the first booster chamber being located downstream from the first-source FRE and upstream from the deposition chamber and subsequently initiating the first chemical dosage stage by opening a first chemical shut-off valve, the first chemical shut-off valve being in serial fluidic communication between the first booster chamber and the deposition chamber, thereby initially flowing the first chemical reactant gas at a first transient flow rate, the first transient flow rate being initially substantially greater than the first-dosage flow rate.
  • a method in accordance with the invention preferably also includes filling a second booster chamber with the second chemical reactant gas at substantially the known second-source pressure during a time period not including the second chemical dosage stage, the second booster chamber being located downstream from the second-source FRE and upstream from the deposition chamber, and initiating the second chemical dosage stage by opening a second chemical shut-off valve, the second chemical shut-off valve being in serial fluidic communication between the second booster chamber and the deposition chamber, thereby initially flowing the second chemical reactant gas at a second transient flow rate, the second transient flow rate being initially substantially greater than the second-dosage flow rate.
  • an apparatus in accordance with the invention is able to modulate synchronously flow, draw, and pressure of gas in a process chamber.
  • the word "synchronously” here means in rapid controlled succession, with fast response times and with minimal uncontrolled excursions of pressure or gas flow rate.
  • a system in accordance with the invention includes an apparatus capable of modulating a flow of gas into a process chamber ("PC"), and substantially concurrently and independently matching a draw of the gas out of the process chamber to the flow to sustain a substantially steady pressure throughout the deposition while substantially modulating the flow and residence time of gas in the PC. Accommodating large flow modulations enables independent optimization of purge and dosage stages and is an important benefit of SMFD implementation.
  • some limited intentional pressure modulation in particular a desired pressure increase during chemical dosage, is implemented, in addition to the flow modulation.
  • pressure modulations are achieved if draw modulation, synchronously with flow modulation, is provided with some predetermined mismatch. This mismatch, which does not generate backflow in well-designed SMFD apparatuses and methods, causes the pressure in the PC to change during the pressure-transition period to reach flow-draw match, effecting thereby a synchronous pressure modulation.
  • an apparatus in another aspect, includes a process chamber, a process-gas conduit connected to the process chamber for controlling a flow rate of gas into the process chamber, a draw control chamber (“DC") configured for a flow of draw gas, a process-chamber flow restriction element (“FRE") in serial fluidic communication between the process chamber and the draw control chamber, a draw exhaust line in serial fluidic communication with the draw control chamber, and a draw-control FRE in serial fluidic communication with the draw exhaust line.
  • an FRE is designed to provide a certain conductance (or inversely, resistance) in a gas flow path.
  • a system further includes a draw-source shut-off valve to control a flow of draw-gas through the draw control chamber.
  • a system in still another aspect, includes a draw-source-FRE in serial fluidic communication with the draw-source shut-off valve and the draw control chamber.
  • a system further includes a plurality of process-gas shut-off valves in serial fluidic communication with the process-gas conduit, each shut-off valve being configured to control the inflow of a process gas into the process chamber.
  • one of the process-gas shut-off valves comprises a purge-source shut-off valve in serial fluidic communication with the process chamber for controlling the flow of a purge gas to the process chamber.
  • a system further includes a purge-source FRE in serial fluidic communication with the purge-source shut-off valve.
  • a system further includes a plurality of process-gas FREs, each process-gas FRE being in serial fluidic communication with one of the process-gas shut-off valves.
  • a system further includes a plurality of booster chambers, each booster chamber being in serial fluidic communication with the process-gas conduit, each booster chamber being located upstream from one of the process-gas shut-off valves and downstream from one of the process- gas FREs.
  • a system further includes a plurality of booster FREs, each booster FRE being downstream from one of the booster chambers.
  • a system further includes a gas distribution chamber in serial fluidic communication between the process-gas shut-off valves and the process chamber, and in serial fluidic communication between the purge-source shut-off valve and the process chamber, and a gas-distribution FRE in serial fluidic communication between the gas distribution chamber and the process chamber.
  • the gas-distribution FRE comprises a nozzle array.
  • the nozzle array comprises a plurality of nozzles having aspect ratios not less than 1.5.
  • a system further includes a purge exhaust line in serial fluidic communication with the gas distribution chamber, and a purge-exhaust shut- off valve, the purge-exhaust shut-off valve being in serial fluidic communication between the gas distribution chamber and the purge-exhaust line.
  • a system further includes a purge-exhaust FRE in serial fluidic communication with the purge-exhaust shut-off valve.
  • some of the process-gas shut-off valves comprise a multi-way valve having a plurality of non-common ports and a plurality of common ports, each of the non-common ports being in serial fluidic communication with a process-gas source, a plurality of common ports being in serial fluidic communication with the process chamber, and at least one common port being in serial fluidic communication with the purge- source shut-off valve.
  • an apparatus further includes a draw-gas introduction chamber ("DGIC"), the DGIC being in serial fluidic communication between the process chamber and the draw control chamber, a draw-source shut- off valve to control a flow of draw-gas into the DGIC, a process-chamber FRE located between the process chamber and the DGIC, and a DGIC-FRE located between the DGIC and the draw control chamber.
  • a system further includes a draw-source-FRE located in serial fluidic communication with the draw-source shut-off valve and the DGIC.
  • a system further includes an abatement surface located in the draw control chamber.
  • a system further includes a reactive gas inlet for introducing reactive gas into the draw control chamber to enhance chemical abatement.
  • the reactive gas inlet comprises a reactive gas plenum proximate to the draw control chamber.
  • a system further includes an abatement chamber, the abatement chamber located downstream from the draw control chamber.
  • a system further includes a pressure control chamber located downstream from the draw control chamber and in serial fluidic communication with the draw control chamber and the draw exhaust line.
  • the process chamber is an atomic layer deposition chamber ("ALDC").
  • a system in accordance with the invention includes a reactor vessel having a reactor-vessel wall and a vessel interior wherein the deposition chamber, the DGIC, and the draw control chamber are enclosed in the vessel interior.
  • a system in accordance with the invention includes a reactor vessel containing a perimeter slot valve ("PSV"), whereby the perimeter slot valve includes a substrate-transport slot through the reactor-vessel wall, a continuous perimeter cavity within the reactor-vessel wall, a continuous perimeter- sealing poppet, and an actuator for moving the sealing poppet between an open position and a closed position, wherein the sealing poppet is moved into the perimeter cavity in the closed position, the sealing poppet is moved out of the perimeter cavity in the open position, the substrate-transport slot is substantially coplanar with a substrate-supporting surface of a substrate holder, the perimeter cavity is substantially coplanar with the substrate-transport slot, the substrate- transport slot defines a substrate-transport channel through the reactor-vessel wall to the substrate hplder when the sealing poppet is in the open position, and the sealing poppet separates the substrate-transport slot from the vessel interior when the sealing poppet is in the closed position.
  • PSV perimeter slot valve
  • pressure in the process chamber is maintained substantially constant during synchronous flow-draw modulation.
  • throughput and material utilization are further improved by conducting one or more chemical-dosage stages at higher pressures.
  • the pressure during purge is maintained in a range of about from 30 mTorr to 100 mTorr, while ALD- pressure during chemical dosage is maintained in a range from 200 mTorr to 1000 mTorr.
  • embodiments in accordance with the invention control pressure in a process chamber by flowing a process gas into a process chamber and flowing a draw-control gas into a draw control chamber in serial fluidic communication with said process chamber and downstream from the process chamber, thereby controlling a draw pressure downstream from the process chamber.
  • flowing a draw-control gas into the draw control chamber comprises flowing a reactive gas to promote chemical abatement in the draw control chamber.
  • draw pressure is controlled at less than 1 atm pressure, and typically at less than 5 Torr.
  • FIG. 1 depicts a flow diagram of a basic embodiment of a Synchronously
  • SMFD Modulated Flow Draw
  • FIG. 2 depicts in schematic form a cross-sectional view of an SMFD ALD reactor vessel in accordance with the invention
  • FIG. 3 depicts a graph in which calculated values of showerhead pressure are plotted as a function of chemical-dosage flow rate for the aperture-type and the preferred tube-type nozzle-array designs in a showerhead;
  • FIG. 4 depicts a graph in which fractional chemical dosage (replacement of inner purge gas by chemical reactant gas) is plotted as a function of chemical- dosage time, for a showerhead and a deposition chamber, with and without a booster-generated transient pulse of chemical at the initiation of the chemical- dosage stage, showing the effect of the booster chamber on chemical-dosage efficiency;
  • FIG. 5 depicts a graph that compares the actual chemical usage for an embodiment of ALD in accordance with the invention with a conventional continuous flow process as currently practiced in the art;
  • FIG. 6 depicts in schematic form various flow streams of gases through an SMFD ALD system in accordance with the invention
  • FIG. 7 displays in graphic form the calculated time dependence of flow rates Q1 , Q2, and Q4 when Q3 was set at 1100 seem (with reference to FIG. 6);
  • FIG. 8 displays the time dependence of deposition-chamber pressure, draw chamber pressure, and their pressure differential, ⁇ P, when Q3 (of FIG. 6) is 1100 seem;
  • FIG. 9 depicts in schematic form a design of an exemplary chemical abatement element in accordance with the invention.
  • FIG. 10 depicts a flow diagram of an ALD system in accordance with the invention comprising a separate abatement chamber downstream from the draw control chamber;
  • FIG. 11 depicts a flow diagram of an ALD system in accordance with the invention comprising an active pressure control chamber;
  • FIG. 12 depicts in schematic form an exemplary SMFD ALD system comprising a DGIC in accordance with the invention
  • FIG. 13 depicts a multiple-port gas delivery valve used in accordance with the invention.
  • FIG. 14 depicts a 3-dimensional graph in which thin film thickness is plotted as a function of wafer-location on a 200 mm wafer substrate on which Al 2 0 3 was deposited using an SMFD ALD apparatus and a method in accordance with the invention
  • FIG. 15 depicts in schematic form a cross-section of a preferred embodiment of an ALD reactor vessel containing a DGIC and a PSV (in the closed position) in accordance with the invention
  • FIG. 16 depicts the reactor vessel of FIG. 15 in which the PSV is in the open position
  • FIG. 17 depicts in schematic form an SMFD system in accordance with the invention designed for non-centrosymmetric flow in the process chamber.
  • FIGS. 1 - 17 The invention is described herein with reference to FIGS. 1 - 17.
  • the same reference numerals are used in several figures to refer to similar or identical components.
  • the structures and systems depicted in schematic form in FIGS. 1 - 17 serve explanatory purposes and are not precise depictions of actual structures and systems in accordance with the invention.
  • the embodiments described herein are exemplary and are not intended to limit the scope of the invention, which is defined in the claims below.
  • Embodiments in accordance with the invention are described below with reference mainly to systems and methods for ALD deposition onto a single 200 mm wafer substrate. It is understood that the invention is useful on larger or smaller scales, and that the dimensions and operating variables discussed below can be scaled up or down appropriately.
  • Atomic layer deposition is preferably practiced with the highest possible flow rate through the deposition chamber during purge, and with the lowest possible flow rate during dosage of chemicals. Accordingly, an efficient ALD system is able to generate and accommodate significant modulation of flow rates. Under steady-state conditions, the flow of process gas (either inert purge gas or chemical reactant gas) into a chamber, referred to herein as “flow”, matches the flow of gas out of a chamber, referred to herein as "draw”.
  • process gas either inert purge gas or chemical reactant gas
  • a system in accordance with the invention is capable of substantially matching the flow and the draw.
  • a representative ALD cycle includes a sequence of chemical A dosage, A purge, chemical B dosage, and B purge at gas flow rates of 10 seem, 1000 seem, 5 seem, and 1000 seem, respectively. Process pressure is maintained substantially steady if the draw is controlled to modulate synchronously at substantially the same flow rate.
  • Modulating conductance out of process chambers is commonly used in the art of process flow systems, such as CVD, PVD, and etch systems, using mechanical devices named throttle-valves. While throttle valves have been adequately used to control steady-state pressure in those systems, they are currently too slow to accommodate the fast response times required in SMFD systems. In addition, throttle valves cause undesirable flow disturbance and generate particles. To circumvent flow distortion and particle generation problems, throttle valves are typically conventionally utilized at a downstream location that is significantly remote from the process zone. A preferred ALD system design, however, minimizes ALD deposition chamber volume, compelling location of draw control proximate to the substrate. Other means to modulate conductance, such as changing the temperature of the process outlet, are rather limited in range and very slow. Finally, draw modulation may be achieved by modulating the pumping speed of a vacuum pump. Significant pumping speed modulation, however, responds slowly and also significantly wears the pump if attempted at desired ALD switching rates.
  • ⁇ P modulation is ⁇ P modulation.
  • Modulation of ⁇ P while maintaining process-chamber pressure, P PC , substantially constant is practiced in accordance with the invention by modulating Ppr a w-
  • a draw control chamber DC
  • the draw control chamber has an outlet with conductance Cor a w-
  • the flow of gas into the draw control chamber is the total of the draw from the process chamber and the directly inserted draw-gas flow.
  • draw control chambers can be made very small, modulation of ⁇ P in certain embodiments is practiced with sub-millisecond speed. Certain embodiments of the invention are advantageously implemented with a small-volume DC chamber to facilitate process conditions with short transient times. Other embodiments advantageously sacrifice draw-control speed to provide substantially larger draw control chambers.
  • Draw control chambers with several liters of volume are useful for trapping or abatement of chemicals. As a result, the draw-control response time is in the 10 msec - 20 msec range in a typical 200 mm wafer deposition system, but with the benefit of about 3 liters of usable draw control chamber space.
  • both a fast responding draw chamber and a large volume abatement chamber are provided by using a small draw control chamber (e.g., 40 ml) with a separate additional abatement chamber located downstream to the draw control chamber.
  • a small draw control chamber e.g. 40 ml
  • additional abatement chamber located downstream to the draw control chamber.
  • the pressure drop on the draw chamber FRE is preferably large, residence times in the additional abatement chamber are kept adequately long using a large volume abatement chamber. For example, if the pressure drops by a factor of 10 over the draw-control FRE, the abatement chamber must be 10 times larger to provide similar effective abatement, typically 10 liters - 50 liters instead of 1 liter - 5 liters.
  • Response time of ⁇ P-modulation is directly related to response time of PD C modulation, and depends on the DC volume, the conductance of the DC outlet and the flow.
  • FIG. 1 depicts a flow diagram of a basic embodiment of a Synchronously Modulated Flow Draw (“SMFD”) ALD system 100 in accordance with the invention.
  • System 100 comprises a pressure-stabilized inert, purge-gas source 101.
  • Purge gas is supplied through purge-source shut-off valve 102 and purge-source flow restriction element (“FRE") 103 into gas distribution chamber 104, which is commonly a conventional showerhead.
  • purge-source shut- off valve 102 and purge-source FRE 103 provide serial fluidic communication between purge-gas source 101 and gas distribution chamber 104.
  • flow restriction elements FREs
  • a chemical reactant precursor in the form of a pure chemical gas, a vapor from a liquid or solid chemical, or mixtures of vapor or gas chemicals with inert gas is provided at well-controlled pressure at a plurality of chemical-gas sources 105, 105'.
  • Chemical-gas source 105 is connected in serial fluidic communication with booster chamber 107 through chemical-source-FRE 106.
  • Booster chamber 107 is connected in serial fluidic communication with gas distribution chamber (showerhead) 104 through chemical-dosage shut-off valve 110 and booster-FRE 109.
  • Gas distribution chamber 104 is connected in serial fluidic communication to purge exhaust line 112 through booster-FRE 109, purge- exhaust shut-off valve 108, and purge-exhaust FRE 111.
  • chemical-dosage shut-off valve 110 and purge-exhaust shut-off valve 108 each comprise a simple two-way valve in parallel branches of the gas manifold system of system 100, which branch off from junction 123.
  • second chemical-gas source 105' is connected to showerhead 104 and purge exhaust line 112 with devices corresponding to those described with reference to chemical-gas source 105.
  • Gas-distribution FRE 113 provides serial fluidic communication between gas distribution chamber 104 and atomic layer deposition chamber ("deposition chamber") 114.
  • gas-distribution FRE 113 is commonly a nozzle array 113.
  • a nozzle array provides restricted and uniform flow from gas distribution chamber 104 to deposition chamber 114, which contains a substrate being treated.
  • Deposition chamber 114 is connected in serial fluidic communication to draw control chamber 116 through deposition-chamber FRE 115.
  • Draw control chamber 116 comprises a draw-control outlet 124, which is connected in serial fluid communication to vacuum pump 125 through draw-control FRE 117 and vacuum line 118.
  • a draw-gas source 101 is connected in serial fluidic communication to draw control chamber 116 through draw-gas line 119, draw-source shut-off valve 120, and draw-source-FRE 121.
  • apparatus 100 is switched essentially between two static modes, a purge mode and a chemical-dosage mode.
  • Representative valve-settings of the two basic modes of operation are presented in Table 1. TABLE 1
  • an ALD process typically comprises a cycle having at least four distinct stages, and the cycle is repeated multiple times in sequence to deposit the desired thin film.
  • Table 1 and FIG. 1 are representative for a chemical-dosage stage and a purge stage of a typical four-stage cycle.
  • FREs 103 and 113 are designed to deliver a desired flow, Q pur ge, of purge gas from purge-gas source 101 into gas distribution chamber 104; then through gas distribution chamber 104, deposition chamber 114, and draw control chamber 116 into draw-control outlet 124; and then through FRE 109 and purge- exhaust shut-off valve 108 to purge exhaust line 112, while showerhead pressure, P pu ge anc ' ALD deposition-chamber Pn 4 are maintained.
  • FRE 106 and 109 are designed to deliver chemical reactant gas to gas distribution chamber (showerhead) 104 typically at a chemical-dosage flow rate, QCD, which is preferably substantially less than Q pur g e - This lower flow is accompanied by lower showerhead pressure, P c s " .
  • FREs 106, 109 are typically designed so that chemical-dosage flow rate, QCD, is practically independent of pressures Piu and Pw4-
  • draw-gas source 101 at known draw-gas-source pressure supplies draw gas at a desired draw-gas flow rate to draw control chamber 116 through FRE 121.
  • the draw-gas-source pressure at source 101 and FRE 121 determine the draw-gas flow rate through draw control chamber 116.
  • This draw-gas flow rate and FRE 117 establish chemical-dosage draw pressure in draw control chamber 116.
  • the draw pressure increases as draw-gas flow rate increases.
  • the draw of process gas from deposition chamber 114 into and through draw control chamber 116 also contributes slightly to the total flow rate of gases through draw control chamber 116, and therefore to the draw pressure.
  • An increase in the chemical-dosage draw pressure in draw control chamber 116 reduces the draw from deposition chamber 114 into and through draw control chamber 116.
  • a decrease in the chemical-dosage draw pressure in draw control chamber 116 increases the draw from deposition chamber 114.
  • a significant transient mode is designed into the initiation of chemical dosage.
  • shut-off valve 110 When shut-off valve 110 is actuated to open, the initial pressure at booster chamber 107 transients from P 105 down to the steady-state pressure, P ⁇ .
  • the flow of chemical reactant gas into gas distribution chamber 104 during chemical dosage is determined by the pressure at booster chamber 107 and the conductance of FRE 109.
  • a pressure transient at booster chamber 107 causes a pressure transient at gas distribution chamber 104.
  • the corresponding flow of chemically reactive gas into deposition chamber 114 conforms to an initial pulse that gradually decreases to the steady- state flow rate.
  • the draw pressure in draw control chamber 116 conforms to an initial pulse that transients downward.
  • the transient time is determined by the volume of draw control chamber 116, the draw flow rate, and the conductance of FRE 117.
  • the draw out of deposition chamber 114 follows a downward transient.
  • Transient flow and transient draw are matched to minimize pressure-excursions in deposition chamber 114 through selective design of the conductance of each of FREs 106, 109, and 117, of the draw-control flow rate and of the volumes of booster chamber 107 and draw control chamber 116. It is an important aspect of the invention, however, that pressure excursions are internally suppressed by an SMFD system (especially when operated at steady-pressure) even when transient-time constants are not perfectly matched and various valve actuations are not perfectly synchronized. In fact, a major advantage of SMFD implementation as taught in some of the embodiments of this invention is the convenient and cost-effective insensitivity of performance to apparatus and process imperfections.
  • dosage steps are designed for maximized chemical flux and minimized usage of chemicals.
  • a typical 300 cc - 400 cc ALD chamber for the processing of 200 mm wafers remains practically free of chemical depletion effect at chemical dosage pressures from 200 mTorr.
  • implementing a dosage of 100% chemical at 200 mTorr typically requires negligible additional flow of dosage chemical beyond the effect of the booster.
  • TMA trimethylaluminum
  • Steady-state flow rates during a chemical-dosage stage are typically 1/10 to 1/100 of the purge-gas flow rate during a purge stage.
  • the time scale to establish a steady state of chemical dosage is, therefore, unacceptably long.
  • effective chemical dosage steps are tailored to provide an initial fast flow of chemical at the initial stage of chemical dosage steps. Accordingly, the initial flow transient serves to reduce significantly the response time of a chemical-dosage stage. This reduced response time is important for enhancing the efficiency and throughput of an ALD process.
  • the volumes of gas distribution chamber 104 and deposition chamber 114 are quickly filled by a pulse of chemical-gas at an initially high pressure and at a corresponding initially high transient flow rate.
  • Initial transient flow rate during chemical dosage is typically adjusted to match the purge flow rate of inert purge gas flow during a preceding purge stage. Accordingly, continuity of flow is preserved.
  • Gas distribution chamber 104 and deposition chamber 114 are quickly filled with chemical gas because the residence time of chemical gas flowing through the chambers is short, corresponding to the initially high chemical-dosage flow rate.
  • Booster chamber volume and booster FREs are selectively designed to substantially match the draw chamber pressure increase at the initial stage of chemical dosage.
  • Booster chamber volume is also designed to provide an integrated booster exposure on the order of 1 pressurexvolume - 2 pressurexvolume equivalents of the ALD chamber during dosage. For example, if the deposition chamber volume is 400 cc and the dosage pressure is 200 mTorr, the booster volume is designed to provide a booster exposure of 0.08 literxTorr - 0.16 literxTorr.
  • ALD system 100 is switched from a chemical-dosage mode to a purge mode, the transients are usually much less important.
  • the relatively small volume of gas distribution chamber 104 is quickly loaded to P through the relatively high conductance of FRE 103.
  • draw control chamber 116 When a small-volume draw control chamber is implemented, pressure drop in draw control chamber 116 from a chemical-dosage draw pressure to a purge-draw pressure is much faster than purge residence time, and the switching from dosage to purge occurs on a timescale of several msec. However, when the volume of the draw chamber is large, the response time for draw control chamber pressure drop is longer than the purge residence time in the process chamber. For example, in a typical process used for ALD on 200 mm wafers, the residence time in the process chamber is 3 msec. Draw pressure modulation between chemical dosage and purge are on the order of 10% - 20% of the total draw pressure.
  • a draw-control chamber volume of 1 liter - 5 liters is convenient for integrating abatement capability into the system (see description below). Accordingly, the draw chamber pressure modulation follows a typical time constant of 10 msec - 20 msec. As a result, some process chamber excursions are unavoidable at the end of a dosage step. Nevertheless, these pressure modulations resulted in no measurable performance penalty. Also, there is no reason to produce a transient intentionally, such as in the case of the initial pulse of a chemical-dosage stage. Accordingly, transient effects associated with terminating a chemical-dosage stage are minor.
  • an SMFD ALD system in accordance with the invention resolves the conventional trade-off between the need for high flow (and low pressure) during purge (to enable efficient and short-time purge) and the need for low flow (and high pressure) during chemical dosage (to enable fast reaction and high chemical utilization).
  • the system is able to maintain substantially constant process pressure while the flow rates are modulated by more than a factor of 10.
  • process hardware and recipes in accordance with the invention have been used successfully to generate controlled modulations in flow and draw exceeding a factor of 100 at steady pressure.
  • both pressure and flow can be modulated in order to gain even higher efficiencies for purge and chemical-dosage stages with virtually no trade-off effects.
  • An apparatus and a method in accordance with the invention achieve this desired capability by modulating the draw (flow of gas out of the deposition chamber) in synchronization with modulating the flow of gas into the deposition chamber.
  • an advantage of systems and methods in accordance with the invention is that some of the structures and techniques of practicing the invention use commercial parts in novel combinations, allowing low-cost design and utilization of embodiments of the invention.
  • certain embodiments include a centralized, single point-supply of inert gas at a known pressure, which gas is distributed in a selectively controlled manner through flow restriction elements within the system.
  • An example of an inert gas source is a commercially available pressure controller (e.g., the MKS 640A series) and a gas container.
  • Another example is a simple pressure regulator.
  • a duration of approximately 100 msec is a practical target for each of the chemical-dosage and purge stages.
  • valves 102, 120, 108, and 110 represent a set of valves for one chemical source.
  • the ALD system includes two such valves for each chemical-gas source.
  • ALD typically requires at least two different chemical-gas sources.
  • UHP solenoid valves e.g., Parker General Valve series 99, Fujikin ECV series
  • piezoelectric valves e.g., electronic fuel injectors, proportional valves, and fast MFCs (e.g., Mach One of AE-EMCO).
  • MFCs Mach One of AE-EMCO
  • Embodiments in accordance with the invention utilize FREs.
  • FREs can be adjustable components (e.g., metering valves, proportional valves, heated orifices, fast MFCs) or passive components (orifices, baffles, capillaries, porous media). Passive FREs typically are less expensive and more robust than adjustable FREs. Nevertheless, adjustable FREs are usually better suited for optimization adjustments.
  • the required FRE-conductance is preferably designed into the conductance of the flow-path.
  • a preferred design integrates the FRE with the shut-off valve.
  • valve-FRE it is preferable to design the valve to have the necessary conductance when fully open.
  • devices such as proportional valves and Mach One fast MFCs can be opened to the desired conductance.
  • adjustability of FREs 103, 106, 109, 111, and 121 allows efficient and independent optimization of static purge modes, static chemical-dosage modes, and chemical-dosage transient performance.
  • the pressure of a chemical-gas source 105 is preferably higher than 1 Torr to be high enough for convenient usage.
  • chemical-gas source 105 must be able to sustain pressure during a chemical-dosage stage.
  • chamber and related terms refer to a component having a relatively substantial enclosed volume, V, with at least one inlet and one outlet, in which within a range of useful flow rates, Q, the pressure gradient, AP , across the chamber (e.g., between an inlet and an outlet) is substantially smaller than the average pressure, P, in the chamber.
  • the ratio ⁇ P/P of a chamber is less than 0.1 when V is about 1000 cc and the flow, Q, through the chamber is about 1000 seem or less.
  • the residence time, ⁇ , in a chamber is typically 50 ⁇ sec or longer.
  • the term "flow restricting element" refers to a component having a negligible volume and typically having only one inlet and one outlet, in which within the range of useful flow rates, Q, the pressure gradient, ⁇ P , is relatively large compared to the average pressure, P, between the inlet and the outlet of the FRE.
  • the ratio ⁇ P/P is greater than 0.1 when the flow, Q, through the FRE is about 1000 seem or less.
  • the residence time, ⁇ , of a gas in a FRE is relatively short, generally, less than 50 ⁇ sec.
  • process chamber means that in the process space, pressure gradients are generally minimized, although it is clear that pressure gradients exist wherever there is flow in a flow system.
  • an elongated process chamber is designed to include a pressure gradient across it.
  • a FRE having negligible V (or ⁇ ) is not practical. Yet, a FRE with a significant volume is commonly used with no performance penalty.
  • the properties of a FRE having a significant volume is practically described and designed by combining a pure chamber, V, and a pure FRE, much like the electrical description of electrical circuit elements, for example, inductors with resistance, that are described by an "equivalent circuit".
  • a line that contains a capillary and a valve may be represented by a single effective FRE.
  • flow-resistance properties are designed into the construction of valves.
  • FIG. 2 depicts in schematic form a cross-sectional view of an SMFD ALD reactor vessel 200 in accordance with the invention.
  • apparatus 200 that are standard in the art were not included in FIG. 2, such as a wafer-loading port, wafer lift pins, and electrical feedthroughs.
  • Gas distribution chamber (showerhead) 201 has a 100 mm internal diameter and the internal volume inside is 3 mm high.
  • the showerhead need not cover all of the wafer-substrate surface area. Accordingly, the showerhead volume is 23.6 cm 3 .
  • Nozzle array (FRE) 202 separates the bottom of gas distribution chamber 201 from deposition chamber 203.
  • a 200 mm semiconductor wafer 204 is located on heated substrate holder 205 made from a thermally conducting metal (e.g., W, Mo, Al, Ni) or other materials commonly used in the art for hot susceptors and chucks.
  • the perimeter of the hot plate is attached with good thermal contact (or machined as one piece) to FRE 206.
  • FRE 206 is configured as a series of radial slots.
  • FIG. 2 depicts a portion 207 of the cross-section without a slot.
  • gas flows from deposition chamber 203 through deposition-chamber FRE 206 into draw control chamber 208.
  • Gas flows from draw control chamber 208 through draw-controlled FRE 209 to vacuum port 210.
  • Draw gas flows through draw-gas line 211 , draw-source shut-off valve 212, and draw-source FRE 213 into draw control chamber 208 to manage a draw pressure in draw control chamber
  • the flow rate of draw gas into draw control chamber 208 is selectively controlled to achieve variously a first-dosage draw pressure during a first chemical dosage stage, a purge-draw pressure during a purge stage, and a second-dosage draw pressure during a second chemical dosage stage.
  • the flow rate of draw gas into draw control chamber 208 is increased during a chemical dosage stage relative to the flow rate during a purge stage to increase the pressure (dosage draw pressure) in draw control chamber 208, thereby decreasing the draw of process gas (including chemical reaction precursor) out of deposition chamber 203, thereby minimizing the waste of chemical and, if necessary, increasing the pressure and the flux of chemical in deposition chamber 203.
  • the flow rate of draw gas into draw control chamber 208 is typically decreased back to a lower flow rate to decrease the pressure (purge-draw pressure) in draw control chamber 208, thereby increasing the draw of purge gas out of deposition chamber 203 to accommodate higher purge gas flow-rates and, if necessary, lower purge pressures.
  • a chemical-source line 214 a chemical-source FRE 215, a booster chamber 216, a chemical-dosage shut-off valve 217, a purge-exhaust shut- off valve 218, and a booster-FRE 219.
  • a thermal barrier 220 configured to inhibit thermal conductance between reactor-vessel walls 221 and reactor-vessel top 222. This thermal barrier is useful in embodiments in which chamber walls 221 and the showerhead (gas distribution chamber) 201 are operated at different controlled temperatures.
  • Substrate size as implemented in various technologies, such as 200 mm and 300 mm round silicon wafers commonly used in semiconductor device fabrication, determine the size of a deposition chamber.
  • a deposition chamber for processing a 200 mm round wafer must have a circular area that is at least 200 mm in diameter.
  • Gas-flow dynamics dictate that the height of this deposition chamber comprises several millimeters.
  • the volume of such 200-mm deposition chamber generally comprises at least 150 cm 3 , and more typically 300 cm 3 or more.
  • desired cycle times of ⁇ 0.6 second limit the maximum allowable time for chemical-removal purge stage in a range of about from 0.1 second to 0.2 second.
  • the concentration of chemical precursor reactant in a deposition chamber decreases to below 1% of its initial value. More precisely, the extent of chemical removal is dictated by the specific chemistry and the specific needs for quality of deposited films.
  • the chemical concentration in a deposition chamber is proportional to exp(-t/ ⁇ ) where t is the chemical removal time. Accordingly, to achieve less than 1% levels, t needs to be equal to or longer than 4.6 ⁇ .
  • VP/Q comprises approximately 0.044 second. With V typically limited to a range greater than about 300 cm 2 , P/Q is restricted to a range smaller than 0.145 sec/liter.
  • process pressure would be equal to or lower than 0.92 Torr.
  • pressure in the chamber during purge would be limited to a range below 300 mTorr.
  • Showerhead pressure comprises P s rge - 500 mTorr.
  • the volume of the ALD space comprises approximately 400 cm 3 .
  • the ALD system and process are designed to conduct ALD with a cycle time in a range of from 400 msec to 500 msec.
  • Each cycle typically comprises a first chemical dosage stage followed by a purge stage, then a second chemical dosage stage followed by another purge stage. Accordingly, the target duration of each stage is about 100 msec.
  • the exemplary ALD system provides 100 volume sweeps of the showerhead and more than 30 volume sweeps of the deposition chamber. These sweep numbers far exceed the numbers that are commonly achieved using conventional ALD technology (e.g., typically only 3 volume sweeps
  • SMFD ALD system in accordance with the invention include high sensitivity of showerhead flow to showerhead pressure. Accordingly, nozzles in a nozzle-array FRE are designed to have as high an aspect ratio as is practically possible. For example, in a dense pattern of 600 nozzles across a nozzle-array plate having a diameter of 100 mm, the gas-throughput per nozzle during a purge stage comprises about 1.67 seem. In a nozzle-array plate having a thickness of 3.125 mm (1/8 inch), the tube-type nozzles have a length of approximately 3 mm. An estimate of nozzle-diameter is calculated using Poiseuille's equation, Equation (1):
  • FIG. 3 depicts a graph in which calculated values of P SH are plotted as a function of Q CD for the aperture-type and the preferred tube-type nozzle-array designs described above.
  • FIG. 3 indicates that the tube-type nozzle array design in a showerhead provides preferred throughput-pressure dependence.
  • the two curves of P versus Q intersect, by design, at about the 0.5 Torr - 1000 seem point.
  • the tube-nozzle array enables stable pressure-dependent throughput control down to less than 10 seem, while the aperture-type design allows very limited control at flow rates below about 400 seem.
  • the pressure at the showerhead drops down to P, CD SH
  • This reduced showerhead pressure produces lower flow into the ALD deposition chamber, which is compensated by lower draw from the deposition chamber in accordance with the invention.
  • concentration of chemical at 250°C is approximately 2x10 15 molecules/cm 3 .
  • the total number of precursor molecules in the deposition- chamber-volume of 400 cm 3 is ⁇ 8 ⁇ 10 17 .
  • the deposition chamber is estimated to have a total of 1000 cm 2 of surface area, including non-substrate area.
  • the number-density of reactive sites on a typical intermediate ALD surface is estimated in a range of about from 1 ⁇ 10 14 sites per cm 2 to 7x10 14 sites per cm 2 , or from 1 ⁇ 10 17 sites per deposition-chamber-area to 7 ⁇ 10 17 sites per deposition-chamber- area (on the wafer and on other exposed surfaces). According to this estimate, the depletion level following the complete reaction of a stagnant (back-filled) ALD deposition chamber is in a range of approximately from 10% - 90%. If less than 100% pure concentration of chemical is dosed, depletion effects are correspondingly more significant. Depletion of chemical extends completion time for ALD reactions.
  • the flux of molecules arriving at the surface is approximately 1.5 ⁇ l0 19 molecules/cm 2 /sec for each
  • n(t) - n 0 exp- (kt) n 0 exp- R n n
  • n designates the number-density of reactive sites per cm 2 and k is the reaction rate.
  • the metal precursor trimethylaluminum typically requires exposure of approximately 3x10 16 molecules/cm 2 to react and saturate intermediate Al-OH surfaces during ALD of Al 2 0 3 at about 300°C.
  • the ALD reactions are represented by:
  • BULK - Al - CH 3 + H 2 0 ⁇ BULK - AI - OH + CH in which BULK represent the intermediate substrate.
  • Most metal ALD precursors have ⁇ R values in the range from 0.006 to 0.08.
  • Typical nonmetallic precursors such as H 2 0, NH 3 , H 2 S, etc.
  • ⁇ R values are typically in a range of about from 0.001 to 0.005.
  • Having such low reactive-sticking-coefficients means that chemical depletion in the region close to the wafer is negligible compared to chemical transport by diffusion at a gas temperature exceeding 100°C and a process pressure below 1 Torr. Therefore, the existence of transition layers and diffusion-limited transport typically are not a concern.
  • transition-layer effects can cause local depletion at the area of the substrate. Accordingly, two to four times higher exposures than typical smooth surface exposures are useful to suppress these local depletion effects.
  • a flow of 50 seem is assumed as desirable during a chemical dosage to counter depletion. Accordingly, the ALD deposition chamber is swept twice during a 100 msec chemical-dosage stage, causing up to ⁇ 3 times suppression of depletion effects.
  • the response time of the showerhead and ALD volume are 20 msec and 60 msec, respectively.
  • ⁇ 10 seem of steady- state dosage which is sufficient to maintain TMA dosage during AI 2 O 3 ALD at 300°C, the response time of dosage under the low steady-state flow conditions is even longer. These time responses are long with respect to the desired chemical dosage time of 100 msec.
  • FIG. 4 depicts a graph in which fractional chemical dosage (replacement of inner purge gas by chemical reactant gas) is plotted as a function of chemical- dosage time for a showerhead and a deposition chamber, with and without a booster-generated transient pulse of chemical at the initiation of the chemical- dosage stage.
  • the curves clearly indicate the advantage of implementing transients at leading edges of chemical-dosage stages.
  • the accumulated exposure in the range of useful short dosage times of from 50 msec - 100 msec is a factor of two or more higher with the use of a booster transient than without a transient.
  • Initiation of a chemical-dosage stage with a booster pulse, as described above, is effective in reducing adverse deposition effects from outgassing of residual chemicals.
  • the flow of chemical reactant gas is initially relatively high (compared to the ensuing steady- state chemical-dosage flow rate), thereby providing initial high dilution of outgassing residual chemicals (from the previously dosed chemical).
  • the source of outgassing typically reacts with the majority chemical being dosed to produce film inside the crevices and other outgassing areas.
  • FIG. 5 depicts a graph that compares the actual chemical usage for an embodiment of ALD in accordance with the invention, as discussed above, with a conventional continuous flow process as currently practiced in the art.
  • Material usage per chemical-dosage stage includes the chemical that was purged out of the showerhead and ALD space during the following purge step.
  • material usage with SMFD ALD in accordance with the invention is more than 5 times smaller than in conventional ALD.
  • SMFD ALD in accordance with the invention achieves flux levels and exposures that are similar to the levels obtained by conventional ALD.
  • a flow rate of 1000 seem of continuous flow in a conventional ALD system allows the conventional system to achieve the chemical- purge performance described above in accordance with the invention, but at the cost of very high chemical utilization.
  • implementing such high chemical dosage rates is detrimental to deposition systems and therefore, in accordance with the tradeoff between purge optimization and dosage optimization, conventional ALD apparatuses and methods are forced to compromise purge efficiency and operate at lower flow rates.
  • chemical utilization improves, but purge performance deteriorates substantially.
  • an ALD system is designed to abate at least one of the chemically reactive precursors, for example, the ML X precursor.
  • TMA utilization in preferred embodiments in accordance with the invention is currently about greater than 20% (for material that forms into the thin film on the wafer). Accordingly, most of the chemical is wasted under any conditions.
  • Hot exposed areas within an ALD deposition chamber are typically coated with ALD films. More than 30% is estimated to be deposited on non-wafer surfaces in the deposition chamber. Therefore, in current preferred embodiments, less than 50% of chemical reaction precursor gas entering a deposition chamber leaves the deposition chamber in the draw. In contrast, in a conventional ALD system, about ten times or more chemical reactant gas leaves a deposition chamber than achieved in accordance with the invention.
  • purge flow rate in a conventional system would necessarily be set to the theoretical minimum of 100000 seem.
  • purge pressure would reach (and practically exceed) 10 Torr.
  • the Reynolds number would be excessively high and result in unacceptable turbulent flow.
  • pumping at these high flow rates would be prohibitively costly.
  • chemical reactant gas from chemical-gas source 105 flows through chemical-source FRE 106, booster chamber 107, and booster- FRE 109.
  • P 107 transients down from P 105 until a steady-state flow is established.
  • the time between successive chemical dosages from a particular chemical source is 300 msec, which is also the recovery time of booster container 107.
  • the steady-state pressure in booster chamber 107 comprises 2.24 Torr.
  • the throughput from booster container to the showerhead is calculated by Q m - 0A21(P l 2 01 - Ps H ) .
  • the geometry of FRE 109 can be estimated: 4
  • the design diameter is 0.3125 cm (1/8"), the length is 5.3 cm.
  • chemical-dosage shut-off valve 110 (FIG. 1) contributes some resistance to flow. Therefore, FRE 109 needs only to provide for the additional flow restriction.
  • the geometry of FRE 106 can be evaluated from: r)4
  • FRE 106 can be made from an 86-cm length of tubing having a diameter of 0.3125 cm (1/8"). Since it is likely preferable, however, that the chemical delivery line be made much shorter, FRE 106 can be implemented by adding a narrower capillary in series with shorter tubing.
  • FRE 109 is purged to purge exhaust line 112. This purge is activated concurrently with opening of valve 108.
  • the flow in this purge line is influenced by the combined conductance of FRE 109 and FRE 111. Since the volume needed to be purged is very small (e.g., 1 cm 3 - 2 cm 3 ), efficient purge can be obtained with very small throughput. For example, 20 seem are sufficient to obtain a 2 msec - 4 msec response time. Therefore, the flow in this channel has minor effect on the showerhead pressure and the flow of purge gas into deposition chamber 114.
  • ALD is generally implemented with two (or more) chemical precursors.
  • the line section from showerhead 104 to valve 108' in the manifold of the second precursor, B stays as a pressurized dead leg. Accordingly, some penetration of precursor A into that section in the manifold of B can occur. Therefore, purging of all chemical reactant gas manifolds preferably is conducted during the purge stage following each chemical-dosage stage.
  • ALD deposition chamber 114 When the pressure in ALD deposition chamber 114 is 100 mTorr, for example, a representative throughput of purge gas through deposition chamber 114 and through FRE 117 (FIG. 1) is 1000 seem.
  • the pressure at the pump depends on the choice of pump and pumping speed. For example, turbomolecular pumps with 1000 liter/sec - 2000 liter/sec pumping speed are useful for providing adequate throughput handling for pressures below about 700 mTorr at the pump.
  • Molecular drag pumps with 200 liter/sec - 400 liter/sec pumping speed are useful for handling the throughput at pressures in a range of about from 60 mTorr and higher.
  • Pumps for handling high throughput such as the BOC Edwards (formerly Seiko Seiki) STPA1303C or the Alcatel ATH1600M or ATH2300M, are preferred for embodiments in accordance with the invention.
  • BOC Edwards formerly Seiko Seiki
  • STPA1303C Alcatel ATH1600M or ATH2300M
  • substrate holder 205 has a diameter of about 220 mm.
  • FRE 115 is configured as curved elongated slots. One of these slots is used to accommodate wafer loading.
  • Three identical slots are located along the perimeter at 220 mm diameter with trapezoidal spacers that are 1 cm wide at 220 mm diameter.
  • the width of each slot is about 22 cm.
  • the length of the slots is considered to be 1 cm.
  • the conductance of a single slot is approximately 333 liter/sec.
  • the conductance is approximated by the expression of Heinze for rectangular cross-sections: in which a is the short dimension of the rectangle, b is the long dimension, and ⁇ is given by the graph of Williams et al. as a function of alb. ⁇ is taken for N 2 at 523°K ⁇ 270 ⁇ poise. Assuming alb of -0.04, ⁇ is estimated to be -0.97.
  • the height of the slot, a is calculated by:
  • This gap is narrow but sufficient to accommodate a wafer loading end-effector and a wafer for wafer handling.
  • the slot dimensions ratio, a/b ⁇ 0.037, and ⁇ ⁇
  • V A LD ⁇ 400 cm 3 .
  • the height of deposition chamber 203 transitions smoothly from 1 cm at the wafer area to 0.82 cm at the slot.
  • the conductance of FRE 209 in FIG. 2 is influenced by the gap between insert 207 and chamber wall 221.
  • the gap between insert 207 and chamber wall 221 is designed to be very small and other openings at the bottom of insert 207 provide the major conductance path for FRE 117.
  • the area of conductance is estimated using Equation (14):
  • This characteristic of FRE 117 makes the performance of an SMFD ALD system in accordance with the invention relatively insensitive to pumping speed drifts and makes active pump pressure control unnecessary in typical embodiments.
  • draw-control gas is flowed through draw-source shut-off valve 120 into draw control chamber 116 (FIG. 1).
  • the draw pressure in draw control chamber 116 is thereby elevated to reduce the draw of chemical reactant gas out of deposition chamber 114, thereby independently matching the draw of chemical reactant gas to the flow of chemical into deposition chamber 114.
  • the chemical-dosage draw pressure in draw control chamber 116 must be elevated up to:
  • a well-designed SMFD ALD apparatus is not sensitive to standard and customary tolerances of part manufacturing and commercially available instrumentation and components.
  • the increase in chemical- dosage draw pressure in draw control chamber 116 may vary by 5% to 10% without significantly affecting the independent, substantial matching of draw to flow and chemical-dosage characteristics.
  • the steady-state flow of chemical during a chemical dosage is relatively insensitive to the pressure in the deposition chamber. Fluctuations or drift of steady-state flow are not critical either.
  • FIG. 6 depicts in schematic form various flow streams of gases through an SMFD ALD system in accordance with the invention.
  • the flow rate of chemical into deposition chamber 114, Q1 is determined by the upstream manifold (source 101 , FRE 103, source 105, FRE 106, booster 107, FRE 109, FRE 113) and is very insensitive to the pressure, Pi , in deposition chamber 114.
  • the draw from deposition chamber 114, Q2, into draw control chamber 116 is determined by the conductance of FRE 115 and the pressures P 114 and Pn ⁇ -
  • the flow rate of draw gas through valve 120 into compartment 116, Q3, is very insensitive to the pressure, Pn 6 , in draw control chamber 116 and is determined primarily by FRE 121 and the pressure behind it.
  • the flow rate of gas out of draw control chamber 116, Q4, is determined by the conductance of FRE 117 (-156 liter/sec) and the pressures P-n ⁇ and P pum p-
  • the time dependent behavior of all pressures and throughput rates in the system during chemical dosage is simulated using the following simplified expressions: t
  • FIG. 7 displays in graphic form the calculated time dependence of Q1 , Q2, and Q4 when Q3 was set at 1100 seem, which was evaluated to be close to an optimum value according to previous calculations.
  • Q4 overshoots the steady-state value during the initial, transient part of the chemical-dosage stage. This overshoot is due to mismatch between the transients Q1 and P 116 provided in our example.
  • FIG. 7 displays in graphic form the calculated time dependence of Q1 , Q2, and Q4 when Q3 was set at 1100 seem, which was evaluated to be close to an optimum value according to previous calculations.
  • Q4 overshoots the steady-state value during the initial, transient part of the chemical-dosage stage. This overshoot is due to mismatch between the transients Q1
  • Q3 can be maintained at 1100 ⁇ 50 seem so that fluctuations in Pn 4 are easily contained within a range of 100+5 mTorr.
  • actual empirical data resulting from operation of an exemplary embodiment in accordance with the invention confirmed simulation behavior.
  • SMFD ALD system was tested within the range of from 400 seem to 1500 seem to be able to appropriately perform while controlling dosage pressures within the range of from 100 mTorr to 500 mTorr.
  • P 1 14 excursions can be controlled to within 10% of design values. At this level, these pressure transients do not pose any significant performance penalty. A minor pressure increase within 10% causes a minor gas residence-time increase during purge. Nevertheless, in view of the purge efficiency of approximately 10 14 x, a slight reduction in purge efficiency (simulated to be about 6x, at worst, under tested simulation conditions) is insignificant. Therefore, Pn 4 transients caused by closing a chemical-dosage shut-off valve 110, 110' are not a major design concern. Nevertheless, these transients can be efficiently rectified, if necessary, using one or a combination of the following measures: (1) split purge-gas delivery line 101 , 102, 103 (FIG.
  • pressure modulations are synchronized with flow modulations.
  • higher pressure during chemical dosage is implemented by increasing the flow, Q3, into compartment 116 (FIG. 6).
  • simulation calculations indicated a semi- linear dependence of deposition-chamber-pressure, P-n 4 , on Q3.
  • Pn (mTorr) is approximated by the formula: 7.5 + 0.085 ⁇ Q3.
  • the reaction rate of H 2 0 dosage was tested during the deposition of Al 2 0 3 under conditions where TMA was saturated and H 2 0 was at -70% from saturation.
  • H 2 0 reaction rates were enhanced at higher dosage pressure. Higher pressures could be beneficial during a chemical-dosage stage to increase flux and speed-up reactions.
  • ALD processes reach saturation at a pressure in a range of from 100 mTorr to 200 mTorr within 10 msec to 50 msec. Therefore, pressure modulations are typically not necessary. Also, implementation of synchronized flow and pressure modulations using different pressures during different chemical-dosage stages of different chemicals is possible and requires additional draw-source shut- off valve and draw-source FRE in parallel to 120, 121 to facilitate, for example, three (selectable) different draw-gas flow rates into draw control chamber 116.
  • An example of an additional shut-off valve and draw-source FRE in parallel is shown in ALD system 500, depicted in FIG. 10.
  • System 500 comprises draw-source shut-off valve 530 and draw-source FRE 532 in parallel to 120, 121.
  • ALD chemistry produces, by design, solid films. These films, if deposited inside pumps, valves, and gauges, produce accelerated deterioration of the downstream manifold. Practical and cost considerations limit the temperature that can be maintained at the downstream manifold to below 200°C, and typically at about 100°C and below. Therefore, the walls of the downstream manifold are susceptible to significant adsorption of ALD-type chemicals and to growth of deposits that are porous and loose. These inferior deposits clog the downstream manifold quickly and also cause serious particle contamination in the system. In addition, maintenance generally requires complete disassembly of downstream manifolds, and the process of cleaning is tedious and expensive.
  • Preferred embodiments in accordance with the invention provide chemical abatement to reduce and avoid problems associated with deposits of chemicals on non-substrate surfaces.
  • Effective chemical abatement removes unreacted chemicals present in the process gas stream (to draw) that leaves the deposition chamber, and thereby provides a maintenance-free downstream manifold and extends the lifetime of pumps, valves, and gauges. This results in a major cost reduction and extended uptime.
  • An integrated abatement system is implementable with a variety of designs without affecting the performance or efficiency of the ALD system.
  • the process gas stream (draw) flowing out of the deposition chamber in an SMFD ALD system and method in accordance with the invention contains roughly 1/10 the amount of unreacted chemical reactant gas as in a conventional ALD system. For this reason, embodiments in accordance with the invention are particularly well-suited for achieving nearly complete chemical abatement.
  • the draw control chamber for example, chamber 116 in FIG.
  • An objective of integrated chemical abatement in accordance with the invention is to provide a well-defined place and well-defined and cost-effectively maintainable surfaces for removing reactive chemicals from the process gases in the draw from an ALD deposition chamber, thereby preventing inferior deposition of solid deposits further downstream. Accordingly, it is desirable to perform chemical abatement as close as possible to the deposition chamber, since carrying the effluents further downstream without growth of deposits in the flow-path is complicated, expensive, and often impossible.
  • Another objective of integrated abatement is conversion of the chemicals into high-quality films on substantially large surfaces that increase the capacity of the abatement device and extend time between required maintenance.
  • Most ALD processes known today are implemented with two different chemicals; for example, ML X and AH y .
  • One of these chemicals is substantially more reactive than the other precursor.
  • Chemical abatement is effectively accomplished when the more reactive precursor, for example, ML X , is substantially eliminated, leaving only the less reactive precursor, for example, AH y , in the effluent-outlet.
  • the elimination of the solid producing precursor, ML X effectively makes the remainder of the effluent gas inactive and volatile.
  • growth of solid deposits is effectively suppressed because good ALD precursors are, by definition, stable and do not react or decompose alone.
  • Conventional known chemical-removal techniques can then be used to remove the less reactive chemical precursor, reaction by-products, and other volatile compounds upstream or downstream of the pump.
  • the metal (or semiconductor) containing precursor ML X e.g., trimethylaluminum
  • ML X e.g., trimethylaluminum
  • AH y e.g., H 2 0
  • SMFD enables AH y dosage even at 100% concentration, if necessary. Therefore, the abatement process consumes all or most of the ML X and typically only a small fraction of AH y .
  • Any practical ALD system is efficiently operated to provide only the minimum purge time to purge the ALD precursors out of the deposition chamber.
  • ML X precursors can be eliminated by the abatement process
  • the AH y precursors tend to accumulate in the abatement space.
  • abatement chambers must have a relatively large volume and also contain high area surfaces, making them even harder to purge and more susceptible to the accumulation of AH y precursor.
  • Accumulation of finite partial pressures of AH y in an abatement chamber during an optimized high-throughput ALD process generally cannot be avoided.
  • the quality of abatement films (deposits) depends inversely on the partial pressure of AH y . The higher the partial pressure of AH y , the more inferior the films.
  • An objective of abatement is to promote growth of high-quality film deposits.
  • SMFD effectively reduces the partial pressure of AH y in the abatement space by providing high- dilution flow of a draw gas through the draw-control-abatement chamber during chemical-dosage, and higher flow of purge gas during purge stages.
  • SMFD inherently provides a mechanism for improved quality of abatement films.
  • SMFD in accordance with the invention effectively suppresses potential diffusion from the draw-control-abatement chamber by reducing the accumulated partial pressure of AH y , as well as by providing substantial forward flow that deters diffusion.
  • Certain embodiments of SMFD in accordance with the invention having integrated chemical abatement use the ALD chemicals to promote CVD and ALD- reactions on the high surface-area abatement elements located inside the draw control chamber. For example, a hot inner wall 225 of draw control chamber 208 (FIG.
  • draw control chamber 208 sustained at the hot plate temperature, or alternatively at a separately controlled elevated temperature, is fabricated using porous metal or ceramics with increased surface area.
  • the high surface area and relatively long residence time in draw control chamber 208 are designed for efficient, nearly 100% depletion of the most reactive chemical. Most of the downstream solid deposits are thereby confined to highly localized high-quality films on a removable insert that can be removed for ex-situ cleaning, or can be in-situ cleaned inside chamber 208.
  • the external wall 221 of draw control chamber 208 (FIG. 2) is protected by a removable liner when in-situ cleans are not feasible.
  • a design of an exemplary chemical abatement element 400 is depicted in schematic form in FIG. 9.
  • Abatement element 400 comprises porous tungsten having 50% porosity, pore size of about 50 ⁇ m, and 1/8" thickness.
  • Abatement element 400 is attached to the bottom part of wafer heating chuck 205 (FIG. 2) using flange 401.
  • Two elements 400 are attached to chuck 205 to form a radial element. The two pieces are designed to lock into place with matching steps 405.
  • Inner wall 402 forms inner wall 225 of annular-shaped draw control chamber 208 (FIG. 2).
  • Abatement element 400 is assembled from two complimentary parts that are better suited for fast assembly and disassembly during maintenance.
  • Outer ring 403 (not shown in FIG. 2) represents an additional area enhancement and is in close proximity to outer wall 221 of draw control chamber 208. Rings 402 and 403 are interconnected by several fins 404 that are similarly made from porous W.
  • a pair of assembled abatement elements 400 provides approximately 1000 cm 2 - 2000 cm 2 macroscopic area (the area of the flat tungsten) and about 15,000 cm 2 - 30,000 cm 2 of actual area.
  • the assembled pair fits a 2-liter draw control chamber and are designed for approximately 99.9% entrapment of trimethylaluminum (TMA) from the draw stream out of the deposition chamber during a TMA-H 2 0 ALD process commonly used to grow high quality Al 2 0 3 films.
  • TMA trimethylaluminum
  • ALD deposition of Al 2 0 3 using an SMFD apparatus and a method in accordance with the invention demonstrated efficient abatement of TMA from the stream of exhaust gas.
  • Growth of good-quality Al 2 0 3 films on the abatement surface located in the draw control chamber were achieved because of the good chemical utilization efficiency of SMFD and because SMFD, in comparison to conventional ALD apparatuses, inherently maintains lower levels of AH y by virtue of continuous high flow of inert gas through the abatement space.
  • High quality abatement films were transparent and had a good interference pattern. In contrast, inferior films tend to have a white powder-like appearance.
  • the abatement apparatus and method was improved by increasing the flow rate of draw gas to further improve H 2 0 utilization, while at the same time additional dilution of H 2 0 in the draw chamber was provided. Additionally, TMA abatement was much improved by injecting a slow flow of ozone into the draw/abatement chamber during the TMA dosage step and shortly after the completion of the TMA dosage step. This ozone injection, as described below, was synchronized with the ALD process.
  • a draw control chamber comprises a small volume (e.g., 1 liter or less), or when the ALD precursors do not react fast enough at the temperatures sustained at abatement element 400, or when smaller area abatement elements are desired to reduce AH y accumulation effect (by suppressing chamber memory) or to reduce cost
  • embodiments in accordance with the invention are modified to increase abatement efficiency without sacrificing ALD performance using one or several of the following measures, among others.
  • a reactive abatement gas into draw control chamber 116 instead of, or in addition to, the inert gas during the chemical-dosage stage of ML X chemical enhances chemical abatement.
  • Addition of a selected reactive gas provides a reactive CVD path to generate high quality solid film deposition on the area of the abatement element and thereby to remove the chemical from the process stream.
  • addition of ozone into draw control chamber 116 during chemical- dosage of TMA causes very efficient deposition of AI 2 O 3 CVD films on an abatement surface.
  • the ozone abatement process competes favorably and dominates undesired CVD reaction between the ALD precursors while enabling efficient abatement with substantially smaller area surfaces.
  • Ozone is easy to generate, and various suitable commercial ozone-generators are available, such as the MKS ASTeX AX8400.
  • FIG. 10 depicts in schematic form an SMFD ALD system 500 in accordance with the invention comprising separate abatement chamber 502, which provides a large surface area for abatement and a substantial gas residence time. Gases containing unreacted chemical precursor flow out of draw control chamber 116 through draw-control FRE 117. After chemical reaction in abatement chamber 502, gas flows through abatement-chamber-FRE 504 to vacuum pump 125.
  • an isolation gate valve is located in serial fluidic communication between abatement chamber 502 and draw control chamber 116 to allow servicing of the abatement chamber without the need to break vacuum at the deposition chamber.
  • a reactive gas as described above, is useful to further improve the efficiency of abatement chamber 502 and the quality of the deposits.
  • an inert gas protected conduit can be used to prevent growth of deposits in the conduit section between draw control chamber 116 and abatement chamber 502. The design of this type of conduit is described in U.S. Patent No. 5,827,370 issued October 27, 1998 to Youfan Gu, which is hereby incorporated by reference.
  • System 500 in FIG. 10 further comprises an ozone generator.
  • Oxygen is fed from oxygen source 510 into ozone generator 512, where the oxygen is continuously partially converted to ozone.
  • the mixture of 0 2 /0 3 passes to vacuum pump 125 through ozone vacuum valve 514 and ozone-vacuum FRE 516.
  • the 0 2 /0 3 mixture is routed into abatement chamber 502 by closing vacuum valve 514 and opening ozone-source valve 520 so that the ozone mixture flows through ozone-source FRE 522 into abatement chamber 502.
  • In- situ maintenance of draw control chamber 116 and/or abatement chamber 502 is preferably conducted using the backflow-suppression characteristic of the system to provide aggressive cleaning of chambers 116, 502 that could otherwise damage deposition chamber 114.
  • silicon or tungsten compounds can be removed with NF 3 , CIF 3 , and other fluorine sources.
  • deposits in deposition chamber 114 and draw control chamber 116 are cleaned with a gentle procedure to prevent possible damage to sensitive elements inside the deposition chamber, such as the wafer chuck, wafer lift pins, etc.
  • the deposition chamber is protected by a slow flow of inert gas through the gas distribution chamber. More substantial deposits inside draw control chamber 116 and abatement chamber 502 are then cleaned with substantially more aggressive cleaning procedures, while backflow-suppression prevents high levels of fluorine and other energetic species from affecting the deposition chamber.
  • Integrated abatement extends maintenance schedules and effectively suppresses performance deterioration. Deposits are limited to high quality films that, in most cases, can be grown to a thickness greater than 100 ⁇ m without peeling. Accordingly, thousands of wafers can be processed without maintenance interruptions, even when the system cannot be cleaned in-situ. In addition, maintenance procedures are reduced to the industry standard of several hours of down time for replacement of few parts and re-qualification. Furthermore, the abatement eliminates solid deposits from downstream lines and components.
  • the pressures in SMFD ALD chambers are determined by multiple FREs, as well as the controlled pressures of gas sources, such as draw-gas source 101, purge-gas source 101 , and chemical-gas source 105 (FIG. 1). It is also known that pumping speed drifts generate only minor effects on the deposition chamber pressure, P , and on gas flow and draw. Accordingly, active control of pressure at the pump, e.g., at the outlet of FRE 117 (FIG. 1), is generally unnecessary.
  • a system 550 in accordance with the invention comprises a pressure control chamber 560 located downstream from draw control chamber 116 between draw-control FRE 117 and vacuum pump 125.
  • Pressure-control FRE 562 is located between pressure control chamber 560 and vacuum pump 125.
  • Inert gas (e.g., from draw-gas source 101) passes through a proportional valve 564 through FRE 566 into a pressure control chamber 560.
  • the pressure is measured by an appropriate pressure gauge; for example, a capacitance manometer 568.
  • Providing a well-controlled pressure downstream of FRE 117 provides additional stabilization against pump drifts. Feedback control compensates for relatively slow changes, and any fast changes, for example on a scale faster than 10 seconds, are electronically filtered.
  • Synchronous modulation of flow and draw in accordance with the invention enables chemical-dosage at a very low flow rate.
  • a smaller volume of the draw control chamber is preferable to a larger one.
  • a small-volume draw control chamber provides fast draw-control response in a system.
  • a small volume is more effectively purged during a purge stage than a large volume.
  • a deposition chamber adequately designed for the processing of 200 mm silicon wafers with a volume of about 400 cc operates well with a draw control chamber having a volume of 100 cc or less.
  • Embodiments in accordance with the invention are characterized by relatively low chemical-dosage flow and draw.
  • typical chemical dosage flow rates of several hundred seem provide significant suppression of diffusion by the relatively high displacement of gas.
  • chemical-dosage flow rates are small (e.g., less than 50 seem) by design. Small draw control chamber volumes are effectively purged during purge steps, thereby suppressing residual chemicals down to insignificant low levels.
  • the typically high draw-gas flow rate during a chemical-dosage stage further dilutes the concentration of residual chemicals. Accordingly, diffusion is effectively suppressed.
  • an abatement element in a draw control chamber significantly increases the effective residence time of chemicals in the draw control chamber.
  • the small draw from the deposition chamber maintained during chemical- dosage does not necessarily provide sufficient gas displacement to suppress material transport by diffusion back upstream into the deposition chamber when a large-volume control chamber is implemented.
  • H 2 0 in N2 at 300°C has a diffusion constant D of about 3000 cm 2 /sec in 200 mTorr of N 2 .
  • F!G. 12 depicts in schematic form an exemplary SMFD ALD gas-manifold system 600 comprising a DGIC and operated in accordance with the invention to provide high quality ALD thin films.
  • System 600 comprises a pressure-stabilized inert gas conduit 602, from which inert purge gas and inert draw gas flow.
  • Inert gas cylinder 604 is connected through a gas pressure regulator as known in the art to a pressure controller 606.
  • An MKS 640A-type pressure controller for example, is suitable.
  • a mass flow meter 608 conveniently defines and measures flow rates under steady-state flow conditions. Pressure undulations at conduit 602 are suppressed to an appropriate level, for example, below 0.1%, by gas preheat container 610.
  • the volume of gas container 610 for example, 1.5 liters, is selected to suppress pressure undulations and to provide a sufficient residence time for the inert gas to reach substantially the temperature of the ALD gas manifold or any other chosen temperature.
  • Inert gas flow is split at conduit 602 into the ALD purge line 612 comprising purge-FRE 103, and into the draw control line 119.
  • Another embodiment includes separate pressure controllers and heating containers for the purge and draw portions of the manifold. It is understood by one skilled in the art that many different arrangements of purge-gas delivery and draw-gas delivery, including complete separation of the manifolds and the use of different and separate gas sources and different gas temperatures for purge and draw control, can be implemented in accordance with the invention.
  • Pressurized gas conduit 602 is in serial fluidic communication with gas distribution chamber 104 through purge-source-FRE 103 and purge-source shut-off valve 102.
  • the functionality of FRE 103 is designed and incorporated into the construction of shut-off valve 102 to create a single device and to minimize pressure spikes that arise from the volume between valve 102 and FRE 103.
  • Purge gas is supplied through purge-source shut-off valve 102 and purge- source flow restriction element ("FRE") 103 into gas distribution chamber 104, which is commonly a showerhead.
  • purge-source shut-off valve 102 and purge-source FRE 103 provide serial fluidic communication between purge-gas source 101 and gas distribution chamber 104.
  • a chemical reactant precursor in the form of a pure chemical gas, a vapor from a liquid or solid chemical, or mixtures of vapor or gas chemicals with inert gas is provided at a well- controlled pressure at a plurality of chemical-gas sources 105, 105'.
  • Chemical-gas source 105 is connected in serial fluidic communication with booster chamber 107 through chemical-source-FRE 106.
  • Booster chamber 107 is connected in serial fluidic communication with gas distribution chamber (showerhead) 104 through chemical-dosage shut-off valve 110 and booster-FRE 109.
  • chemical-dosage shut-off valve 110 and purge-exhaust shut-off valve 108 comprise a simple two-way valve in parallel branches of the gas manifold system of system 100, which branch off from junction 123.
  • second chemical-gas source 105' is connected to showerhead 104 with devices corresponding to those described with reference to chemical-gas source 105.
  • FRE 109 the functionality of FRE 109 is designed and incorporated into the construction of chemical-dosage shut-off valve 110 to create a single device and to minimize pressure spikes that arise in the volume between FRE 109 and valve 110.
  • additional chemical sources for example, chemical-gas source 105', are connected to flow distribution chamber 104 through corresponding manifold elements 106', 107', 109', and 110'.
  • Gas-distribution FRE 113 provides serial fluidic communication between gas distribution chamber 104 and atomic layer deposition chamber ("ALDC" or "deposition chamber”) 114.
  • ADC atomic layer deposition chamber
  • gas-distribution FRE 113 is commonly a nozzle array 113.
  • a nozzle array provides restricted and uniform flow from gas distribution chamber 104 to deposition chamber 114, which contains a heated substrate holder 620.
  • Deposition chamber 114 is connected in serial fluidic communication to a small-volume draw-gas introduction chamber (“DGIC”) 630 through deposition-chamber FRE 115.
  • DGIC small-volume draw-gas introduction chamber
  • Inert draw-gas source 604 is connected in serial fluidic communication to DGIC 630 through draw-gas line 119, draw-source shut-off valve 120, and draw-source-FRE 121.
  • Draw-gas introduction chamber 630 is connected in serial fluidic communication through DGIC-FRE 632 to draw control chamber ("DC") 116.
  • a chemical abatement element 634 is disposed inside draw control chamber 116.
  • Draw control chamber 116 is connected in serial fluidic communication to pump chamber 636 through draw-control outlet 124 and draw- control FRE 117.
  • a pressure gauge 638 is connected to draw control chamber 116. Pressure gauge 638, for example, an MKS Baratron model 628 type, monitors the process through, for example, the average pressure in draw control chamber 116.
  • Low pressure gauge 644 such as an HPS l-Mag cold-cathode gauge
  • Turbo molecular pump 640 is connected to pump chamber 636 through a pumping gate-valve 642 to facilitate high vacuum during idle time and high-throughput flow during ALD operation.
  • a pump selected from the BOC-Edwards STPA series is suitable.
  • Good performance for ALD deposition on 200 mm silicon wafers was obtained using an STPA 1303C pump.
  • Turbomolecular pump 640 is evacuated using backing pump 642.
  • a BOC QDP40 or equivalent serves well as backing pump 642.
  • higher pumping-speed pump arrangements such as the QMB series from BOC Edwards, facilitate remote location placement of dry pumps, as known in the art.
  • system 600 comprises an ozone-supply manifold. Oxygen or oxygen-nitrogen mixtures are supplied from gas cylinder 650.
  • a mass flow controller 652 controls the flow of gas into a commercially available ozone generator 654. For example, the MKS Astex AX8407 series ozone generators perform well in SMFD system 600.
  • the output from ozone generator 654 is monitored by ozone monitor 656, allowing feedback-control stabilization of ozone concentrations.
  • Pressure controller 658 for example, an MKS 640A type, maintains a selected constant pressure inside ozone generator 654.
  • an ozone reservoir 660 comprises a volume selected to suppress the impact of ozone-pulsing on the pressure inside ozone generator 654. This allows pulsing of reactive ozone into draw control chamber 116, while maintaining a desired flow and pressure in ozone generator 654.
  • Pressure controller 662 controls the pressure in ozone reservoir 660. Ozone degradation is minimized in system manifold 600 by maintaining the ozone supply manifold at substantially room temperature and by minimizing the stagnant volume between ozone generator 654 and DC 116. For example, a stagnant volume is depicted schematically in FIG.
  • Ozone is fed to ozone shut-off valve 664 and ozone-source FRE 666 through the inner tubing of a double-wall line and fed to the inlet of pressure controller 662 by the return flow between the inner and the outer tubing.
  • an ozone-eliminating catalytic converter 670 is disposed at the outlet of pump 642 to suppress ozone emission to the ambient.
  • valve 700 includes a purge gas path from inlet 701 to outlet 702. Chemical lines are connected using standard connections such as VCR or c- seal, as is known in the art; for example, a VCR2 connector (1/8" standard face seal) 706. Chemicals are supplied through flow path 716.
  • the valve is sealed by normally closed actuator 708 that is externally sealed to valve body 718 using seal 712.
  • Orifice 714 is dynamically sealed by elastomer 710 when actuator 708 is not energized. When the actuator is energized, such as illustrated for actuator 709, the flow path is open.
  • valves 700 with a gas path 714 that is 2 mm long with a 2 mm diameter (-0.0004 cc volume) were tested and performed adequately under conditions in the range of from 500 seem to 1200 seem of purge gas and 2 Torr to 10 Torr pressure.
  • EXAMPLE 1 An exemplary SMFD ALD system corresponding to system 600 of FIG. 12 was designed and constructed for conducting SMFD ALD in accordance with the invention to deposit AI 2 O 3 using the reactant gases trimethylaluminum ("TMA") and H 2 0.
  • TMA trimethylaluminum
  • Descriptions of the various components, identified using reference numerals of FIG. 12, of the exemplary system are included in Table 2, below, in which appropriate physical design, dimensions, and functional characteristics are entered.
  • Preferred values of process parameters set, measured, or calculated during operation of the exemplary system are listed in Table 3. Definitions and explanatory notes, listed below Table 3, are referenced in Tables 2 and 3 by numbers (1) through (11).
  • SMFD can be implemented to linearly flowing designs or any other designs, especially for coating substrates that are not round. 4. N 2 at 250 Torr and 140°C
  • An SMFD ALD deposition of AI 2 O 3 using the reactant gases trimethylaluminum ("TMA") and H 2 0 was conducted in accordance with the invention using the apparatus and operating parameters specified in Tables 2 and 3. Valves 102, 700, and 120 were actuated in accordance with the invention to effect ALD cycles comprising a TMA-dosage, a TMA-purge, an H2 ⁇ -dosage, and an H 2 0-purge. Dosage and purge times were varied and results studied to determine minimum dosage and purge times, as follows:
  • Trimethylaluminum dosage minimum for saturation: 20 msec; H 2 0 dosage, minimum for saturation, 70 msec;
  • Trimethylaluminum purge 100 msec; and H 2 0 purge: 150 msec.
  • Measurements of thin films and gas composition produced the following results for preferred operating conditions: Within wafer thickness uniformity: Max - Min.: ⁇ 3%, sigma ⁇ 1%.
  • Wafer to wafer thickness reproducibility (140 nm accumulated thickness):
  • Trimethylaluminum utilization efficiency > 20%.
  • Trimethylaluminum booster effect > 98% at 10 msec.
  • ozone was used to facilitate effective abatement by removing
  • FIG. 14 contains a wafer uniformity map of Al 2 0 3 -thin films deposited using the system and operating parameters listed in Tables 2 and 3.
  • the substrate heater was set at 300°C.
  • a woollam M-44 ellipsometer was used to measure the films.
  • the uniformity map comprises 34 measurement points measured in a cross pattern with a 3.5 mm edge exclusion. The direction of the wafer notch is indicated.
  • Wafer-to- wafer reproducibility under 450 msec cycle time conditions is better than 0.2% (1 sigma) tested over 1400 A of accumulated thickness.
  • a 1400 A was used as a standard for wafer-to-wafer reproducibility to provide comparison to a typical 25- wafer lot with 50 A thickness (total of 1250 A). Adequate performance without any noticeable degradation was maintained after the deposition of several microns of accumulated thickness without chamber maintenance.
  • SMFD is useful for depositing films on a wide range of substrate sizes, from ⁇ 1 cm 2 for research purposes up to large > 1 m 2 substrate areas.
  • the physical size of the substrate dictates the shape and volume of the ALD chamber and dictates the .scaling of most design features and process parameters.
  • Embodiments of the invention described in this specification, especially in Example 1 were designed principally for processing of 200 mm semiconductor wafers. It is clear that one skilled in the art is able to scale SMFD systems and processes in accordance with the invention for different-sized substrates and different production requirements in the semiconductor arts, as well as in other fields of application.
  • most apparatus and process design parameters scale with the properties of the vacuum pump. Accordingly, system performance and apparatus design is tied into the choice of the vacuum pump. Specifically, the embodiment exemplified in Tables 2 and 3 is dictated by the maximum flow that could be utilized adequately with BOC Edwards - Seiko-Seiki STPA1303C, namely, about 1500 seem.
  • FIGS. 15 and 16 depict in schematic form a cross-section of a preferred ALD reactor vessel 800.
  • reactor vessel 800 comprises a reactor- vessel wall 802, a reactor-vessel top 804, and a vessel-bottom 806, which define a vessel interior 808.
  • Reactor vessel 800 includes gas distribution chamber (showerhead) 201.
  • a showerhead inlet 809 at top 804 serves as an inlet for chemical reactant gases and purge gases into showerhead 201.
  • Nozzle array (FRE) 202 separates the bottom of gas distribution chamber 201 from ALD deposition chamber (process chamber) 203.
  • FRE Nozzle array
  • a substrate 204 is supported on heated wafer chuck (substrate holder) 205, made from a thermally conducting metal (e.g., W, Mo, Al, Ni) or other materials commonly used in the art for hot susceptors and chucks.
  • Wafer chuck 205 includes a wafer lift-pin mechanism 810. Wafer transport is accomplished with the aid of lift pins 812 (only one out of three pins shown), as known in the art. Wafer lift pins 812 are actuated to lift wafer substrate 204 above the top surface of chuck 205 using actuator 814 and levitation arm 816.
  • Deposition chamber 203 is confined by deposition-chamber FRE 206.
  • a draw-gas introduction chamber (“DGIC”) 820 is located downstream from deposition chamber 203, between FRE 206 and DGIC-FRE 822.
  • a draw control chamber (“DC") 208 is located downstream from DGIC, and is confined by DGIC- FRE 822 and draw-control FRE baffle 209.
  • Chemical-abatement element 824 is disposed inside draw control chamber 208.
  • Spacer 826 provides direct thermal contact of chemical-abatement element 824 and draw-control FRE baffle 209 with heated wafer chuck 205.
  • Draw-gas inlet 830 provides serial fluidic communication between a draw- gas manifold (not shown) and a draw gas plenum 832.
  • draw gas plenum 832 is in serial fluidic communication with radial plenum space 832, which further communicates with DGIC 820 through a radial array of nozzles (not shown), which are appropriately spaced and designed to unify the radial flow distribution of gas into DGIC 820 and direct draw gas into the upstream portion of DGIC 820.
  • radial plenum space 832 which further communicates with DGIC 820 through a radial array of nozzles (not shown), which are appropriately spaced and designed to unify the radial flow distribution of gas into DGIC 820 and direct draw gas into the upstream portion of DGIC 820.
  • reactive gas is delivered from a reactive gas manifold (not shown) through line 840 into reactive-gas plenum 842.
  • Reactive-gas plenum 842 serves to shape a uniform radial flow distribution of reactive abatement gas into draw chamber 208.
  • the reactive gas is delivered into a radial channel that communicates with draw chamber 208 through a plurality of horizontal nozzles that are appropriately spaced and designed.
  • reactive gas plenum system 842 can be implemented in many different configurations in accordance with the invention.
  • purge gas during a purge stage and chemical reactant gas during a chemical-dosage stage flow along a process-gas flow-path through reactor-vessel interior 808 in a downstream direction from showerhead inlet 809 through showerhead 201, deposition chamber 203, DGIC 820, and draw control chamber 208, in that order, and out of reactor vessel 800 through vacuum port 210.
  • draw gas introduced into DGIC 820 flows in a downstream direction from DGIC 820 into draw control chamber 208 and then exits through vacuum port 210.
  • downstream and upstream are used herein in their usual sense.
  • Reactor vessel 800 further includes a perimeter slot valve (“PSV”) 850.
  • PSV 850 comprises a substrate-transport slot 852 through reactor-vessel wall 802, a continuous perimeter cavity 854 (FIG. 16) within reactor-vessel wall 802, a continuous perimeter-sealing poppet 856, and an actuator 858 for moving sealing poppet 856 between an open position (FIG. 16) and a closed position (FIG. 15).
  • Substrate-transport slot 852 is substantially coplanar with the substrate-supporting surface of substrate holder 205. Perimeter cavity 854 is substantially coplanar with substrate-transport slot 852. Substrate-transport slot 852 defines a substrate-transport channel through reactor-vessel wall 802 to substrate holder 205 when sealing poppet 856 is in its open position (FIG. 16), and sealing poppet 856 separates substrate-transport slot 852 from vessel interior 808 when sealing poppet 856 is in its closed position (FIG. 15).
  • reactor-vessel wall 802 defines a vessel perimeter 860 within the reactor-vessel wall, and sealing poppet 856 conforms to vessel perimeter 860 when sealing poppet 856 is in its closed position (FIG. 15).
  • Perimeter cavity 854 is continuous in the sense that the cavity continuously surrounds deposition chamber 203 and DGIC 820.
  • Sealing poppet 856 is continuous in the sense that, in the closed position, it continuously fills perimeter cavity 854 surrounding deposition chamber 203 and DGIC 820, and thereby continuously seals the interior 808 from substrate-transport slot 852 and from the exterior of vessel 800.
  • reactor-vessel wall 802 comprises a substantially radially symmetric shape
  • sealing poppet 856 comprises a substantially radially symmetric shape. It is understood that other embodiments of reactor vessel 800 and PSV 850 in accordance with the invention could have other geometric shapes ' As depicted in FIG. 15, sealing poppet 856 in its closed position forms an inner sealing wall 862 of the process-gas flow-path in vessel interior 808.
  • Inner sealing wall 862 comprises a radially symmetrical shape, which promotes a radially symmetric flow of gases along the process-gas flow-path and, thereby, enhances uniform deposition and reduces formation of solid deposits.
  • reactor vessel 800 as depicted in FIG.
  • PSV 850 comprises a fixed upper sealing surface 870, an upper poppet sealing surface 872 corresponding to fixed upper sealing surface 870, an upper peripheral seal 873, a fixed lower sealing surface 874, a lower poppet sealing surface 876 corresponding to fixed lower sealing surface 874, and a lower peripheral seal 877.
  • Upper sealing surfaces 870, 872, lower sealing surfaces 874, 876, and peripheral seals 873, 877 are configured to seal the vessel interior when sealing poppet 856 is in its closed position (FIG. 15).
  • upper peripheral seal 873 and lower peripheral seal 877 are assembled on poppet sealing surfaces 872, 876, respectively.
  • seals 873, 877 are configured as o-ring seals. It is clear that different types of seals, for example, flat gasket seals, are useful, and that seals 873, 877 can be assembled on fixed sealing surfaces 870, 874, instead of on poppet sealing surfaces 872, 876.
  • Suitable materials for seals 873, 877 include elastomer materials made from Viton, Kalrez, Chemraz, or equivalents.
  • One skilled in the art is capable of implementing perimeter slot valve 850 in many different configurations.
  • Substrate-transport slot 852 and the associated wafer transport system communicated through slot 852 are completely isolated from the ALD process system in reactor vessel interior 808 when PSV 850 is closed.
  • FIG. 17 depicts in schematic form an SMFD system 900 in accordance with the invention designed for non-centrosymmetric flow in the process chamber. While the preferred embodiment in accordance with the invention was described mainly in terms of a centrosymmetric flow system, those skilled in the art understand that SMFD design is applicable for other flow designs. Typically, the design of the deposition chamber and the flow path should be optimized to the shape of the substrate and the method of choice for controlling substrate temperature. For example, round thin substrates where deposition is intended to be on one side of the substrate, such as semiconductor wafers, would best fit centrosymmetric systems with a bottom supporting heatable chuck. However, centrosymmetric systems would not be best suitable for substrates that are substantially not round. For example, SMFD system 900 in FIG.
  • deposition chamber 904 may be made of a glass tube that is 10 millimeters wider than substrate 905.
  • Substrate 905 is supported at the ends by clamps 914. Heating can be realized by, for example, inductive coils or by placing deposition chamber 904 inside a conventional furnace heating-jacket. Gas is fed from 901 into a gas distribution chamber 902 and further distributed by nozzle array 903. Deposition chamber 904 is connected through FRE 906 to DGIC 907.
  • DGIC 907 is supplied with draw-control gas 916 in accordance with the principles of SMFD methods. DGIC 907 is further connected to DC 909 through FRE 908. Abatement element 915 is located in DC 909. Reactive gas inlet 917 is connected to DC 909 to facilitate efficient abatement. DC 909 is further connected through FRE 910 to pump compartment 911. Pump compartment 911 is evacuated by pump 913 through foreline 912. Preferably, pumping is achieved using BOC Edwards iQMB 1200F or BOC Edwards IPX500A or equivalent pumps.
PCT/US2003/001548 2002-01-17 2003-01-17 Ald apparatus and method WO2003062490A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2003562353A JP4908738B2 (ja) 2002-01-17 2003-01-17 Ald方法
CN038062348A CN1643179B (zh) 2002-01-17 2003-01-17 Ald装置和方法
KR1020047011192A KR100979575B1 (ko) 2002-01-17 2003-01-17 원자층 침착 장치 및 이의 제조방법
EP03731983A EP1466034A1 (en) 2002-01-17 2003-01-17 Ald apparatus and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34963402P 2002-01-17 2002-01-17
US60/349,634 2002-01-17

Publications (1)

Publication Number Publication Date
WO2003062490A2 true WO2003062490A2 (en) 2003-07-31

Family

ID=27613302

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/001548 WO2003062490A2 (en) 2002-01-17 2003-01-17 Ald apparatus and method

Country Status (6)

Country Link
US (3) US6911092B2 (US20030180458A1-20030925-M00011.png)
EP (1) EP1466034A1 (US20030180458A1-20030925-M00011.png)
JP (1) JP4908738B2 (US20030180458A1-20030925-M00011.png)
KR (1) KR100979575B1 (US20030180458A1-20030925-M00011.png)
CN (2) CN1643179B (US20030180458A1-20030925-M00011.png)
WO (1) WO2003062490A2 (US20030180458A1-20030925-M00011.png)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005007283A2 (en) * 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP2008504447A (ja) * 2004-06-28 2008-02-14 ケンブリッジ ナノテック インコーポレイテッド 蒸着システムおよび蒸着方法
EP1921179A3 (de) * 2006-11-10 2008-06-25 Schott AG Beschichtungsanlage und Verfahren zur Beschichtung
US7662233B2 (en) 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
WO2021140271A1 (en) * 2020-01-10 2021-07-15 Picosun Oy Substrate processing apparatus and method

Families Citing this family (452)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6911092B2 (en) * 2002-01-17 2005-06-28 Sundew Technologies, Llc ALD apparatus and method
AU2003220088A1 (en) * 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
JP3828821B2 (ja) * 2002-03-13 2006-10-04 株式会社堀場エステック 液体材料気化供給装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4734231B2 (ja) * 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド 原子層堆積のサイクル時間改善のための方法と装置
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
JP4280603B2 (ja) * 2003-11-04 2009-06-17 キヤノン株式会社 処理方法
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7098082B2 (en) * 2004-04-13 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronics package assembly tool and method of manufacture therewith
KR100590554B1 (ko) * 2004-05-28 2006-06-19 삼성전자주식회사 반응용기 및 시편홀더의 구조가 개선된 단원자층 증착장치
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
CA2571977A1 (en) * 2004-07-15 2006-02-23 Pdc Facilities, Inc. Liner for a flow meter
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060093746A1 (en) * 2004-11-04 2006-05-04 Tokyo Electron Limited Method and apparatus for atomic layer deposition
TWI304241B (en) * 2005-02-04 2008-12-11 Advanced Display Proc Eng Co Vacuum processing apparatus
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7819139B2 (en) * 2005-07-14 2010-10-26 Pdc Facilities, Inc. Liner for a flow meter
WO2007034391A2 (en) * 2005-09-23 2007-03-29 Nxp B.V. A method of fabricating a structure for a semiconductor device
JP2007158230A (ja) * 2005-12-08 2007-06-21 Nec Electronics Corp プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US20070190670A1 (en) * 2006-02-10 2007-08-16 Forest Carl A Method of making ferroelectric and dielectric layered superlattice materials and memories utilizing same
US7970483B2 (en) 2006-03-16 2011-06-28 Applied Materials, Inc. Methods and apparatus for improving operation of an electronic device manufacturing system
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
GB0607616D0 (en) * 2006-04-18 2006-05-31 Boc Group Plc Vacuum pumping system
KR101055330B1 (ko) * 2006-05-09 2011-08-08 가부시키가이샤 알박 박막제조장치 및 박막제조장치용 이너 블록
US7789319B2 (en) * 2006-05-17 2010-09-07 Micron Technology, Inc. System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber
US20110017140A1 (en) * 2006-07-21 2011-01-27 Christopher Mark Bailey Method of treating a gas stream
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
KR100807216B1 (ko) * 2006-09-29 2008-02-28 삼성전자주식회사 두께 균일성을 향상할 수 있는 박막 형성 장치 및 방법
TWI412080B (zh) * 2006-11-09 2013-10-11 Ulvac Inc The method of forming a barrier film
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
CN101678407A (zh) * 2007-05-25 2010-03-24 应用材料股份有限公司 用于减量系统的有效操作的方法与装置
JP2010528475A (ja) * 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
WO2009055750A1 (en) * 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
US7905133B2 (en) * 2007-12-28 2011-03-15 Thar Instruments, Inc. Variable ratio flow splitter for a flowstream
JP5264231B2 (ja) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US8673394B2 (en) * 2008-05-20 2014-03-18 Sundew Technologies Llc Deposition method and apparatus
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8234012B1 (en) * 2008-09-26 2012-07-31 Intermolecular, Inc. Preparing a chemical delivery line of a chemical dispense system for delivery
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100116206A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Gas delivery system having reduced pressure variation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5650234B2 (ja) * 2009-11-16 2015-01-07 エフ・イ−・アイ・カンパニー ビーム処理システムに対するガス送達
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US20120321910A1 (en) * 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
KR101311983B1 (ko) * 2011-03-31 2013-09-30 엘아이지에이디피 주식회사 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
DE102012210332A1 (de) * 2012-06-19 2013-12-19 Osram Opto Semiconductors Gmbh Ald-beschichtungsanlage
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
CN106461096A (zh) 2014-01-07 2017-02-22 桑杜科技有限公司 流量控制阀
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9663857B2 (en) 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160068961A1 (en) * 2014-09-05 2016-03-10 Aixtron Se Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) * 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6539482B2 (ja) * 2015-04-15 2019-07-03 株式会社フジキン 遮断開放器
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) * 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102412561B1 (ko) 2018-04-13 2022-06-23 교세라 에이브이엑스 컴포넌츠 코포레이션 순차적으로 증착된 내부 전도성 폴리머 필름을 포함하는 고체 전해 커패시터
WO2019199485A1 (en) * 2018-04-13 2019-10-17 Avx Corporation Solid electrolytic capacitor containing an adhesive film
US11049664B2 (en) 2018-04-13 2021-06-29 Avx Corporation Solid electrolytic capacitor containing a vapor-deposited barrier film
SG11202010036PA (en) * 2018-05-04 2020-11-27 Applied Materials Inc Pressure skew system for controlling center-to-edge pressure change
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN113168966B (zh) 2018-11-29 2024-01-16 京瓷Avx元器件公司 含有顺序气相沉积的电介质膜的固体电解电容器
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN110318040B (zh) * 2019-07-29 2021-11-30 陕西煤业化工技术研究院有限责任公司 一种原子层沉积系统
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US20210088402A1 (en) * 2019-09-23 2021-03-25 Arradiance, Llc Vacuum Gauge Protector for Deposition Systems
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US11359286B2 (en) * 2020-05-01 2022-06-14 Applied Materials, Inc. Quartz crystal microbalance concentration monitor
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230290639A1 (en) * 2020-07-29 2023-09-14 Lam Research Corporation Low resistance gate oxide metallization liner
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11396703B2 (en) 2020-12-21 2022-07-26 Applied Materials, Inc. Apparatus and methods for improving chemical utilization rate in deposition process
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR20230025563A (ko) * 2021-08-12 2023-02-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115389096A (zh) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 气体压力探测装置及沉积设备
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备
CN116926504A (zh) * 2023-09-19 2023-10-24 上海星原驰半导体有限公司 前驱体输出装置和原子层沉积设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
WO1999010558A1 (en) 1997-08-29 1999-03-04 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6544341B1 (en) 1998-09-03 2003-04-08 Cvc Products, Inc. System for fabricating a device on a substrate with a process gas

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76508A (en) * 1868-04-07 Improved kiln foe drying malt
US187084A (en) * 1877-02-06 Improvement in trace-detaching devices
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3717724A1 (de) * 1987-05-26 1988-12-08 Schertler Siegfried Ventilschieber mit einem schiebergehaeuse
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
EP0382984A1 (en) * 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal decomposition trap
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
JP3052269B2 (ja) * 1991-01-07 2000-06-12 日本電信電話株式会社 気相成長装置およびその成長方法
CA2060917A1 (en) * 1991-03-12 1992-09-13 Milam Pender Plasma enhanced chemical vapor deposition device
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5386798A (en) * 1993-10-06 1995-02-07 Martin Marietta Energy Systems, Inc. Method for continuous control of composition and doping of pulsed laser deposited films
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
TW323387B (US20030180458A1-20030925-M00011.png) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5758680A (en) * 1996-03-29 1998-06-02 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
FR2751733B1 (fr) * 1996-07-23 1998-09-04 Gec Alsthom Transport Sa Dispositif et procede de regulation de la pression interne d'un espace clos ventile soumis a des variations de pression exterieure
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6056824A (en) * 1998-01-16 2000-05-02 Silicon Valley Group Thermal Systems Free floating shield and semiconductor processing system
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
JP2003502878A (ja) * 1999-06-24 2003-01-21 ナーハ ガジル、プラサード 原子層化学気相成長装置
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
TW515032B (en) * 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6998152B2 (en) * 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
DE60003850T2 (de) * 1999-12-22 2004-03-11 Aixtron Ag Cvd reaktor und prozesskammer dafür
FI118343B (fi) * 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6911092B2 (en) * 2002-01-17 2005-06-28 Sundew Technologies, Llc ALD apparatus and method
AU2003220088A1 (en) * 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7662233B2 (en) * 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
WO1999010558A1 (en) 1997-08-29 1999-03-04 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6544341B1 (en) 1998-09-03 2003-04-08 Cvc Products, Inc. System for fabricating a device on a substrate with a process gas

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1466034A1

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7662233B2 (en) 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
WO2005007283A3 (en) * 2003-07-08 2005-09-22 Sundew Technologies Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
WO2005007283A2 (en) * 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US8252116B2 (en) 2003-08-07 2012-08-28 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US9556519B2 (en) 2004-06-28 2017-01-31 Ultratech Inc. Vapor deposition systems and methods
JP2008504447A (ja) * 2004-06-28 2008-02-14 ケンブリッジ ナノテック インコーポレイテッド 蒸着システムおよび蒸着方法
US8202575B2 (en) 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
EP1921179A3 (de) * 2006-11-10 2008-06-25 Schott AG Beschichtungsanlage und Verfahren zur Beschichtung
US8268410B2 (en) 2006-11-10 2012-09-18 Schott Ag Coating system and method for coating, as well as coated articles
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11891693B2 (en) 2018-06-01 2024-02-06 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
WO2021140271A1 (en) * 2020-01-10 2021-07-15 Picosun Oy Substrate processing apparatus and method

Also Published As

Publication number Publication date
US7635502B2 (en) 2009-12-22
EP1466034A1 (en) 2004-10-13
US20100043888A1 (en) 2010-02-25
US20030180458A1 (en) 2003-09-25
KR100979575B1 (ko) 2010-09-01
US8012261B2 (en) 2011-09-06
CN101818334B (zh) 2012-12-12
CN1643179A (zh) 2005-07-20
US20050160983A1 (en) 2005-07-28
KR20040085153A (ko) 2004-10-07
JP4908738B2 (ja) 2012-04-04
JP2005515647A (ja) 2005-05-26
US6911092B2 (en) 2005-06-28
CN101818334A (zh) 2010-09-01
CN1643179B (zh) 2010-05-26

Similar Documents

Publication Publication Date Title
US7635502B2 (en) ALD apparatus and method
EP1649076B1 (en) Apparatus and method for chemical source vapor pressure control
US7601223B2 (en) Showerhead assembly and ALD methods
US20100129548A1 (en) Ald apparatus and method
US8470718B2 (en) Vapor deposition reactor for forming thin film
KR100867073B1 (ko) 반도체 장치 제조 장치
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
JP4629110B2 (ja) 薄膜蒸着装置及び方法
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP3947126B2 (ja) 半導体製造装置
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
US20050229848A1 (en) Thin-film deposition apparatus
US9157151B2 (en) Elimination of first wafer effect for PECVD films
TWI567228B (zh) 成膜裝置、成膜方法及非暫時性記憶媒體
WO2013134151A1 (en) Split pumping method, apparatus, and system
JP2013151722A (ja) 半導体装置の製造方法
JP4695343B2 (ja) 縦型半導体製造装置
US20230323531A1 (en) Coating interior surfaces of complex bodies by atomic layer deposition
KR20120011582A (ko) 기화기를 갖는 증착장치 및 증착방법
US20220301829A1 (en) Temperature controlled reaction chamber
KR20210125420A (ko) 샤워헤드용 플러싱 고정구

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020047011192

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003562353

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003731983

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20038062348

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2003731983

Country of ref document: EP