TWI787492B - 使用矽氫鹵化物前驅物沉積SiN的方法 - Google Patents

使用矽氫鹵化物前驅物沉積SiN的方法 Download PDF

Info

Publication number
TWI787492B
TWI787492B TW108111581A TW108111581A TWI787492B TW I787492 B TWI787492 B TW I787492B TW 108111581 A TW108111581 A TW 108111581A TW 108111581 A TW108111581 A TW 108111581A TW I787492 B TWI787492 B TW I787492B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
deposition
nitrogen
silicon
Prior art date
Application number
TW108111581A
Other languages
English (en)
Other versions
TW201945582A (zh
Inventor
胡谷大志
鈴木俊哉
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201945582A publication Critical patent/TW201945582A/zh
Application granted granted Critical
Publication of TWI787492B publication Critical patent/TWI787492B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供用於形成氮化矽膜之方法。在一些實施例中,可藉 由原子層沉積(ALD),例如電漿增強ALD來沉積氮化矽。一或多個氮化矽沉積循環包括依序電漿預處理階段,其中使基板依序暴露至氫電漿並接著在無氫電漿下暴露至氮電漿,以及沉積階段,其中使基板暴露至矽前驅物。在一些實施例中,使用矽氫鹵化物前驅物來沉積氮化矽。氮化矽膜可具有高側壁保形率,且在一些實施例中,氮化矽膜在溝渠結構中於側壁底部可比在側壁頂部更厚。在間隙填充製程中,氮化矽沉積製程可減少或消除空隙及接縫。

Description

使用矽氫鹵化物前驅物沉積SiN的方法
本公開一般有關於半導體裝置製造的領域,且詳言之,有關於具有氫電漿及氮電漿處理步驟的氮化矽膜之原子層沉積。
藉由典型的電漿增強原子層沉積(Plasma-Enhanced Atomic Layer Deposition,PEALD)製程所沉積於三維結構上的氮化矽(SiN)膜可能會有若干不樂見的特徵,例如低側壁保形率及/或相對差的階梯覆蓋率,導致例如在間隙填充製程中形成空隙的問題。這在藉由PEALD將SiN沉積於具有高深寬比的結構上時特別如此。圖1描繪藉由傳統方法在具有10的深寬比之基板的三維結構上方以PEALD所沉積之氮化矽膜的典型範例之掃描透射電子顯微鏡(STEM)的影像。
缺少側壁保形率可能源自於在溝渠或其他特徵的入口有較高的生長率,並且在內部有較慢的生長,這至少部分是因為溝渠內部不均勻的反應物暴露所致。缺乏保形率亦可能源自於在電漿處理期間於溝渠入口之再濺鍍。在三維結構的溝渠頂部上或平 面區域上的薄膜品質對目標應用來說可能足夠,但在三維結構的側壁或其他非水平或垂直表面上則不然。
可使用原子層沉積來沉積氮化矽膜。在一些實施例中,可藉由原子層沉積(ALD),例如電漿增強ALD(PEALD)來沉積氮化矽。在一些實施例中,進行包括第一電漿處理步驟及第二電漿處理步驟之電漿預處理階段作為用於形成氮化矽的PEALD沉積循環的一部分。在一個或更多個沉積循環中,電漿預處理階段包括將基板依序暴露至氫電漿及在無氫電漿下之氮電漿。
在電漿預處理階段後,在氮化矽沉積循環中進行氮化矽沉積階段。在氮化矽沉積階段,將基板暴露至矽前驅物。在一些實施例中,將基板交替且依序暴露至矽前驅物及氮反應物。在一些實施例中,使用矽氫鹵化物前驅物來沉積氮化矽。在在一些實施例中,在電漿預處理階段前在兩個或更多個連續的氮化矽沉積循環中進行氮化矽沉積階段。在一些實施例中,電漿預處理製程在接下來的氮化矽沉積循環之氮化矽沉積階段前修飾基板表面。
由揭露的方法所沉積之氮化矽膜會具有高側壁保形率,且在一些實施例中,氮化矽膜在溝渠結構中的側壁底部比在側壁頂部更厚。在間隙填充製程中,氮化矽沉積製程可減少或消除空隙及接縫。
200:氮化矽沉積循環
210:步驟
220:步驟
230:氮化矽沉積階段
240:重複
250:電漿預處理階段
310:N2氣體
311:電漿預處理階段
312:氮化矽沉積階段
315:矽前驅物流
320:N2氣體
325:H2氣體
330:電漿功率
340:步驟
350:步驟
360:步驟
370:步驟
380:步驟
390:步驟
392:步驟
400:氮化矽沉積循環
410:步驟
420:步驟
430:步驟
440:重複
450:電漿預處理階段
510:N2氣體
511:電漿預處理階段
512:矽物種沉積階段
515:矽反應物流
520:N2氣體
525:H2氣體
530:電漿功率
540:SiN沉積循環
550:步驟
560:步驟
570:步驟
580:步驟
590:步驟
由實施方式及由附圖將更佳地理解本發明,其等意欲說明而非限制本發明,且其中:圖1為描繪藉由傳統方法沉積在具有深寬比為10的三維結構上的SiN膜之STEM影像。
圖2為大致描繪藉由根據在每個沉積循環中採用依序電漿預處理之一些實施例的PEALD製程來形成氮化矽膜之流程圖。
圖3為描繪在根據採用依序電漿預處理的一些實施例來形成氮化矽膜之沉積循環中的氣流及電漿功率的圖。在所示的沉積循環中,依序電漿預處理階段311包含暴露至氫電漿持續第一時期340,接著暴露至在無氫電漿下的氮電漿持續第二時期350。在依序電漿預處理階段311之後,SiN沉積階段312包含暴露至矽前驅物370,接著為矽前驅物清除步驟380、暴露至氮電漿390及氮電漿清除步驟392。流動氮氣310可充當步驟380及392中矽前驅物的載氣及清除氣體。
圖4為描繪根據在每一個沉積循環中採用依序電漿預處理之一些實施例形成氮化矽膜之一種方法的流程圖。在所示的方法中,於依序電漿預處理階段450期間,使基板同時接觸氫電漿及氮電漿410,且之後在無氫電漿下接觸第二氮電漿420。在依序電漿預處理階段450之後,在氮化矽沉積階段中使基板接觸矽前驅物,並重複循環440。已吸附的矽前驅物可與在隨後的沉積循環中之氮電漿反應以形成氮化矽。替代地,可添加一個額外的步驟到 沉積循環,其中在接觸矽前驅物430之後並在開始下一個沉積循環之前,使基板接觸氮反應物。
圖5為描繪在根據於每個沉積循環中採用依序電漿預處理的一些實施例來形成氮化矽膜之沉積循環中的氣流及電漿功率的圖。在所示的沉積循環中,於依序電漿預處理階段511期間,於時期550期間使基板同時接觸氫與氮電漿,然後於時期560期間在無氫電漿下接觸第二氮電漿,接著為清除時期570,其中藉由清除氣體510的幫助移除過量的電漿反應物。電漿預處理階段511之後為氮化矽沉積階段512,其中使基板接觸矽前驅物515。在下一個沉積循環開始之前,可藉由流動的N2清除氣體510從反應空間清除矽前驅物590。
圖6A及圖6B為根據本文所揭露之方法所沉積的氮化矽膜影像。
熟悉本技藝者很清楚氮化矽膜在半導體產業及半導體產業外皆具有廣泛的應用。例如,氮化矽膜用於平面邏輯、DRAM及NAND快閃裝置中。在某些應用中,希望能在三維結構上沉積保形的氮化矽薄膜。
根據本公開的一些實施例,提供用於沉積氮化矽膜之各種PEALD方法。在一些實施例中,PEALD方法在一個或更多個沉積循環中採用依序電漿預處理製程。依序電漿預處理製程包含 其中使基板接觸氫電漿之第一電漿處理步驟,以及其中在無氫電漿下使基板接觸氮電漿之後續第二電漿處理步驟。在使基板接觸矽前驅物(在該沉積循環內或下一個沉積循環中)之前,進行依序電漿預處理製程並可用來控制矽前驅物到基板表面之吸附。藉由控制矽前驅物的吸附,可控制SiN的沉積及品質。在一些實施例中,控制矽前驅物吸附,使得在三維結構頂部(如溝渠頂部)吸附較小量的矽前驅物,同時在溝渠內部或側壁吸附較大量的矽前驅物。依照此方式,可在三維結構上沉積具有更高保形率的膜。
在一些實施例中,於間隙填充SiN沉積製程中使用該等方法。在一些實施例中,該等方法用來沉積用於硬遮罩應用之SiN,例如在深溝渠(比方說具有約10或更大的深寬比之溝渠)上形成SiN襯墊。
在一些實施例中,在基板上的三維結構上方沉積氮化矽薄膜。在一些實施例中,其上沉積SiN膜之三維結構,例如間隙或溝渠,具有高深寬比。例如,深寬比可從約3至約20,例如等於或大於3、等於或大於5、等於或大於7、等於或大於8、等於或大於9、等於或大於10、等於或大於15或甚至等於或大於20。在一些實施例中,三維結構包括兩個側壁還有頂部表面或平面表面。
在一些實施例中,針對高深寬比溝渠,氮化矽膜可具有約100%的保形率(特徵頂部到側壁)。在一些實施例中,在溝渠內部的SiN之生長率快過在溝渠頂部的SiN之生長率。在一些實 施例中,在間隙或溝渠內部的生長率可快過藉由不使用本文所述的依序電漿預處理步驟的沉積SiN之方法所得之間隙或溝渠內部的生長率。在一些實施例中,在間隙或溝渠頂部的生長率可低於藉由不使用本文所述的依序電漿預處理步驟之方法所得之間隙或溝渠頂部的生長率。
在一些實施例中,氮化矽膜可具有高側壁保形率。針對本申請書之目的,側壁保形率界定為[(在側壁底部之SiN膜的厚度)}/[(在側壁頂部之SiN膜的厚度)}x 100%。在一些實施例中,該些方法可用來沉積具有約100%的側壁保形率之SiN膜。亦即,從側壁頂部到側壁底部之側壁厚度有少許變異。在一些實施例中,該些方法可用來沉積SiN沉積,其在三維結構(如溝渠)中側壁底部比在側壁頂部更厚,因此具有大於100%的保形率。側壁保形率,例如,可等於或大於85%、等於或大於95%、等於或大於100%、等於或大於105%、等於或大於125%、等於或大於150%、等於或大於175%或甚至等於或大於200%。
在一些實施例中,氮化矽膜具有低濕蝕刻率。在一些實施例中,濕蝕刻率(wet etch rate,WER)可小於或等於5埃/分鐘至約200埃/分鐘,比方說,約20埃/分鐘、40埃/分鐘、60埃/分鐘、80埃/分鐘、100埃/分鐘、120埃/分鐘、140埃/分鐘、160埃/分鐘、180埃/分鐘或200埃/分鐘。在一些實施例中,WER可在約200埃/分鐘及240埃/分鐘之間。濕蝕刻率可在100:1稀釋氫氟酸中測量而得。在一些實施例中,可藉由調整依序電漿預 處理的功率來調整濕蝕刻率。
在一些實施例中,一特徵之側壁的WER為低。例如,WER在100:1稀釋氫氟酸中可少於或等於5埃/分鐘。
在一些實施例中,SiN膜沉積在具有側壁的三維結構上,且該些膜具有大於95%的側壁保形率及在100:1稀釋HF中少於5埃/分鐘之蝕刻率。
在一些實施例中,SiN膜與在無本文所述的氫與氮電漿預處理下形成的膜相比展現出對濕蝕刻有增加的抵抗。在一些實施例中,相較於3D特徵上的垂直表面,SiN膜具有水平表面之增加的蝕刻率均勻性,相較於熱氧化物(thermal oxide,TOX),減少的濕蝕刻率(WER)及/或減少的濕蝕刻率比率(wet etch rate ratio;WERR)。在一些實施例中,相較於SiO2,WERR可從約0.1至約10。
在一些實施例中,根據本文所述的一或多個製程形成在3D特徵上的氮化矽薄膜可在0.5%稀釋氫氯酸中展現出約0.02至約3.0、從約0.2至約2.8、從約0.75至約1.5、從約0.9至約1.1的3D特徵側壁上之氮化矽薄膜之濕蝕刻率(WER)對3D特徵頂部區域上之氮化矽薄膜之濕蝕刻率(WER)的比率。舉例來說,針對其中將移除特徵頂部並保持側壁的側壁硬遮罩應用,在頂部區域的WER可為高(例如,約24-240埃/分鐘),同時在側壁的WER可為低(例如,約5-15埃/分鐘)。在這些例子中,可施加高功率電漿以將側壁WER對頂部WER之比率保持在約0.02。在 一些實施例中,側壁WER對頂部WER可保持在0.01至0.03之間,例如在0.015、0.02、0.025或0.03。
在一些實施例中,於本文所述的SiN PEALD沉積中採用電漿預處理可促進氮化矽膜之形成,其例如在最先進的半導體裝置(例如FinFET及其他多閘極電晶體)中之犧牲層、閘極間隔體及/或間隔體界定的雙重/四重圖案化(SDDP/SDQP)中有用。
在一些實施例中,階梯覆蓋率可高如300%,比方說從約100%至約300%,例如100%、150%、200%、250%或300%。階梯覆蓋率界定為在空隙或間隙底部之SiN膜的厚度對在空隙或間隙頂部之SiN膜的厚度之比率。
在一些實施例中,沉積在基板上的SiN可具有高頂部對側邊保形率。在一些實施例中,沉積於基板上三維結構側壁上之SiN的厚度與沉積於基板上三維結構頂部上之SiN的厚度之間的比率大於或等於0.8。在一些實施例中,此比率可大於或等於0.95。在一些實施例中,此比率可大於或等於1。在一些實施例中,此比率可大於或等於1.05。在一些實施例中,此比率可大於或等於2。
在一些實施例中,於基板上三維結構上方的SiN沉積為實質上保形(共形),使得在間隙填充製程中產生最少空隙或接縫。在一些實施例中,肉眼看不到任何空隙或接縫。在一些實施例中,在SiN沉積之後,三維結構圖案上方少於5%的溝渠含有空隙。
為方便及簡單起見,氮化矽之化學式在本文中一般被稱 作SiN。然而,熟悉此技藝者可了解到氮化矽的實際化學式,代表膜中Si:N的比率並排除氫或其他雜質,可表示為SiNx,其中x介於0.5至約2.0,只要形成一些Si-N鍵結。在某些情況中,x可介於約0.9與約1.7、介於約1.0與約1.5或介於約1.2與約1.4。在一些實施例中,形成氮化矽,其中Si具有+IV的氧化態且材料中的氮的量可變化。
ALD型製程係基於受控制的、一般自限性的表面反應。通常藉由使基板交替且依序地與反應物接觸來避免氣相反應。氣相反應物例如藉由在反應物脈衝之間移除過量的反應物及/或反應物副產物而在反應室中彼此分離。反應物可藉助於清除氣體及/或真空而自基板表面附近移除。在一些實施例中,過量的反應物及/或反應物副產物係藉由例如利用惰性氣體清除而自反應空間移除。
根據一些實施例,ALD型製程用於在基板(諸如積體電路工件)上形成SiN薄膜。在一些實施例中,SiN膜沉積在基板上的一個或多個三維結構上。在一些實施例中,ALD型製程包括多個沉積循環,每個沉積循環包括SiN沉積階段,其包括交替且依序使基板接觸矽前驅物及氮前驅物。在至少一個沉積循環中,在使基板接觸矽前驅物之前,進行依序電漿預處理。在一些實施例中,在每個沉積循環中進行依序電漿預處理。依序電漿預處理包括第一氫電漿處理步驟及後續的第二氮電漿處理,如下詳述。在第二氮電漿處理步驟期間,基板並未暴露至氫電漿。
在依序電漿預處理之後,矽前驅物接觸基板,使矽物種吸附於基板表面上。在一些實施例中,矽物種可與矽前驅物相同,或可在吸附步驟中被修飾,例如藉由失去一個或多個配體。接著使基板接觸氮前驅物,其與已吸附的矽物種反應以形成氮化矽。在一些實施例中,氮前驅物包括氮電漿,例如在包括N2之氣體中所產生之電漿。在一些實施例中,氮前驅物可為非電漿反應物。在一些實施例中,於沉積循環中在矽前驅物之後依序提供氮前驅物。在一些實施例中,氮前驅物為來自後續沉積循環中的依序電漿預處理之氮電漿。亦即,在一些實施例中,可不在沉積循環中矽前驅物之後提供氮反應物,且來自後續沉積循環中的依序電漿處理之氮電漿與已吸附的矽前驅物反應以形成氮化矽。
雖稱為電漿預處理步驟,在一些實施例中,可於一個或多個沉積循環中在SiN沉積階段(或矽反應物暴露)後進行電漿預處理,使得電漿預處理步驟將基板表面準備好以供下一個沉積循環的氮化矽沉積階段。例如,SiN沉積循環可包括SiN階段,其中可藉由熱ALD步驟初步形成SiN,接著進行電漿預處理階段。電漿預處理階段因此將基板表面準備好以供下一個SiN沉積循環的SiN沉積階段。在一些實施例中,後續電漿處理可包括使基板暴露至依序的氫及氮電漿處理步驟,如本文中所述。
在一些實施例中,於一個或多個沉積循環中,完整SiN沉積循環包括依序電漿預處理階段,及SiN沉積階段。如上所討論,依序電漿預處理階段通常跟隨著SiN沉積階段,但在一些實 施例中,SiN沉積階段在依序電漿預處理階段之前。依序電漿預處理階段包括使基板暴露至氫電漿處理,並接著在無氫電漿下之氮電漿處理。如前概述,且不限於任何特定活動模式,針對在三維結構上的沉積,氫電漿處理被認為可增加在三維結構的整個表面上之反應性-H終止點。後續氮電漿處理(在無氫電漿下)可從三維結構的較易接近的部分優先排除-H終止點(例如溝渠中側壁的上部分或頂部)。由於-H終止點維持在較不易接近的部分,例如三維結構的底部,在後續ALD循環中,與在較不易接近的部分(例如三維結構的底部)相比,增進在較易接近的部分之矽前驅物之吸附。因此,SiN生長率在底部較快且在特徵頂部較慢。藉由控制電漿處理,例如藉由在氫電漿處理後採用低電力或短持續時間的氮電漿處理,該些方法可實現高保形率SiN膜之沉積,並可甚至用來形成在特徵底部較厚且在頂部較薄之SiN膜(>100%的側壁保形率)。
在一些實施例中,使用電漿增強型ALD(PEALD)製程來在反應空間中於基板上沉積SiN膜。簡言之,將基板或工件置於反應室中並經受包括交替重複的表面反應之一個或多個沉積循環。在使基板接觸矽前驅物之前的至少一個沉積循環中進行包括依序氫及氮電漿處理步驟之依序電漿預處理階段。隨著依序電漿預處理之後有其中使基板接觸矽前驅物之矽物種沉積階段,或其中使基板依序接觸矽前驅物及氮前驅物之氮化矽沉積階段。
在一些實施例中,沉積循環的矽前驅物沉積或SiN沉積 部分,亦稱為矽物種沉積或SiN沉積階段,包括使基板與矽前驅物(例如矽氫鹵化物(silicon hydrohalide)前驅物)接觸。在一些這種實施例中,在矽物種沉積階段中,矽前驅物吸附於基板表面上,且在後續沉積循環中的氮反應物(例如後續沉積循環的電漿預處理階段中的氮電漿)與已吸附的矽前驅物反應以形成氮化矽。
在一些實施例中,每一個ALD循環的SiN沉積部分包括至少兩個不同的階段,其中基板交替且依序地首先接觸矽前驅物(例如矽氫鹵化物)再接著氮前驅物。在這方面,從反應空間提供並移除反應物或副產物可視為一個階段。在第一階段中,提供包含矽的第一反應物並於基板表面上形成不超過約一個單層的矽物種。此反應物亦被稱作「矽前驅物」、「含矽前驅物」或「矽反應物」。
在本發明揭示之PEALD製程中可使用許多適宜的矽前驅物。根據一些實施例,矽前驅物包括鹵化物,例如I或Cl。在一些實施例中,矽前驅物包括SiI4、HSiI3、H2SiI2、H3SiI、Si2I6、HSi2I5、H2Si2I4、H3Si2I3、H4Si2I2、H5Si2I或Si3I8。在一些實施例中,矽前驅物包含HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2、以及H5Si2I中之一者。在一些實施例中,矽前驅物包括HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2及H5Si2I中之兩者、三者、四者、五者或六者,包括其任何組合。在某些實施例中,矽前驅物包括H2SiI2。在一些實施例中,矽前驅物包括SiCl4、HSiCl3、H2SiCl2、或Si2Cl6
在沉積循環的SiN沉積階段之第二階段中,提供第二反應物並將已吸附的矽物種轉換成氮化矽。在一些實施例中,第二反應物包括氮前驅物。在一些實施例中,第二反應物包括受激發氮物種。在一些實施例中,第二反應物包括非電漿氮反應物,例如NH3。在一些實施例中,第二反應物並非氮前驅物。在一些實施例中,第二反應物包括氫電漿。
在一些實施例中,第二反應物包含來自含氮電漿之物種。在一些實施例中,第二反應物包括氮自由基、氮原子及/或氮電漿。在一些實施例中,第二反應物可包括含氮電漿或包括氮之電漿。在一些實施例中,第二反應物可包括包含含氮物種之電漿。在一些實施例中,第二反應物可包括氮原子及/或N*自由基。
第二反應物可包括並非氮前驅物之其他物種。在一些實施例中,第二處理反應物可包括氫之電漿、氫自由基或呈一種形式或另一種形式之原子氫。在一些實施例中,第二反應物可包括來自惰性氣體(諸如He、Ne、Ar、Kr或Xe,較佳為Ar或He)的物種,例如作為自由基、呈電漿形式或呈元素形式。
如下詳細討論,在一些實施例中,用來形成電漿的氣體,例如包括N2之氣體,可於整個沉積製程不斷流動但僅間斷地啟動,或在沉積循環中的某些步驟流動。
可藉助於諸如N2、H2、Ar或He之載氣提供第一反應物及第二反應物的一者或多者。在一些實施例中,矽前驅物及第二反應物係藉助載氣來提供。在一些實施例中,以載氣提供第一反 應物,且載氣亦充當第二電漿反應物之來源氣體。例如,可使用N2氣體作為第一矽反應物的載氣,N2氣體可持續流動以從反應空間清除過量的矽前驅物,且之後可在流動之N2氣體中產生電漿以形成第二反應物。最後,可熄滅電漿且流動之N2氣體可用來清除反應空間中過量的第二反應物及反應副產物,若有的話。
在一些實施例中,SiN沉積階段的兩個階段可重疊或經組合。舉例而言,矽前驅物及第二反應物可在部分或完全重疊的脈衝中同時提供。此外,儘管被稱作第一階段及第二階段以及第一反應物及第二反應物,但該等階段之次序可改變,且ALD循環可以第一階段及第二階段的任一階段開始。亦即,除非另外指定,否則第一反應物及第二反應物可以任何次序提供,且製程可以任一反應物開始。
在一些實施例中,為沉積SiN膜,一個或多個SiN沉積階段始於矽前驅物之提供,隨後再提供第二反應物。在其他實施例中,沉積可以從提供第二反應物開始,隨後再提供矽前驅物。
如前述,在一些實施例中,SiN沉積循環可包括矽物種沉積階段,其中僅進行第一階段或SiN沉積階段,其中使基板暴露至矽前驅物。可在後續沉積循環的電漿預處理階段中提供將已吸附的矽物種轉換成SiN之氮反應物。
在一個或多個沉積循環中,進行依序電漿預處理階段。在一些實施例中,在SiN沉積階段之前進行依序電漿預處理階段。依序電漿預處理包括其中使基板暴露在氫電漿之第一電漿處理步 驟,以及其中在無氫電漿下使基板暴露至氮電漿之後續第二電漿處理步驟。如下所討論,在一些實施例中,在沉積循環的SiN沉積部分前進行電漿預處理階段。在一些實施例中,在每個沉積循環中,在使基板接觸矽前驅物之前進行電漿預處理階段。在一些實施例中,在一個或多個沉積循環中,在使基板接觸矽前驅物之前進行電漿預處理階段。
在一些實施例中,第一電漿處理步驟包括使基板暴露至第一氫電漿。這可稱為第一氫電漿處理步驟。在一些實施例中,第一電漿處理步驟包括使基板暴露至氫電漿及氮電漿。
在一些實施例中,第二電漿處理步驟包括在無氫電漿下使基板暴露至氮電漿。這可稱為氮電漿處理步驟。在一些實施例中,在短持續時間及低功率下進行第二電漿處理步驟。
在一些實施例中,第一電漿處理步驟可包括使基板暴露至氫電漿及氮電漿,使氮電漿與前一個沉積循環中吸附於基板上的矽前驅物反應,導致氮化矽之形成。
重複整個SiN沉積循環,直至獲得具有所要厚度及組成之膜。在一些實施例中,電漿預處理參數,例如電漿反應物之功率及施加時間可在一個或多個電漿處理步驟中變化,以獲得具有所要特性之膜。
可在適當的沉積反應器中進行SiN沉積,包含依序電漿預處理階段及矽物種沉積階段或SiN沉積階段。在一些實施例中,將其上需要沉積之基板(諸如半導體工件)載入至反應器中。反 應器可為於其中進行積體電路形成中之各種不同製程之群集工具的一部分。在一些實施例中,利用流動型反應器(flow-type reactor)。在一些實施例中,利用噴淋頭型反應器(shower head type of reactor)。在一些實施例中,利用空間分割反應器(space divided reactor)。在一些實施例中,使用能夠進行大量製造的單晶圓ALD反應器。在其他實施例中,使用包含多個基板的批式反應器(batch reactor)。對於使用批式ALD反應器之實施例,基板之數目在10個至200個之範圍內、較佳在50個至150個之範圍內、且最佳在100個至130個之範圍內。
示範單晶圓反應器,特別設計成增進ALD製程,可以商標名稱Pulsar® 2000以及Pulsar® 3000商購自ASM America,Inc.(美國亞利桑那州鳳凰城(Phoenix))以及以商標名稱Eagle® XP,XP8及Dragon®商購自ASM Japan K.K(日本,東京)。示範批式ALD反應器,特別設計成增進ALD製程,可以商標名稱A400TM及A412TM商購自ASM Europe(荷蘭阿爾梅勒(Almere))。
在一些實施例中,可在第一個SiN沉積循環之前,預處理工件的暴露表面,以在表面上提供所要的反應點。在一些實施例中,不需要個別的預處理步驟。在一些實施例中,預處理基板以提供所要的表面終止。在一些實施例中,利用電漿預處理基板。
在一些實施例中,在每個反應物脈衝以及電漿處理步驟之間,自基板附近且尤其自基板表面移除過量的反應物及反應副產物(若存在的話)。在一些實施例中,在反應物脈衝之間及電漿 處理步驟之間,藉由以惰性氣體清除來清除反應室。在一些實施例中,可利用清除氣體,例如N2或H2氣體來移除一個或多個反應物及/或反應副產物。每一反應物之流動速率及接觸時間係可調的,正如移除步驟一樣,從而允許控制膜之品質及各種性質。
如上文所提及,在一些實施例中,在每個沉積循環期間或在整個ALD製程期間持續地,在沉積循環的特定時間將氣體提供至反應室,且在反應室中或在反應室上游,藉由在氣體中產生電漿來提供某些反應性物種。在一些實施例中,氣體包含氮氣。在一些實施例中,氣體包含N2。流動氣體亦可充當清除氣體。例如,流動的氮氣可充當第一矽前驅物之載氣及清除氣體兩者,也可充當第二反應物(作為反應性物種的來源),還作為預處理階段中之氮電漿處理步驟的來源氣體。
「脈衝(pulse)」一詞可理解為包括將反應物饋入至反應室中的預定時間量。「脈衝」一詞並不限定脈衝之長度或持續時間,且脈衝可為任何時間長度。
在一些實施例中,一個或多個沉積循環包括依序電漿預處理階段及SiN沉積階段兩者。在一些實施例中,依序電漿預處理製程階段發生在SiN沉積循環之前。在一些實施例中,SiN沉積階段發生在電漿預處理階段之前。可重複整個SiN沉積循環兩或更多次以形成具有所要特性的SiN膜。
在一些實施例中,氮化矽沉積循環包括交替且依序地使基板表面接觸矽前驅物及氮前驅物。在一些實施例中,從電漿預 處理製程中的氮電漿分別提供氮前驅物。然而,在一些實施例中,氮化矽沉積循環可包括使基板接觸矽前驅物,且來自後續沉積循環或相同沉積循環中的電漿預處理製程之氮電漿與已吸附的矽前驅物反應以形成氮化矽。
在一些實施例中,在氮化矽沉積循環中,首先提供矽反應物。在初始表面終止之後,若必要或需要的話,則將第一矽反應物脈衝供應至工件。根據一些實施例,第一反應物脈衝包括載氣流及揮發性矽物種,例如,矽氫鹵化物(比方說H2SiI2),其與所關注的工件表面反應。因此,矽反應物吸附在此等工件表面上。第一反應物脈衝使工件表面自飽和(self-saturates),使得第一反應物脈衝之任何過量的組份不會進一步與由此製程所形成之分子層反應。
第一矽反應物脈衝可以氣態形式供應。出於本描述之目的,若物種在製程條件下呈現足夠的蒸氣壓使該等物種以足以使暴露表面飽和之濃度輸送至工件,則將矽前驅物氣體視為「揮發性」。
在一些實施例中,矽反應物脈衝從約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。熟習此技藝者可基於特定情形輕易地確定最佳脈衝時間。
於使分子層之矽物種吸附於基板表面上的足夠時間後,將過量的第一矽反應物自反應空間移除。在一些實施例中,可藉由停止第一反應物之流動,同時使載氣或清除氣體持續流動足夠 的時間,使過量的反應物及反應副產物(若存在的話)自反應空間擴散或清除來清除過量的第一反應物。在一些實施例中,藉助於在整個ALD循環中流動之惰性氣體(諸如,氦氣或氬氣)來清除過量的第一前驅物。
在一些實施例中,清除第一反應物約0.1秒至約10秒、約0.3秒至約5秒或約0.3秒至約1秒。可將矽反應物之提供及移除視為ALD循環之第一階段或矽階段。
在第二階段中,提供第二氮前驅物,例如氮電漿至反應空間並接觸基板。可藉由在反應室中或在反應室上游(例如藉由使氮來源氣體流經遠端電漿產生器)於包含氮之氣體中產生電漿來形成氮電漿。如前述,在一些實施例中,來自後續沉積循環的電漿預處理製程的氮電漿充當氮反應物。
通常,提供比方說包含氮電漿之第二反應物約0.1秒至約10秒。在一些實施例中,提供例如氮電漿之第二反應物約0.1秒至約10秒、約0.5秒至約5秒或0.5秒至約2.0秒。然而,取決於反應器類型、基板類型及其表面積,第二反應物脈衝時間可甚至高於約10秒。在一些實施例中,脈衝時間可在若干分鐘的程度。熟習此技藝者可基於特定情形輕易地確定最佳脈衝時間。
在足以使先前所吸附的矽物種之分子層完全飽和且與氮反應物脈衝反應的時期之後,自反應空間移除過量的反應物及反應副產物。如同第一反應物的移除般,此步驟包括停止反應性物種之產生及持續流動惰性氣體(例如氮)一段足以使過量反應性 物種及揮發性反應副產物自反應空間擴散出去及清除的時期。在其他實施例中,可使用分別的清除氣體。在一些實施例中,清除可從約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。氮反應物提供及移除共同代表氮化矽原子層沉積循環中的第二氮階段。
雖然在本文中提及SiN沉積製程階段一般以矽前驅物開始,但預期在其他實施例中,該循環可以氮前驅物開始。熟習此技藝人士將認識到,第一前驅物階段一般與前一循環中之最後階段留下的終端反應。因此,雖然若反應性物種階段係第一ALD循環中之第一階段,則先前可能沒有反應物吸附於基板表面上或存在於反應空間中,但在後續循環中,反應性物種階段將有效地跟隨矽階段。因此,如上述,在一些實施例中,來自電漿預處理階段的氮電漿可充當氮反應物以和已吸附的矽前驅物反應而形成氮化矽。在一些實施例中,於沉積製程中提供一個或多個不同的ALD循環。
在每一個完整的SiN沉積循環中可重複基板與矽反應物及氮反應物之交替且依序的接觸一次或多次。
在沉積循環的一個或多個中,進行包括第一電漿及第二電漿處理步驟之電漿預處理階段,其中使基板依序接觸氫電漿並接著在無氫電漿下之氮電漿。在一些實施例中,在每一個SiN沉積循環中進行第一電漿處理步驟及第二電漿處理步驟。在一些實施例中,在沉積製程中的一個、兩個或多個沉積循環中進行電漿 預處理。在一些實施例中,在每個SiN沉積循環中進行電漿預處理。在一些實施例中,在沉積製程中特定間隔之沉積循環(例如每2、3、4、5、6、7、8、9、10個等的沉積循環)中進行電漿預處理(包含第一及第二電漿處理步驟)。在一些實施例中,在一個或多個沉積循環中於SiN沉積階段前進行電漿預處理階段。在一些實施例中,在每一個SiN沉積循環中於SiN沉積階段前進行電漿預處理階段。
第一電漿處理步驟可包括使基板接觸第一氫電漿第一持續時間。在一些實施例中,第一電漿處理步驟包括使基板暴露至氫電漿及氮電漿兩者。可在氫來源氣體,例如H2氣體、包括H2之氣體或NH3氣體中產生氫電漿。
在一些實施例中,可進行第一氫電漿處理一段約0.1秒至約10秒、約0.5秒至約5秒或約0.5秒至約2.0秒的時間。
在一些實施例中,第一電漿處理步驟亦可提供氮反應物,例如氮電漿。在一些實施例中,氮反應物可與來自前一個沉積循環的已吸附矽前驅物反應而形成SiN。故在一個或多個沉積循環中可省略在SiN沉積階段中與矽前驅物反應之分別的氮前驅物(使SiN沉積階段可特徵化為矽物種沉積階段)。例如,在一些實施例中,於暴露至矽反應物後,後續的沉積循環可以包括第一電漿處理之電漿預處理步驟開始,其中使基板接觸在包括H2及N2氣體兩者之流動來源氣體中所產生之電漿,使氮電漿與已吸附的矽反應而形成SiN。
在一些實施例中,在點燃電漿或形成氮及氫原子或自由基之前,將包括氫及/或氮的氣體(例如H2及N2)提供至反應室。在一些實施例中,遠端產生氫電漿及/或氮電漿並提供至反應室。
在第一電漿處理步驟之後,進行第二電漿處理步驟第二持續時間。第二電漿處理步驟包括在無氫電漿下使基板接觸氮電漿。在含氮來源氣體(例如N2氣體或包括N2的氣體)中產生氮電漿。在一些實施例中,在N2氣體中產生電漿。然而,在第二電漿處理期間,不讓基板接觸氫電漿。因此,其中產生電漿的氮來源氣體包括氮而不包括氫。在一些實施例中,在反應空間中產生氮電漿。在一些實施例中,可遠端產生氮電漿並提供至反應空間。
在一些實施例中,進行第二電漿處理步驟約0.1秒至約10秒、約0.5秒至約5秒或0.5秒至約2.0秒。在一些實施例中,第二氮電漿處理步驟可進行的持續時間短於第一氫電漿處理步驟之持續時間。
在一些實施例中,可藉由施加從約10W至約2000W、較佳從約50W至約1000W、更佳從約300W至約550W的射頻(RF)功率來產生氮電漿及氫電漿。在一些實施例中,RF功率密度可從約0.02W/cm2至約2.0W/cm2、較佳約0.05W/cm2至約1.5W/cm2。可將RF功率施加至在特定電漿脈衝時間期間流動、連續流經反應室及/或流經遠端電漿產生器之來源氣體。因此,在一些實施例中,就地產生電漿,而在其他實施例中,在遠端產生電漿。在一些實施例中,利用噴淋頭反應器且在基座(基板位於其頂部 上)與噴淋頭板之間產生電漿。在一些實施例中,基座與噴淋頭板之間的間隙從約0.1cm至約20cm、從約0.5cm至約5cm或從約0.8cm至約3.0cm。
在一些實施例中,可藉由施加從約10W至約2000W的RF功率到氫來源氣體來產生第一電漿處理步驟中所用的氫電漿。在一些實施例中,使用約550W的功率來產生氫電漿。
在一些實施例中,藉由施加約10W至約2000W的RF功率到含氮來源氣體來產生第二電漿處理步驟中所用的氮電漿。在一些實施例中,使用約300W的功率來產生氮電漿。
在一些實施例中,第二電漿處理步驟中所用的氮電漿使用比用來產生先前第一氫電漿處理步驟中的氫電漿之功率更低的功率來產生。例如,在一些實施例中,使用約500W的功率來產生第一電漿處理步驟中的氫電漿並使用約300W的功率來產生第二電漿處理步驟中的氮電漿。在一些實施例中,針對第一電漿處理步驟及第二電漿處理步驟兩者皆使用較低電漿功率,例如約300W。
根據一些實施例,可在範圍從約25℃至約700℃、較佳從約50℃至約600℃、更佳從約100℃至約500℃、最佳從約200℃至約400℃的溫度執行沉積循環。在一些實施例中,最佳反應器溫度可受最大允許熱預算限制。因此,在一些實施例中,反應溫度從約300℃至約400℃。在一些應用中,最大溫度係約400℃左右,因此沉積製程係在該反應溫度下進行。
根據本發明之一些實施例,在處理期間反應室之壓力維持在從約0.01托(Torr)至約50托、較佳從約0.1托至約30托。在一些實施例中,壓力維持在0.1托。在一些實施例中,壓力維持在10托。在一些實施例中,壓力維持在15托。在一些實施例中,壓力維持在20托。在一些實施例中,壓力維持在25托。在一些實施例中,壓力維持在30托。
雖在本文中說明之實施例參照氮化矽膜的PEALD沉積,應可了解到如本文中所揭露在一些實施例中可使用非電漿第二氮反應物。然而,至少一個沉積循環包括第一電漿處理步驟及第二電漿處理步驟。
圖2為概略描繪根據一些實施例用於形成SiN薄膜的示範沉積製程之流程圖。根據某些實施例,藉由沉積製程在基板上形成氮化矽薄膜,該沉積製程包括多個氮化矽沉積循環,一個或多個氮化矽沉積循環200包括執行依序電漿預處理250並接著氮化矽沉積230。在一些實施例中,依序電漿預處理250包括:(1)執行包括使基板接觸氫電漿的第一電漿處理步驟210;(2)執行包括在無氫電漿下使基板接觸氮電漿的第二電漿處理步驟220;依序電漿預處理250之後為氮化矽沉積階段,其包括:(3)交替且依序地使基板接觸蒸氣相矽前驅物及氮前驅物230,使矽物種吸附在基板表面上並轉換成氮化矽。
可在每一步驟之後自基板附近移除過量的反應物及反應 副產物(若有的話),例如藉由以惰性氣體(例如Ar、N2或H2氣體)清除。電漿預處理製程250可在SiN沉積製程階段230之前提供預處理表面。可進行重複240(電漿預處理階段250及SiN沉積階段)兩次或更多次,以沉積具有所要的性質及所要厚度的SiN薄膜。
在一些實施例中,包括步驟210及220的依序電漿預處理階段250可包含在每個沉積循環中,同時在一些實施例中,包括步驟210及220的依序電漿預處理階段250可僅包含在某些沉積循環中。例如,包括步驟210及220的依序電漿預處理階段250可包含在每1、2、3、5、10、15、20或更多個沉積循環中。
如上述,在一些實施例中,氮化矽沉積階段230包括僅使基板接觸矽前驅物而不接觸氮前驅物,以使已吸附的矽前驅物與來自後續沉積循環中的依序電漿預處理階段250之氮電漿反應以形成氮化矽。
如本文所討論,矽前驅物可為矽氫鹵化物前驅物。在一些實施例中,已吸附在基板表面上的矽物種可與提供至反應空間的矽前驅物化學上不同。在一些實施例中,矽物種可實質上等於矽前驅物。
在一些實施例中,可藉由在包括N2的氣體中產生電漿來形成氮電漿。
在一些實施例中,第一電漿處理步驟210包括使基板接觸氫來源氣體(例如H2氣體、包括H2之氣體或包括NH3之氣體) 電漿中所產生的電漿。在一些實施例中,使基板接觸氫電漿從約0.1秒至20秒。例如,使基板接觸氫電漿約0.1秒、1秒、5秒、10秒、15秒或20秒。
在一些實施例中,第一氫電漿處理步驟210可進行約1秒至10秒的持續時間。在一些實施例中,第一氫電漿處理步驟可進行1秒、2秒、5秒、7秒或多達10秒的持續時間。在一些實施例中,第一氫電漿處理步驟210可進行約1秒至10秒的持續時間。在一些實施例中,第一氫電漿處理步驟可進行1秒的持續時間。在一些實施例中,第一氫電漿處理步驟可進行約5秒。在一些實施例中,第一氫電漿處理步驟可進行約10秒。
在一些實施例中,可藉由施加RF功率至氫來源氣體來產生氫電漿。可使用從約10W至約2000W的功率來產生電漿。在一些實施例中,使用約550W的功率來產生氫電漿。
在一些實施例中,於第一氫電漿處理210期間,亦使基板接觸氮電漿,如下參照圖4及圖5更詳細討論。例如,可使基板接觸形成在包括氮及氫之氣體中的電漿。在一些實施例中,氮電漿反應物可與前一個沉積循環中先前已吸附於基板上的矽前驅物反應以形成SiN。
在一些實施例中,第二電漿處理步驟220包括使基板接觸在含氮來源氣體中所產生之電漿。例如,含氮來源氣體可為N2氣體或可包括N2。然而,在第二電漿處理步驟220中基板並未接觸氫電漿。因此,其中產生電漿的氮來源氣體包括氮而不包括氫。 在一些實施例中,在N2氣體中產生電漿。此外,在進行第二電漿處理步驟220之前,從反應空間移除來自第一電漿處理步驟210的任何殘留氫電漿。
在一些實施例中,藉由施加RF功率至含氮來源氣體來產生用於第二電漿處理步驟220中的氮電漿。在一些實施例中,可使用從約10W至約2000W的功率來產生氮電漿。然而,在一些實施例中,使用比用來產生氫電漿處理步驟中之氫電漿的功率更低的功率來產生氮電漿。例如,在一些實施例中,使用約550W的功率來產生第一氫電漿處理步驟210中的氫電漿,並使用約300W的功率來產生第二電漿處理步驟220中的氮電漿。
在一些實施例中,第二氮電漿處理步驟220可進行約0.1秒至20秒的持續時間。例如,第二氮電漿處理步驟可進行0.1秒、1秒、5秒、10秒、15秒或20秒。在一些實施例中,第二氮電漿處理步驟220可進行的持續時間短於第一電漿處理步驟210的持續時間。在一些實施例中,第二氮電漿處理步驟220與第一電漿處理步驟210進行相同的持續時間。在一些實施例中,可進行第二氮電漿處理步驟220可進行的持續時間長於第一電漿處理步驟210的持續時間。
如上所述,在一些實施例中,惰性氣體可流經沉積循環的一部分或全部並可用於一個或多個目的。例如,在一些實施例中,惰性氣體可充當一個或多個反應物(例如矽反應物)之載氣及/或來源氣體。在一些實施例中,惰性氣體可充當用於在沉積循 環中的一個或多個步驟之間移除過量反應物及/或反應副產物之清除氣體。在一些實施例中,惰性氣體可充當用於產生電漿反應物(如氮電漿)之一者或多者的來源氣體。例如,在一些實施例中,N2可流經整個沉積循環,或沉積循環中的某些點。在一些實施例中,N2氣體流經整個沉積循環,並產生電漿以生成用於第二電漿處理之氮電漿。N2氣體亦可充當SiN沉積階段230中的氮反應物之來源氣體,並甚至可充當矽前驅物的載氣。在一些實施例中,N2氣體流經整個沉積循環,並僅在第二電漿處理步驟期間於N2氣體中產生電漿。在一些實施例中,在沉積製程中的某些步驟中不流動惰性氣體。例如,在一些實施例中,H2用為惰性氣體,且在氮電漿處理步驟期間不流動但在沉積循環的所有其他步驟中流動H2氣體。於第一電漿處理步驟期間在流動的H2氣體中產生電漿。
圖3描繪在根據一些實施例的SiN沉積循環中的反應物之脈衝及電漿功率之施加。所示的沉積循環包含電漿預處理階段311及氮化矽沉積階段312。在整個沉積循環中流動N2 310作為清除氣體及/或載氣。
電漿預處理階段311包括步驟340、350及360。第一氫電漿處理340包括在施加電漿功率330的同時使H2氣體325流入反應室內。在此時期開啟電漿功率330,而在H2氣體325中產生電漿。在氫電漿暴露時期340結束時,關閉H2流,並開啟氮流320。氮電漿處理350包括在施加電漿功率330的同時使N2氣體320流 入室內。於氮電漿處理350期間,在無氫電漿下使基板暴露至氮電漿。在氮電漿暴露時期350結束時,關閉電漿功率330。在步驟360,使N2氣體流入反應室以移除過量的反應性物種。可在氮化矽沉積階段312之前可選地重複步驟340、350及360數次。另外,如本文中所說明,在一些實施例中,電漿預處理階段311可跟隨在氮化矽沉積階段312之後。
氮化矽沉積階段312包括步驟370、380、390,且步驟370包括開啟矽前驅物流315以提供矽前驅物到反應室內,使矽物種得以吸附於基板表面上。在使矽前驅物流入反應室內370之後,在步驟380藉由流動清除N2清除氣體310來從反應室清除過量的矽前驅物。在矽前驅物清除380之後,使N2流320流入反應空間中,並在步驟390開啟RF功率以形成氮電漿。氮電漿與已吸附的矽前驅物反應以在基板表面上形成氮化矽。之後,關閉電漿功率並藉由流動N2氣體310來從反應空間清除過量的反應性物種及任何反應副產物392。可藉助載氣,例如N2來提供矽前驅物。可在步驟370、380、390及392期間維持N2流,使N2充當氮反應物的來源氣體,並也幫助清除反應空間。在一些實施例中,在氮化矽沉積階段312之後,重複氮化矽沉積循環。亦即,可重複依序電漿預處理階段311及氮化矽沉積階段312數次以形成所要的SiN膜。在一些實施例中,可在開始下一次的氮化矽沉積循環之前,重複氮化矽沉積階段312數次。可控制電漿功率330以實現具有所要特性之SiN膜。
圖4為概略描繪氮化矽沉積循環之實施例的流程圖,其中依序電漿預處理階段450包括第一步驟410,其中使基板與氮電漿和氫電漿同時接觸,例如藉由在N2/H2混合氣體中形成電漿。在電漿預處理階段450之後,接著在矽物種沉積階段中使基板接觸矽前驅物430,使矽物種得以吸附於基板表面上。重複沉積循環,並在後續循環的步驟410中之氮電漿與已吸附的矽物種反應而形成氮化矽。
如圖4中所示,可藉由多個氮化矽沉積循環在基板上形成氮化矽薄膜,一個或多個氮化矽沉積循環400包括:(1)使基板接觸第一氮電漿及氫電漿410;(2)在無氫電漿下使基板接觸第二氫電漿420;(3)使基板接觸矽前驅物430;以及(4)重複440步驟410-430。
可例如在每一個接觸步驟之後藉由以惰性氣體從反應空間清除來自基板附近移除過量的反應物。
電漿預處理階段450包括使基板接觸氮電漿及氫電漿410並在無氫電漿下使基板接觸第二氮電漿420。可在使基板接觸矽前驅物430之前重複電漿預處理階段450一次或多次。
在電漿預處理階段450之後,使基板接觸矽前驅物430,例如矽氫鹵化物前驅物。在步驟430之後,可重複沉積循環數次以形成所要的SiN膜。在一些實施例中,依序地重複整個沉積循環數次440以形成具有所要厚度和所要性質的SiN膜。
在一些實施例中,並不在每一個沉積循環中重複依序電漿預處理450。
在一些實施例中,使用第一功率來產生步驟410中的第一氮電漿及氫電漿處理,並使用第二功率來產生步驟420中的第二氮電漿處理。在一些實施例中,第二功率少於第一功率。在一些實施例中,第二功率與第一功率相同。在一些實施例中,第一功率為550W且第二功率為300W。在一些實施例中,第一及第二功率皆為300W。
在一些實施例中,在至少一個沉積循環中,使基板與第一氮電漿及氫電漿接觸410第一持續時間,該第一持續時間大於使基板與第二氮電漿接觸440的第二持續時間。在一些實施例中,在至少一個沉積循環中,使基板與第一氮電漿及氫電漿接觸410第一持續時間,該第一持續時間短於使基板與第二氮電漿接觸420的第二持續時間。在一些實施例中,第一氮電漿及氫電漿步驟410的持續時間與第二氮電漿步驟420的相同。
圖5繪示在根據一些實施例中的SiN沉積循環中的反應物之脈衝及電漿功率的施加,其中在依序電漿預處理的第一電漿步驟期間使基板同時暴露至氫電漿及氮電漿。
SiN沉積循環540包括電漿預處理階段511及矽物種沉積階段512。在電漿預處理階段511,使基板暴露至氮電漿及氫電漿550,之後接著在無氫電漿下暴露至氮電漿560。在矽物種沉積階段512,使基板暴露至矽前驅物,使矽物種得以吸附在基板表面 上。然而,在此階段期間不讓基板暴露至反應物氮電漿,使得在沉積循環的矽物種沉積階段512不形成SiN。然而,在接下來的SiN沉積循環540,於電漿預處理階段511期間,當使基板暴露至氮電漿時,氮電漿與來自先前的矽前驅物沉積階段512之已吸附的矽物種反應,進而形成SiN。
電漿預處理階段511包括步驟550、560及570。於第一電漿步驟550期間使氫氣525及氮氣520氣體流入反應室內並在第一功率水準施加電漿功率530,且在流動的N2反應物氣體520及H2反應物氣體525中產生電漿,使基板暴露至氫電漿及氮電漿。
於第二電漿處理步驟560期間,N2反應氣體520持續流至反應空間,同時停止H2氣體525的流動。在比第一電漿處理步驟550更低的第二水準施加電漿功率530,並在流動的N2氣體中產生電漿,進而在無氫電漿下使基板暴露至氮電漿。
在電漿處理步驟550及560之後,N2氣體可用來清除反應空間570中任何殘留之受激發的物種。
矽物種沉積階段512包括步驟580及590。在步驟580,開啟矽反應物流515並於關閉電漿功率的同時在N2載氣510的幫助下使矽反應物流入反應室內,以使矽物種吸附在基板表面上。在步驟580期間,關閉H2流525及N2流520。在後續的沉積循環中,於步驟550所產生之氮電漿與已吸附的矽物種反應而形成SiN。在步驟590,清除過量的矽前驅物。
可重複電漿預處理階段511及矽物種沉積階段512數次 以形成所要厚度的SiN膜。可控制電漿功率530以實現具有所要特性的SiN膜。
實例
圖6A及6B描繪根據本公開的一些實施例沉積在AR為10之結構上的SiN膜之STEM影像。獲得>200%的側壁保形率(圖6A),並在間隙填充製程中獲得具有小接縫的結構(圖6B)。詳言之,在反應室維持於2000Pa(15托)的同時於400℃進行SiN沉積。依序電漿預處理階段包括使基板同時接觸氫電漿及氮電漿2秒,並接著在無氫電漿下使基板接觸第二氮電漿2秒。於SiN沉積階段期間,使基板接觸矽前驅物。
熟悉技藝人士將理解在不脫離本發明之精神下可實施許多不同的修改。所描述的特徵、結構、特性及前驅物可以任何合適方式組合。因此,應該清楚理解本發明之形式僅為說明性而不是意欲限制本發明之範圍。所有修改及變化意欲歸屬於如由隨附申請專利範圍所界定之發明範疇內。
200:氮化矽沉積循環
210:步驟
220:步驟
230:氮化矽沉積階段
240:重複
250:電漿預處理階段

Claims (20)

  1. 一種藉由原子層沉積(ALD)製程在反應空間中的基板上之三維結構上沉積SiN薄膜的方法,該方法包括多個沉積循環,該多個沉積循環中的每個沉積循環包括:依序電漿預處理階段,包括:使該基板與第一氫電漿接觸;且在使該基板與該第一氫電漿接觸之後,在無氫電漿下使該基板與第二氮電漿接觸;以及氮化矽沉積階段,包括交替且依序地使該基板接觸矽氫鹵化物前驅物及氮反應物。
  2. 如申請專利範圍第1項所述的方法,其中使該基板與該第一氫電漿接觸包括使該基板同時與該第一氫電漿及氮電漿接觸。
  3. 如申請專利範圍第1項所述的方法,其中在該每個沉積循環中進行該依序電漿預處理階段。
  4. 如申請專利範圍第1項所述的方法,其中以第一功率產生該第一氫電漿,並以少於或等於該第一功率的第二功率產生該第二氮電漿,且其中該第一功率與該第二功率為從10W至2000W。
  5. 如申請專利範圍第1項所述的方法,其中該第二氮電漿在N2氣體中形成。
  6. 如申請專利範圍第1項所述的方法,其中該SiN薄膜在該三維結構上具有約100%至約300%的階梯覆蓋率,且其中該階梯覆 蓋率為在該三維結構的底表面上的該SiN薄膜的厚度對在該三維結構的頂表面上的該SiN薄膜的厚度之比率。
  7. 如申請專利範圍第1項所述的方法,其中在該基板上的該三維結構具有大於或等於3之深寬比(AR)。
  8. 如申請專利範圍第7項所述的方法,其中該三維結構包括側壁,且該SiN薄膜的側壁保形率大於100%,且其中該側壁保形率為經[(在該側壁的底部之該SiN薄膜的厚度)/(在該側壁的頂部之該SiN薄膜的厚度)]x 100%所計算。
  9. 一種電漿增強原子層沉積(PEALD)的方法,用於在反應空間中的基板上之三維結構上方沉積SiN薄膜,該方法包括沉積循環,該沉積循環依序包括:依序預電漿預處理階段,包括:使該基板與在H2及N2氣體之混合物中所產生的第一電漿接觸;且在無H2氣體下使該基板與在N2氣體中所產生的第二電漿接觸;以及沉積階段,包括使該基板與矽前驅物接觸;其中該沉積循環可依序地重複兩次或更多次。
  10. 如申請專利範圍第9項所述的方法,其中在第一電漿功率產生該第一電漿,並在低於該第一電漿功率的第二電漿功率產生該第二電漿,且其中該第一電漿功率與該第二電漿功率為從10W至2000W。
  11. 如申請專利範圍第9項所述的方法,其中該矽前驅物包括矽氫鹵化物。
  12. 如申請專利範圍第9項所述的方法,進一步包括在使該基板與該矽前驅物接觸之前以及使該基板與該第一電漿和該第二電漿接觸之後清除該反應空間。
  13. 如申請專利範圍第9項所述的方法,其中在整個該沉積循環中使N2氣體持續流入該反應空間。
  14. 如申請專利範圍第9項所述的方法,其中該三維結構包括具有側壁及頂部區域的溝渠,且該SiN薄膜具有大於100%的側壁保形率,且其中該側壁保形率計算為經[(在該側壁的底部之該SiN薄膜的厚度)/(在該側壁的頂部之該SiN薄膜的厚度)]x 100%所計算。
  15. 如申請專利範圍第9項所述的方法,其中該SiN薄膜具有約0.02至約3.0之在該三維結構的側壁上之該SiN薄膜於100:1稀釋HF中之濕蝕刻率(WER)對在該三維結構的頂部區域上之該SiN薄膜於100:1稀釋HF中之濕蝕刻率(WER)的比率。
  16. 一種藉由電漿增強原子層沉積(PEALD)在反應空間中的基板上沉積SiN薄膜之方法,包括多個沉積循環,該多個沉積循環中的每個沉積循環包括:使該基板與藉由施加第一電漿功率至包括氫及氮的氣體所產生之第一電漿接觸;以及接著使該基板與藉由施加第二電漿功率至包括氮但不包括氫 之氣體所產生之第二電漿接觸;以及沉積階段,包括交替及依序地使該基板與鹵化矽及氮前驅物接觸,其中該第一電漿功率與該第二電漿功率為從10W至2000W。
  17. 如申請專利範圍第16項所述的方法,其中該第二電漿功率少於該第一電漿功率。
  18. 如申請專利範圍第16項所述的方法,其中該鹵化矽為矽氫鹵化物。
  19. 如申請專利範圍第16項所述的方法,其中該第一電漿功率大於或等於該第二電漿功率。
  20. 如申請專利範圍第16項所述的方法,其中該SiN薄膜沉積在具有大於3之深寬比的溝渠上且具有大於100%之側壁保形率,且其中該側壁保形率為經[(在該溝渠的側壁的底部之該SiN薄膜的厚度)/(在該溝渠的側壁的頂部之該SiN薄膜的厚度)]x 100%所計算。
TW108111581A 2018-04-30 2019-04-01 使用矽氫鹵化物前驅物沉積SiN的方法 TWI787492B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/966,717 US10580645B2 (en) 2018-04-30 2018-04-30 Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US15/966,717 2018-04-30

Publications (2)

Publication Number Publication Date
TW201945582A TW201945582A (zh) 2019-12-01
TWI787492B true TWI787492B (zh) 2022-12-21

Family

ID=68292700

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108111581A TWI787492B (zh) 2018-04-30 2019-04-01 使用矽氫鹵化物前驅物沉積SiN的方法

Country Status (5)

Country Link
US (1) US10580645B2 (zh)
JP (1) JP7321747B2 (zh)
KR (1) KR102441457B1 (zh)
CN (1) CN110408906B (zh)
TW (1) TWI787492B (zh)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102224067B1 (ko) * 2020-01-09 2021-03-08 주식회사 이지티엠 표면 보호 물질을 이용한 박막 형성 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103274A (ko) * 2020-02-13 2021-08-23 주식회사 이지티엠 표면 보호 물질을 이용한 박막 형성 방법
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113025991B (zh) 2021-02-26 2022-07-22 长鑫存储技术有限公司 半导体结构的制作方法
KR20220130026A (ko) * 2021-03-17 2022-09-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR20240032126A (ko) * 2021-07-09 2024-03-08 램 리써치 코포레이션 실리콘-함유 막들의 플라즈마 강화 원자 층 증착
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240041928A (ko) * 2021-09-14 2024-04-01 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201623682A (zh) * 2014-09-24 2016-07-01 蘭姆研究公司 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201625808A (zh) * 2014-10-20 2016-07-16 K C 科技股份有限公司 薄膜製成方法及原子層沈積裝置
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
TW201708592A (zh) * 2015-08-24 2017-03-01 Asm Ip控股公司 在反映空間中在基板上形成氮化矽薄膜的方法
TW201723211A (zh) * 2015-08-21 2017-07-01 美商.應用材料股份有限公司 高溫熱原子層沉積氮化矽膜
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法
CN107923041A (zh) * 2015-08-12 2018-04-17 Dnf有限公司 利用等离子体原子层沉积法的氮化硅薄膜的制造方法

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683147A (en) 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4696834A (en) 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
JPH0570957A (ja) 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) * 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
AU1592899A (en) 1997-12-02 1999-06-16 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making th e same
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR20010074387A (ko) 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP2002308643A (ja) 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
GB0113751D0 (en) * 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) * 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
TW200603287A (en) 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2006088062A1 (ja) 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 半導体デバイスの製造方法および基板処理装置
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8043976B2 (en) 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101444707B1 (ko) 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
WO2011162136A1 (en) 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
WO2012012457A2 (en) 2010-07-19 2012-01-26 Rec Silicon Inc Polycrystalline silicon production
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TW201306082A (zh) 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
JP5660205B2 (ja) 2011-04-25 2015-01-28 東京エレクトロン株式会社 成膜方法
US9447287B2 (en) 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
KR101514231B1 (ko) 2011-08-25 2015-04-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US20150031218A1 (en) 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
DE112013006170T5 (de) 2012-12-21 2015-09-17 Rec Silicon Inc Hitzebeständiger Stahl für Ausrüstungsteile von Fliessbettreaktoren
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
WO2017070190A1 (en) * 2015-10-23 2017-04-27 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10381226B2 (en) * 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9960033B1 (en) * 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6942188B2 (ja) * 2017-01-13 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低温窒化ケイ素膜のための方法及び装置
US10283353B2 (en) * 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102443047B1 (ko) * 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11955331B2 (en) * 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
TW201623682A (zh) * 2014-09-24 2016-07-01 蘭姆研究公司 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201625808A (zh) * 2014-10-20 2016-07-16 K C 科技股份有限公司 薄膜製成方法及原子層沈積裝置
CN107923041A (zh) * 2015-08-12 2018-04-17 Dnf有限公司 利用等离子体原子层沉积法的氮化硅薄膜的制造方法
TW201723211A (zh) * 2015-08-21 2017-07-01 美商.應用材料股份有限公司 高溫熱原子層沉積氮化矽膜
TW201708592A (zh) * 2015-08-24 2017-03-01 Asm Ip控股公司 在反映空間中在基板上形成氮化矽薄膜的方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Also Published As

Publication number Publication date
US10580645B2 (en) 2020-03-03
TW201945582A (zh) 2019-12-01
KR20190125934A (ko) 2019-11-07
CN110408906A (zh) 2019-11-05
US20190333753A1 (en) 2019-10-31
CN110408906B (zh) 2021-08-17
JP2019194353A (ja) 2019-11-07
JP7321747B2 (ja) 2023-08-07
KR102441457B1 (ko) 2022-09-07

Similar Documents

Publication Publication Date Title
TWI787492B (zh) 使用矽氫鹵化物前驅物沉積SiN的方法
JP7062817B2 (ja) SiNの堆積
KR102503837B1 (ko) SiN 박막들의 형성
TWI714504B (zh) 沉積氮化矽薄膜的方法
US20180082838A1 (en) Atomic layer deposition of silicon carbon nitride based materials
KR20080106984A (ko) 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
TWI794551B (zh) 形成氮化矽膜之方法
JP2022523019A (ja) 窒化ケイ素を堆積する方法
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
US20230142899A1 (en) Thin-film deposition method and system
CN118176564A (zh) 等离子体增强的成膜方法