TWI609242B - 利用圖案磊晶定向自組裝以精確地切割線部 - Google Patents

利用圖案磊晶定向自組裝以精確地切割線部 Download PDF

Info

Publication number
TWI609242B
TWI609242B TW103136203A TW103136203A TWI609242B TW I609242 B TWI609242 B TW I609242B TW 103136203 A TW103136203 A TW 103136203A TW 103136203 A TW103136203 A TW 103136203A TW I609242 B TWI609242 B TW I609242B
Authority
TW
Taiwan
Prior art keywords
bcp
block copolymer
template
line
line portions
Prior art date
Application number
TW103136203A
Other languages
English (en)
Other versions
TW201527892A (zh
Inventor
馬克H 桑末薇拉
班傑明M 盧斯薩克
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201527892A publication Critical patent/TW201527892A/zh
Application granted granted Critical
Publication of TWI609242B publication Critical patent/TWI609242B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Laminated Bodies (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)

Description

利用圖案磊晶定向自組裝以精確地切割線部
[相關申請案之交互參照]
本申請案主張各於2013年10月20日所提申之共同申請中的美國臨時專利申請案第61/893,277及61/893,275號之優先權,該等專利之揭露內容係藉由參照其整體內容而特此併入於本文中。
本發明係關於嵌段共聚物(BCPs)之定向自組裝(DSA)及用其在線部結構中製作切割圖案之陣列。
積體電路(IC)圖案之密集度微縮的限制之一係為兩個線部端點之間的距離。基本上,此結構並不遵循與關鍵電路圖案中之其他特徵(例如線部的關鍵尺寸)相同的光學微縮。因此,業界一直在尋找更好的方法以使線部端點彼此更加接近。從大約45 nm節點開始,許多IC製造者開始使用雙重圖案化方案,其中,係使用第一微影圖案來產生線部,而後使用在第二微影步驟期間所產生之類似溝槽或孔洞的結構來切割該等線部。
在微影技術中,一般結構的產生可有許多應用。從成本的角度來看,裝入特定區域中的結構愈密集,則製造該元件的費用愈低。在產生經圖案化之材料的密集陣列中的關鍵步驟之一係切割密集包裝的線部陣列。
圖1A係顯示密集包裝的線部10,其在基板18上構成了既存的表面形貌20。圖1B接著顯示用以切割線部10的標準手段,即,在塗佈於表面形貌20上的光阻14中將溝槽12圖案化。圖1C係顯示所得的經切割之線部10'的陣列60。然而,隨著吾人持續進行此微縮路線,印刷溝槽圖案的微影技術會達到光學上所能實現的極限。這會導致鄰接之線部的端點對端點間距的限制,及導致包含此等線部的電路之包裝密度整體降低。
因此,需要可用以允許產生更小之切口間距的手段,且理想上,用以製造切口的手段應更便宜且僅需較少關鍵微影技術。
本發明提供一種用以在基板上形成圖案化之表面形貌的方法。該基板初始設有形成於頂部上的複數個線部。該方法之一實施例包含以下步驟:將第一平坦化層塗佈於複數個線部上;將第一抗反射層塗佈於第一平坦化層上;將第一層輻射敏感材料塗佈於第一抗反射層上;及將第一層輻射敏感材料圖案化以形成第一輻射敏感材料圖案。接著藉由蝕刻第一抗反射層及第一平坦化層以使該複數個線部部分地曝露而將此圖案轉移至第一平坦化層中,該等線部的曝露部分形成了第一曝露線部部分,且第一輻射敏感材料圖案及第一抗反射層的所有剩餘部分被剝離,以留下被用於定向自組裝(DSA)的第一模板所圍繞的第一曝露線部部分。選用性地,對第一曝露線部部分、或第一模板、或此兩者進行處理,以改變第一曝露線部部分或第一模板的至少一表面性質。接著使用第一嵌段共聚物(BCP)來填充第一模板以覆蓋第一曝露線部部分,並將第一模板內的第一BCP退火,以驅動與第一曝露線部部分對準的自組裝。該方法之實施例更包含以下步驟:將經退火之第一BCP顯影,以使直接覆蓋於第一曝露線部部分的第一DSA圖案曝露;使用第一DSA圖案作為遮罩來蝕刻第一曝露線部部分,以在第一曝露線部部分中形成第一組線部切口;及將第一DSA圖案從基板剝離。
在又一實施例中,可在複數個線部(具有形成於其中的第一組線部切口)上重複該等步驟,以形成第二組線部切口。在又另一實施例中,對第一及/或第二模板進行處理之步驟使得其各自之側壁表面分別有利於被第一嵌段共聚物(BCP)或第二嵌段共聚物(BCP)之少數相或多數相之一者所潤濕。在此處理步驟使得該側壁表面有利於被BCP之少數相所潤濕的情況下,模板的寬度係介於以下兩者之間:1.5乘以BCP之特徵尺寸LO 加上兩個在模板之側壁上由BCP之少數相所形成的潤濕層之厚度與2.0乘以BCP之特徵尺寸LO 加上兩個在模板之側壁上由BCP之少數相所形成的潤濕層之厚度。在此處理步驟使得該側壁表面有利於被BCP之多數相所潤濕的情況下,模板的寬度係介於0.7乘以BCP之特徵尺寸LO 與1.0乘以BCP之特徵尺寸LO 之間。
該方法之另一實施例包含以下步驟:對準及製備直接覆蓋於該複數個線部的第一DSA圖案;轉移第一DSA圖案以在該複數個線部中形成第一組切口;對準及製備直接覆蓋於該複數個線部的第二DSA圖案,該複數個線部具有形成於其中的該第一組切口;及轉移第二DSA圖案以在該複數個線部中形成第二組切口。第一及第二DSA圖案各包含一嵌段共聚物,該嵌段共聚物具有六方緊密堆積(HCP)形態結構及一特徵尺寸LO ,該特徵尺寸LO 係介於0.9乘以該複數個線部之個別線部間的間距與1.1乘以該複數個線部之個別線部間的間距之間。
設計及使用圖案磊晶及選用性地設計及使用化學磊晶以驅動用於將線部特徵部圖案化的嵌段共聚物之組裝的方法係揭露於各種實施例中。然而,熟習相關技藝者應瞭解,在缺少一或更多特定細節、或具有其他替代者及/或額外之方法、材料、或元件的情況下,仍可實施各種實施例。另一方面,眾所周知的結構、材料、或操作將不詳細顯示或描述,以免混淆本發明之各種實施例的實施態樣。
同樣地,為了解釋之目的,係提出特定的數量、材料、及組態以提供通盤理解。然而,在缺少特定細節的情況下,仍可實施本發明之實施例。此外,應瞭解的是,說明性之圖式未必是按比例繪製。
整個此說明書所提及之「一實施例(one embodiment)」或「一實施例(an embodiment)」或其變化,係指針對該實施例所述之特定的特徵、結構、材料、或特性係包含於本發明之至少一實施例中,但不代表其存在於每一實施例中。因此,出現在整個此說明書各處的用語,例如「在一實施例中(in one embodiment)」或「在一實施例中(in an embodiment)」,未必是指本發明之相同的實施例。此外,特定的特徵、結構、材料、或特性可以任何適當的方式結合於一或更多實施例中。在其他實施例中可包含各種額外的層及/或結構,及/或可省略所描述之特徵。
此外,應瞭解的是,除非另有明確聲明,否則「一(a)」或「一(an)」可意指「一或更多」。
各種操作將以最有助於理解本發明的方式依序描述成複數個獨立操作。然而,描述的順序不應被理解為暗示該等操作必須為順序相依。具體而言,該等操作無須依描述的順序來執行。可以與所述之實施例不同的順序來執行所述之操作。
在額外的實施例中,可執行各種額外之操作,及/或可省略所述之操作。
本發明係關於使用嵌段共聚物(BCPs)以在線部中進行切割,並詳細說明針對一特定的BCP如何有系統地產生特徵部之最緊密包裝。BCPs可用於此種應用中,因為基本上它們會希望達到極精細尺寸的熱力學微結構。整體來說,本發明將允許產生較小之切口間距,且由於僅需較少關鍵微影技術而有較低之費用。
更具體而言,本發明係關於使用定向自組裝(DSA)之圖案磊晶及選用性地使用化學磊晶應用以切割線部。BCPs的基本原理決定了所需的幾何形狀,並因此決定了可使用二印刷、二蝕刻、二DSA之製程整合而實現的最緊密包裝。當此系統係應用於實現緊密的包裝密度時,其會導致島狀物結構的陣列,此島狀物結構的陣列係處於節距(x及y)與BCP之特徵長度(LO )相依的狀態。較鬆散的節距結構亦可通過適當選擇溝槽間距來實現。此外,此方案可藉由使用最終將被切割的表面形貌來對準及固定由BCPs所形成之圓柱部,而避開其他方法中的重疊問題。最終,本發明利用了BCPs的基本原理及系統中的表面形貌而將開口放置於特定位置中,從而可依所需切割線部。
如圖2A-2G中所描述,切口的微縮可藉著使用BCPs的DSA來完成。一種簡單的方法係使用圖案磊晶結構來形成孔洞,這些孔洞接著將容許到達線部的途徑以用於切割。
在圖2A中,預先存在的表面形貌20係顯示為基板18上的密集包裝線部10之陣列。在圖2B-2C中,接著係以雙層BARC(底部抗反射塗層)26來塗佈表面形貌20,雙層BARC 26包含圖2B中的平坦化層22(例如,旋轉塗佈碳(SOC)層)及圖2C中的抗反射塗層(ARC)24(例如,矽ARC)。在一替代實施例中,係使用CVD(化學氣相沉積)堆疊來取代雙層BARC 26,例如以α-碳塗層及SiON塗層來取代SOC及SiARC塗層。接著,在BARC 26上方塗佈一層輻射敏感材料(例如光阻層28),而後將其圖案化以打開一溝槽,該溝槽係供作圖案磊晶模板30,如圖2D中所示。接著,將BCP 50塗佈於經圖案化的光阻層28以填充模板30(部分地或完全地)並接著進行退火,在退火期間,圖案磊晶模板30會引導圓柱狀形態結構的形成,如圖2E中所示。更具體而言,退火會使BCP的第一嵌段52在BCP的第二嵌段54之基體內形成複數個對準在線部10上方的圓柱部32。後續將BCP 50顯影以移除圓柱狀形態結構之步驟可在覆蓋表面形貌20的模板30內形成接觸孔洞56。藉由向下蝕刻通過BARC 26以使下層的表面形貌20之線部10曝露而將此等接觸孔洞56轉移,如圖2F中所示,且接著係使用曝露之表面形貌20來形成經切割之線部10'的陣列60,如圖2G中所示。
用以切割線部的另一方法係顯示於圖3A-3F中,其中,係使用光阻層28以將用作為模板的另一材料圖案化。例如,可使用光阻層28以將圖案轉移至硬遮罩中,而後可使用硬遮罩模板來產生DSA孔洞。如圖3A中所示,此堆疊包含:在線部10之陣列上方的第一平坦化層22a(例如,SOC塗層)、在第一平坦化層22a上方的第一ARC層24a(例如,SiARC塗層)、在第一ARC層24a上方的第二平坦化層22b(例如,SOC塗層)、及在第二平坦化層22b上方的第二ARC層24b(例如,SiARC塗層),並具有塗佈於第二ARC層24b上方的光阻層28。因此,共有兩個硬遮罩層26a及26b。圖3B係顯示將光阻層28圖案化以打開一溝槽,接著將該溝槽轉移通過第二ARC層24b並進入第二平坦化層22b中。圖3C顯示了在第二平坦化層22b中的DSA模板30。接著,將BCP 50塗佈於經圖案化之第二平坦化層22b以填充模板30(部分地或完全地)並接著進行退火,在退火期間,圖案磊晶模板30會引導圓柱狀形態結構的形成,如圖3D中所示。因此必須將DSA圖案轉移通過硬遮罩26a、26b兩者以允許到達線部10的途徑,如圖3E中所示,俾能形成經切割之線部10'的陣列60,如圖3F中所示。
這兩種製程流程(圖2A-2G及圖3A-3F)是類似的,因其係將DSA與蝕刻轉移及切割線部分開。傾向此方法的主要原因之一是為了避免具有發生於其本身表面形貌之頂部上的自組裝過程的複雜性。然而,基本上,此種方法會有一明顯的難題。由於BCP係與表面形貌分隔開,因此用以將BCP與下層的待切割之表面形貌對準的唯一手段係透過模板。本發明係關於使用表面形貌以使BCP直接對準於其上。
圖4之圖示係顯示圖案磊晶溝槽模板的終端。溝槽模板30與下層的表面形貌20之間的重疊係顯示為x,且此重疊會決定溝槽模板30的端部將設置在何處。此設置又會決定第一孔洞(標記為1)的設置。理想上,會設置溝槽模板30之端部以將第一孔洞1完美地設置於第一線部10的頂部上。後續的孔洞2、3、4等等的設置係由BCP的特徵長度LO 所決定。在BCP之LO 與線部10之節距完全匹配的情況下,後續的孔洞將完美地設置於線部的頂部上,如圖所示。然而,即使節距在一BCP與線部之節距間係完全匹配,但BCP之LO 的固有之批次對批次變化會導致孔洞設置上的極嚴重誤差。假設所欲之節距為32.00 nm,而所討論的BCP之批次A具有32.00 nm的LO 。在此情況下,該系統將使孔洞完美地設置於線部上。接著裝設BCP之批次B,且其具有32.01 nm的LO 。在設置100個孔洞後,第100個孔洞將具有1 nm的設置誤差。若重疊的規格為節距的5%(或1.6 nm),則在設置160個孔洞後,此設置將超出規格。在1600個孔洞後,誤差將是16 nm,而孔洞將完全失準。在記憶體應用(其中線部係於可具有數千個線部的陣列中被切割)中,若自組裝與下層圖案無相關聯,則BCP之LO 的批次對批次變化無疑將導致失敗。
為了減輕此種效應,本發明使用表面形貌來錨定孔洞的設置。BCPs通常具有略為伸縮的能力以適應其周圍。只要此種伸縮不會過於嚴重,則BCPs可容易地採用與BCP之LO 略為不相稱的組態。因此,係揭露一種方法,其中係以BCP傾向將其本身黏附於表面形貌的方式來製造表面形貌。
如圖5A-5L中的示意性剖面圖中所示,已在基板18(例如半導體晶圓)上圖案化出特徵部(尤其是線部10)之陣列,以提供初始的表面形貌20,如圖5A中所示,而所欲的是在特定位置上切割這些線部10。為此,在圖5B中,係塗佈平坦化層22以使表面形貌20平坦化。平坦化層22可例如為旋轉塗佈的碳層。平坦化層22最終會形成用於本發明之圖案磊晶實施態樣的模板。接著,如圖5C中所示,係將抗反射塗層(ARC)24(例如矽ARC)塗佈於平坦化層22的頂部上。應理解的是,ARC層24可能無法藉其本身來用作抗反射塗層,而更普遍的是作為與該平坦化層結合而作用的第二層,以提供雙層底部ARC(BARC)26。儘管如此,第二層(層24)仍可被稱為ARC層,理由在於當其與第一層(平坦化層22)結合時可提供抗反射性質。形成雙層BARC 26的這些層的厚度及光學性質係經過調整以使基板的反射率減至最低。
在此雙層BARC 26的頂部上塗佈一層輻射敏感材料(例如光阻28),如圖5D中所示,並將其圖案化(圖像化),如圖5E中所示,隨後並通過習知的反應性離子蝕刻(RIE)處理將此光阻圖像轉移至平坦化層22中,如圖5F中所示(光阻28及ARC層24亦被移除),從而在平坦化層22中形成模板30。可完全蝕刻進平坦化層22的深度,以便使下層之基板18的上表面曝露,或可部分蝕刻進平坦化層22的深度,以便在模板30的底部留下一部分的平坦化層22。在任一情況下,線部10的表面部分36均會露出,俾以顯露出被模板30所圍繞的曝露之表面形貌20。
顯示於圖5G中的下一步驟係為轉移至平坦化層22中之圖案的選用性之表面處理34,其將對BCP的自組裝造成影響。如以下所更詳細描述者,此處理在某些情況下會需要,而在其他情況下則不需要。進一步地,該表面處理可造成某些表面的表面性質改變而保留其他表面不變。例如,如圖5G中所示,表面處理34可改變線部10的曝露之表面部分36,而保留模板30的側壁40及底部表面42不變。
在此選用性的表面處理後,將BCP 50塗佈於該圖案,如圖5H中所示,以(部分地或完全地)填充模板30,而後進行退火以允許BCP形成圓柱狀形態結構,如圖5I中所示。更具體而言,退火會使BCP的第一嵌段52在BCP的第二嵌段54之基體內形成對準於線部10上方的複數個圓柱部32。用以移除圓柱狀形態結構(即,BCP 50的第一嵌段52)之後續BCP 50的顯影,如圖5J中所示,可藉由使直接覆蓋於表面形貌20的DSA圖案曝露而產生到達表面形貌20的途徑。於是,存在途徑以造成下層的線部10之陣列的適當切口,如圖5K中所示,因此,可依電路設計所需來完成蝕刻及切割所選定的線部10,以形成圖案化之表面形貌。接著,對基板18進行剝離處理以顯露出圖案化之表面形貌,亦即經切割之線部10'的陣列60,如圖5L中所示。
在此,所產生的DSA模板可允許到達表面形貌的途徑,並因而使自組裝的孔洞能夠在沒有設置誤差的情況下接枝(graft)於表面形貌。在共同申請中之名為「Use of Topography to Direct Assembly of Block Copolymers in Grapho-Epitaxial Applications」的申請案13/xxx,xxx(CT-117US1)中,會更詳細說明此概念,該件專利藉由參照其整體內容而併入於本文中。本發明係根據此概念而建立,以描述一種用以利用此圖案磊晶及選用性化學磊晶引導孔洞方法來產生最密密度的方法。
以上所描述的是用以在溝槽內製造經引導之接觸孔洞的製程。現將結合此技術的複數個階段以產生最高切口密度的可能性。最後,線部切口的包裝係由BCP所界定,並且,藉由將此等基本聚合物物理學與前面所討論之表面形貌錨定概念結合,吾人發現了一種用以產生此等結構的新的整合。
首先,吾人先介紹會形成垂直圓柱部的BCPs之一些基本原理。若形成圓柱部的BCP係組裝於中性表面的頂部上,則其會形成六方緊密堆積(HCP)結構(亦即形態結構),如圖6中所示。點70係代表形成於基體內之圓柱部32a、32b(32a、b)的中心,且如同所有的HCP結構般,存在兩種可呈現的六方晶胞。首先,吾人可構建圍繞圓柱部32a、b之各者的六邊形72,且接著較佳係將此等圓柱部32a、b配適成2-D陣列。存在第二個較大的六邊形74,其可藉由連接周圍的圓柱部32b之中心70而產生於中心圓柱部32a周圍。此第二六邊形結構74對於描述BCPs特別重要,因為這些系統的特徵長度LO 係由此中心至中心距離所定義,而因此,LO 係為較大之六邊形74的邊緣長度、且亦為從中心圓柱部至任一外部頂點的距離。為了討論之目的,第二個較小之晶胞(六邊形72)的邊緣長度係定義為a 。尺寸a 及LO 係以30-60-90三角形之性質而相關聯。雖然並未直接在此推導,但此兩種晶胞的長度係幾何上相關且由以下等式所給定:
幾何組態決定了BCP希望在其自然狀態下呈現的狀態。這相當於聚合物的最低自由能組態,從而若在引導BCP之組裝時允許BCP保留此組態,則將可達到最低可能性的圖案缺陷。值得注意的是,所定義用於微影處理、且用於本發明的LO ,係與所定義用於結晶學目的之LO 不同。 舉例來說,在結晶學中,LO 可定義為介於會造成x射線散射的圓柱部平面之間的距離(即,圖7中的1.5×a )。在微影中,BCP的特徵尺寸LO 係定義為孔洞間的中心至中心距離。因此,此等不同定義間的關係如下:LO (微影)= 2/√3 × LO (結晶學)= 1.1547 × LO (結晶學)。
在使用溝槽模板來引導結構組裝的情況下,會考慮兩種案例。在各案例中,係使用普通的BCP作為範例,亦即聚(苯乙烯)-b -聚(甲基丙烯酸甲酯)(PS/PMMA),其中PMMA係為少數的圓柱成形相。在第一案例中,模板之壁優先有利於會形成BCP圓柱部的少數相,即,優先被PMMA相所潤濕。在第二案例中,模板之壁優先有利於會形成基體的多數相,即,優先被PS相所潤濕。圖7提供了針對第一案例的尺寸考量,而圖8提供了針對第二案例的尺寸考量。
溝槽模板的關鍵尺寸(CD)會決定穿孔陣列包裝的緊密程度。在第一案例中,使溝槽模仿HCP結構的理想方式係用於待設置的被PMMA所潤濕之壁,以對應於HCP陣列中之相鄰孔洞的中心。在此案例中,溝槽CD係為3a + 2個PMMA潤濕層的距離。轉換此尺寸而以LO 來表達,可得出溝槽的CD係等於√3 × LO + 2個PMMA潤濕層的距離。
在第二案例中,使溝槽模仿HCP結構的理想方式係為Z字形形狀的溝槽。由於此種結構相當難以建立,故最簡易的近似是繪出具有與Z字形溝槽相同之平均寬度的具有均勻寬度的溝槽。再次地,由幾何形狀可得,此溝槽係為a之3/2,或√3/2 × LO 。亦當注意的是,由於多數相使溝槽之壁潤濕,因此不存在潤濕層所需的額外空間。此實施方式所需的溝槽明顯比第一案例中的溝槽還窄。
為了獲得孔洞的密集包裝(因而有最密的切口密度),係完成一依循微影/蝕刻/DSA/微影/蝕刻/DSA流程的整合。就圖案的佈局而言,如圖9中示意性所示,BCP的LO 必須與待切割之線部10的節距相匹配,且此因素定義了在y方向上所能達到的最密節距。溝槽的CD係為√3/2乘以聚合物的LO ,或0.866LO 。由於製程流程包含兩個階段,因此必須留下足夠的空間給第二階段(其亦會需要具有等於0.866LO 之尺寸的溝槽),故此緊密包裝在溝槽間係需要這樣多的空間。
圖10係顯示孔洞將如何形成。為了示範而非限制之目的,孔洞的CD係顯示為LO /2。這未必是如此,因為CD係取決於BCP的少數相部分,且存在一體積分率範圍,在此體積分率範圍中BCP會採取圓柱狀的組態,而對於30%之少數相的BCP而言,這通常可在實驗上發現而保持。若待切割之線部等於線部間距,則孔洞將具有與線部相同的尺寸。若是此種情況,為了切割線部,可能需要擴大DSA孔洞尺寸(例如通過蝕刻製程),以使它們足夠大以用於線部切割。
在此步驟之後,會需要此製程的第二階段,其始於第二溝槽微影,如圖11中所示。溝槽係完美地設置於第一階段中所產生的孔洞之間(孔洞係由光阻所保護)。第二DSA步驟於是會導致如圖12中所示設置的孔洞。
由此程序所產生的網格係為LO × 0.866LO 且係為一種極密集的切口包裝。進一步可注意到,藉由使階段1中的溝槽間之間距增加為1.134 × LO ,可輕易產生LO × LO 的陣列。如此一來,第一階段中之孔洞的中心至中心間距會是2LO ,在第二階段之後這會導致孔洞的節距為LO
在使用被少數相所潤濕之溝槽以取代被多數相所潤濕之溝槽的情況下,如先前所推導,驅動最佳組裝所需的溝槽之CD為√3 × LO + 兩個少數相潤濕層的寬度。對於典型的尺寸而言,這會導致引導溝槽之CD的2LO 之近似值。完成類似於前面所完成的分析可發現,在此情況下所能產生的最密網格約為1.25LO × LO 。這顯示於圖13中。來自第二階段的溝槽必須為2LO ,且其不可揭開第一階段中所產生的孔洞之任一者,並從而定義了第一圖案之孔洞間的間距。若孔洞的尺寸再度為LO /2(實際上,它們會比在多數潤濕的情況下還小,因為少數相的部分體積會使溝槽之壁潤濕,但仍將使用LO /2的經驗法則),則第一孔洞之間的節距為2.5LO ,使得二階段的節距為1.25 LO
為了將以上之佈局示意圖製作進晶圓上的實際結構中,可依循圖14A-14M的製程流程。在圖14A中,線部陣列或表面形貌20係形成有介於線部10之間的節距LO 。在圖14B中,係形成第一雙層BARC 26a,其包含第一平坦化層22a及第一ARC層24a,而第一輻射敏感材料層28a(例如光阻)係塗佈於其上,並使用與線部10正交的複數個第一溝槽模板30a將第一輻射敏感材料層28a圖案化,其中各模板的CD係為√3/2 * LO (= 0.866LO ),且模板之間的間距亦為√3/2 * LO (= 0.866LO )。如圖14C中所示,接著係將該圖案轉移至第一平坦化層22a。
如圖14D中所示,接著係進行第一DSA階段。其包含以下步驟:以BCP 50填充溝槽模板30a並接著退火以使BCP之少數相形成複數個第一圓柱部32a,第一圓柱部32a係在BCP之多數相的基體內對準於線部10上方。圓柱部32a具有LO /2的CD。選用性地,溝槽模板30a的側壁可以BCP之多數相(例如以PS-OH聚合物)進行刷狀結構塗佈,以使側壁對多數相具吸引力,雖然刷狀結構塗層可能會需要略為調整初始溝槽模板圖案的尺寸。替代地,模板30a可經受其他化學處理以改變其表面性質,例如,使側壁對BCP之少數相更不具吸引力,及/或使表面形貌對少數相更具吸引力。
如圖14E中所示,接著係將BCP 50顯影以移除圓柱狀形態結構,從而使直接覆蓋於表面形貌20的第一DSA圖案曝露。選用性地,如圖14F中所示,可執行蝕刻步驟以使DSA圖案之孔洞的CD增加至大於LO /2、高達線部10的CD或甚至更大。接著係將第一DSA圖案轉移至表面形貌20中以切割線部10。在切割線部10後,將剩餘的BCP 50及第一平坦化層22a剝離,而留下表面形貌20為經切割之線部10'的陣列60,如圖14G中所示。
接著為第二DSA圖案而重複該等步驟。在圖14H中,係形成第二雙層BARC 26b,其包含第二平坦化層22b及第二ARC層24b,而第二輻射敏感材料層28b(例如光阻)係塗佈於其上,並使用與線部10'正交的複數個第二溝槽模板30b將第二輻射敏感材料層28b圖案化,其中各模板的CD係為√3/2 * LO (= 0.866LO ),且模板之間的間距亦為√3/2 * LO (= 0.866LO )。溝槽模板30b係偏離溝槽模板30a所設置的位置0.866LO ,俾使溝槽模板30b係設置於線部10'中的切口之間。如圖14I中所示,接著將該圖案轉移至第二平坦化層22b。
如圖14J中所示,接著係進行第二DSA階段。其包含以下步驟:以BCP 50填充溝槽模板30b並接著退火以使BCP之少數相形成複數個第二圓柱部32b,第二圓柱部32b係在BCP之多數相的基體內對準於線部10'上方。圓柱部32b具有LO /2的CD。選用性地,溝槽模板30的側壁可以BCP之多數相(例如以PS-OH聚合物)行刷狀結構塗佈,以使側壁對多數相具吸引力,雖然刷狀結構塗層可能會需要略為調整初始溝槽模板圖案的尺寸。替代地,模板30b可經受其他化學處理以改變其表面性質,例如,使側壁對BCP之少數相更不具吸引力,及/或使表面形貌對少數相更具吸引力。
如圖14K中所示,接著係將BCP 50顯影以移除圓柱狀形態結構,從而使直接覆蓋於表面形貌20的第二DSA圖案曝露。選用性地,如圖14L中所示,可執行蝕刻步驟以使DSA圖案之孔洞的CD增加至大於LO /2、高達線部10'的CD或甚至更大。接著係將第二DSA圖案轉移至表面形貌20中以第二次切割線部10'。在切割線部10'後,係將剩餘的BCP 50及第二平坦化層22b剝離,而留下表面形貌20為經切割之線部10''的陣列60',如圖14M中所示。
進一步地,關於圖14F及14L的選用性步驟,蝕刻係設計用以使孔洞比它們本身在使用DSA的情況下略大,如此一來孔洞的尺寸將比線部(假設線部係呈一半的節距)還大。若線部係確實小於一半的節距,則未必需要這些步驟。替代地,若使用具有較高體積分率之少數相的BCP(但仍保持於相圖的圓柱部形成部分中),則孔洞可能較大而可不需要這些步驟。
為了達到極高的切口密度,多數相應潤濕溝槽模板的壁。在PS-PMMA BCP中,用以實現PS潤濕模板側壁的一實施例係以PS-OH刷狀結構材料來塗佈溝槽。此材料會略為改變經圖案化之溝槽的CD,因此一開始會需要將溝槽做得較大(略大於√3/2 * LO )以容納額外的物體。於是處理後所得的溝槽會呈關鍵溝槽CD。
本發明考慮到形成溝槽模板之各種方法的使用,包含習知的微影技術、側壁間隔物製程、或習知的微影技術與用以製作較小溝槽的微縮技術之組合,此微縮技術例如為成長ALD頸圈(collar)或材料(例如已知用以在光阻上使溝槽微縮的RELACS或SAFIER)。接著可將微縮的溝槽轉移至下層的平坦化層(SOC層)中,該平坦化層將供作圖案磊晶模板。初始溝槽亦可通過使用已知用以減低溝槽CDs的蝕刻技術而加以微縮。
最後,此種緊密包裝之線部切口陣列的產生可允許極密集的經圖案化之島狀物陣列的產生,其在各種不同的應用中均可相當有用。
雖然本文中已提供特定的值,但可理解的是,本發明並非如此受限。例如,當溝槽模板係有利於被BCP之少數相所潤濕、且BCP具有六方緊密堆積(HCP)形態結構時,模板的寬度可介於以下兩者之間:1.5乘以BCP之特徵尺寸LO 加上兩個在模板之側壁上由BCP之少數相所形成的潤濕層之厚度與2.0乘以BCP之特徵尺寸LO 加上兩個在模板之側壁上由BCP之少數相所形成的潤濕層之厚度。進一步舉例來說,模板的寬度可以是1.73乘以BCP之特徵尺寸LO 加上兩個潤濕層之厚度。再者,第一組線部切口與第二組線部切口間的間距可介於1乘以BCP之特徵尺寸LO 與1.5乘以BCP之特徵尺寸LO 之間,例如介於1.2乘以LO 與1.3乘以LO 之間,且進一步例如為1.25乘以LO
或者,當溝槽模板係有利於被BCP之多數相所潤濕、且BCP具有六方緊密堆積(HCP)形態結構時,模板的寬度可介於0.7乘以BCP之特徵尺寸LO 與1.0乘以BCP之特徵尺寸LO 之間。進一步舉例來說,模板的寬度可以是0.866乘以BCP之特徵尺寸LO 。再者,第一組線部切口與第二組線部切口間的間距可介於0.7乘以BCP之特徵尺寸LO 與1.3乘以BCP之特徵尺寸LO 之間,例如介於0.8乘以LO 與1乘以LO 之間,且進一步例如為0.866乘以LO
此外,可針對本發明選擇以下性質之BCP:具有六方緊密堆積(HCP)形態結構及介於0.9乘以複數個線部之個別線部間的所欲間距與1.1乘以複數個線部之個別線部間的所欲間距之間的特徵尺寸LO ,而最有利的是,特徵尺寸LO 等於複數個線部之個別線部間的所欲間距。
前面所強調的技術亦可以許多方式加以修改,以使其相容於邏輯、記憶體、或其他線路之設計。例如,為了製作圖15F的圖案,可使用微影/蝕刻/DSA/微影/蝕刻/DSA流程來切割線部100,如圖15A-15E中所示。在圖15A中,係形成第一組模板110,之後藉著圖15B中的第一DSA,以在模板110中形成圓柱部120。由於第一DSA圖案將只用於切割某些線部,故溝槽長度可以更短。接著將第一DSA圖案顯影並轉移至線部100中以製作線部切口之一部分,如圖15C中所示。接著形成第二組模板130,如圖15D中所示,之後藉著圖15E中的第二DSA,以在模板130中形成圓柱部140。接著將第二DSA圖案顯影並轉移至線部100中以製作線部切口之剩餘部分,如圖15F中所示。
在圖14A-14M的實施例(其中此製程係設計用以產生最密可能性的島狀物陣列)中,幾乎無限的溝槽係被圖案化成由最小距離(√3 * LO )所分隔的兩個群組。可將該方案以更簡單的方式看作為:(1)將溝槽之群組A圖案化,(2)將群組A轉移,(3)使圖案偏離所欲的量、並將溝槽之群組B圖案化,及(4)將群組B轉移。在圖15A-15F的線部圖案方法中,並非只具有在群組A及B中的幾乎無限的溝槽,取而代之地,無限的溝槽係分解成較短的溝槽(或孔洞)並設置於無限的溝槽所佔據之相同軌道中。較短的溝槽的一個優點係有關於表面交互作用。在模擬中,吾人發現到與側壁及表面形貌間的交互作用係為比與底部基板間的交互作用還強許多的作用。因此,對於這些較短的溝槽結構而言,藉由正確地修改表面形貌與光阻的交互作用可減輕肇因於圖案解析度問題的基腳效應(footing),俾使BCP不受此基腳效應影響。同樣地,若可正確地產生束縛性的表面形貌,則圖形圖案中的失準亦可被修正。
儘管本發明已藉由描述其一或更多實施例而加以說明且儘管已相當詳細地描述這些實施例,但其並非意欲將隨附之申請專利範圍的範疇限制或以任何方式限縮至這些細節。額外的替代、優點、及/或修改對於那些熟習相關技藝者而言係顯而易見的。例如,控制模板之表面形貌及表面以使圓柱部位於線部之間而非其頂部上可以是有可能的。因此,本發明在其較廣實施態樣中並不限於所示及所述的特定細節、代表性裝置及方法、及說明性範例。因此,在不悖離整體發明概念之範疇的情況下,可對這些細節進行變更。
1~6‧‧‧第一孔洞~第六孔洞
10‧‧‧線部
10'‧‧‧(經切割之)線部
10''‧‧‧(經切割之)線部
12‧‧‧溝槽
14‧‧‧光阻
18‧‧‧基板
20‧‧‧表面形貌
22‧‧‧平坦化層
22a‧‧‧第一平坦化層
22b‧‧‧第二平坦化層
24‧‧‧抗反射塗層(ARC)
24a‧‧‧第一ARC層
24b‧‧‧第二ARC層
26‧‧‧雙層底部抗反射塗層(雙層BARC)
26a、26b‧‧‧硬遮罩(層)
28‧‧‧光阻(層)
28a‧‧‧第一輻射敏感材料層
28b‧‧‧第二輻射敏感材料層
30‧‧‧模板
30a‧‧‧(第一)溝槽模板
30b‧‧‧(第二)溝槽模板
32‧‧‧圓柱部
32a‧‧‧(第一)圓柱部
32b‧‧‧(第二)圓柱部
34‧‧‧表面處理
36‧‧‧表面部分
40‧‧‧側壁
42‧‧‧底部表面
50‧‧‧BCP
52‧‧‧第一嵌段
54‧‧‧第二嵌段
56‧‧‧接觸孔洞
60‧‧‧陣列
60'‧‧‧陣列
70‧‧‧點(中心)
72‧‧‧六邊形
74‧‧‧六邊形(結構)
100‧‧‧線部
110‧‧‧(第一組)模板
120‧‧‧圓柱部
130‧‧‧(第二組)模板
140‧‧‧圓柱部
LO‧‧‧特徵尺寸
a‧‧‧邊緣長度
併入且構成本說明書之一部份的隨附圖示說明了本發明的實施例,並偕同以上所提供的本發明之大致說明及以下所提供的實施例之詳細描述,而用以解釋本發明之原理。
圖1A-1C依據先前技術,示意性地顯示出了線部陣列、用以切割線部的經圖案化之抗蝕劑、及所得的經切割之線部的陣列。
圖2A-2G示意性地以剖面圖顯示圖案磊晶方法,其使用單一硬遮罩來形成孔洞而容許到達線部的途徑以用於切割。
圖3A-3F示意性地以剖面圖顯示一替代的圖案磊晶方法,其使用兩個硬遮罩來形成孔洞而容許到達線部的途徑以用於切割。
圖4係以示意性俯視圖顯示圖案磊晶模板的端部。
圖5A-5L依據本發明,以示意性剖面圖顯示使用定向自組裝來圖案化線部的方法之一實施例。
圖6係為BCP之六方緊密堆積形態結構的示意圖。
圖7示意性地顯示在優先被BCP之少數相所潤濕的情況下,圖6之形態結構的尺寸考量。
圖8示意性地顯示在優先被BCP之多數相所潤濕的情況下,圖6之形態結構的尺寸考量。
圖9示意性地顯示圖案之佈局,其中在被多數相所潤濕的情況下,BCP之LO 係與線部的節距相匹配。
圖10示意性地顯示在第一DSA階段後,孔洞將如何在圖9的佈局中形成。
圖11示意性地顯示第二溝槽微影,其中該等溝槽係完美地設置於第一DSA階段所產生的孔洞之間。
圖12示意性地顯示第二DSA階段的結果。
圖13示意性地顯示對於被少數相所潤濕的情況而言,二DSA階段製程的結果。
圖14A-14M依據本發明,以示意性剖面圖顯示使用定向自組裝來圖案化線部的方法之一實施例。
圖15A-15F係以示意性俯視圖顯示用以產生線部特徵部之設計的微影/蝕刻/DSA/微影/蝕刻/DSA流程。
10‧‧‧線部
18‧‧‧基板
20‧‧‧表面形貌
22‧‧‧平坦化層
30‧‧‧模板
32‧‧‧圓柱部
52‧‧‧第一嵌段
54‧‧‧第二嵌段

Claims (21)

  1. 一種用以在基板上形成圖案化之表面形貌的方法,包含以下步驟:設置一基板,該基板具有形成於頂部上的複數個線部;將一第一平坦化層塗佈於該複數個線部上;將一第一抗反射層塗佈於該第一平坦化層上;將一第一層輻射敏感材料塗佈於該第一抗反射層上;將該第一層輻射敏感材料圖案化以形成一第一輻射敏感材料圖案;藉由蝕刻該第一抗反射層及該第一平坦化層以使該複數個線部部分地曝露,而將該第一輻射敏感材料圖案轉移至該第一平坦化層中,該複數個線部之曝露部分形成複數個第一曝露線部部分;將該第一輻射敏感材料圖案及該第一抗反射層的所有剩餘部分剝離,以留下被用於定向自組裝(DSA)的第一模板所圍繞的該等第一曝露線部部分;選用性地處理該等第一曝露線部部分、或該第一模板、或此兩者,以改變該等第一曝露線部部分或該第一模板的至少一表面性質;使用一第一嵌段共聚物(BCP)來填充該第一模板,以覆蓋該等第一曝露線部部分;將該第一模板內的該第一嵌段共聚物(BCP)退火,以驅動與該等第一曝露線部部分對準的自組裝;將經退火之該第一嵌段共聚物(BCP)顯影,以使直接覆蓋該等第一曝露線部部分的第一定向自組裝(DSA)圖案曝露; 使用該第一定向自組裝(DSA)圖案作為遮罩來蝕刻該等第一曝露線部部分,以在該等第一曝露線部部分中形成第一組線部切口;將該第一定向自組裝(DSA)圖案從該基板剝離;將一第二平坦化層塗佈於該複數個線部上,該複數個線部具有形成於其中的第一組線部切口;將一第二抗反射層塗佈於該第二平坦化層上;將一第二層輻射敏感材料塗佈於該第二抗反射層上;將該第二層輻射敏感材料圖案化以形成一第二輻射敏感材料圖案;藉由蝕刻該第二抗反射層及該第二平坦化層以使該複數個線部部分地曝露而將該第二輻射敏感材料圖案轉移至該第二平坦化層中,該複數個線部之曝露部分形成複數個第二曝露線部部分;將該第二輻射敏感材料圖案及該第二抗反射層的所有剩餘部分剝離,以留下被用於定向自組裝(DSA)的第二模板所圍繞的該等第二曝露線部部分;選用性地處理該等第二曝露線部部分、或該第二模板、或此兩者,以改變該等第二曝露線部部分或該第二模板之至少一表面性質;使用一第二嵌段共聚物(BCP)來填充該第二模板,以覆蓋該等第二曝露線部部分;將該第二模板內的該第二嵌段共聚物(BCP)退火,以驅動與該等第二曝露線部部分對準的自組裝;將經退火之該第二嵌段共聚物(BCP)顯影,以使直接覆蓋該等第二曝露線部部分的第二定向自組裝(DSA)圖案曝露;使用該第二定向自組裝(DSA)圖案作為遮罩來蝕刻該等第二曝露線部部分,以在該等第二曝露線部部分中形成第二組線部切口;及 將該第二定向自組裝(DSA)圖案從該基板剝離。
  2. 如申請專利範圍第1項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一模板係有利於被該第一嵌段共聚物(BCP)的少數相所潤濕,該第一嵌段共聚物(BCP)具有六方緊密堆積(HCP)形態結構。
  3. 如申請專利範圍第2項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一模板的寬度係介於以下兩者之間:1.5乘以該第一嵌段共聚物(BCP)之特徵尺寸LO加上兩個在該第一模板之側壁上由該第一嵌段共聚物(BCP)之少數相所形成的潤濕層之厚度與2.0乘以該第一嵌段共聚物(BCP)之特徵尺寸LO加上兩個在該第一模板之側壁上由該第一嵌段共聚物(BCP)之少數相所形成的潤濕層之厚度。
  4. 如申請專利範圍第1項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一模板係有利於被該第一嵌段共聚物(BCP)的多數相所潤濕,該第一嵌段共聚物(BCP)具有六方緊密堆積(HCP)形態結構。
  5. 如申請專利範圍第4項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一模板的寬度係介於0.7乘以該第一嵌段共聚物(BCP)的特徵尺寸LO與1.0乘以該第一嵌段共聚物(BCP)的特徵尺寸LO之間。
  6. 如申請專利範圍第1項之用以在基板上形成圖案化之表面形貌的方法,其中,該第二模板係有利於被該第二嵌段共聚物(BCP)的少數相所潤濕,該第二嵌段共聚物(BCP)具有六方緊密堆積(HCP)形態結構。
  7. 如申請專利範圍第6項之用以在基板上形成圖案化之表面形貌的方法,其中,該第二模板的寬度係介於以下兩者之間:1.5乘以該第二嵌段共聚物(BCP)之特徵尺寸LO加上兩個在該第二模板之側壁上由該第二嵌段 共聚物(BCP)之少數相所形成的潤濕層之厚度與2.0乘以該第二嵌段共聚物(BCP)之特徵尺寸LO加上兩個在該第二模板之側壁上由該第二嵌段共聚物(BCP)之少數相所形成的潤濕層之厚度。
  8. 如申請專利範圍第1項之用以在基板上形成圖案化之表面形貌的方法,其中,該第二模板係有利於被該第二嵌段共聚物(BCP)的多數相所潤濕,該第二嵌段共聚物(BCP)具有六方緊密堆積(HCP)形態結構。
  9. 如申請專利範圍第8項之用以在基板上形成圖案化之表面形貌的方法,其中,該第二模板的寬度係介於0.7乘以該第二嵌段共聚物(BCP)的特徵尺寸LO與1.0乘以該第二嵌段共聚物(BCP)的特徵尺寸LO之間。
  10. 如申請專利範圍第1項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一模板係有利於被該第一嵌段共聚物(BCP)的少數相所潤濕,該第二模板係有利於被該第二嵌段共聚物(BCP)的少數相所潤濕,該第一及第二嵌段共聚物(BCPs)具有六方緊密堆積(HCP)形態結構,且其中,該第一組線部切口與該第二組線部切口之間的間距係介於1乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO與1.5乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO之間。
  11. 如申請專利範圍第10項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一組線部切口與該第二組線部切口之間的間距係介於1.2乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO與1.3乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO之間。
  12. 如申請專利範圍第1項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一模板係有利於被該第一嵌段共聚物(BCP)的多數相所潤濕,該第二模板係有利於被該第二嵌段共聚物(BCP)的多數相所潤濕,該第一及第二嵌段共聚物(BCPs)具有六方緊密堆積(HCP)形態結構,且其中,該第一組線部切口與該第二組線部切口之間的間距係介於0.7乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO與1.3乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO之間。
  13. 如申請專利範圍第12項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一組線部切口與該第二組線部切口之間的間距係介於0.8乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO與1乘以該第一嵌段共聚物(BCP)或該第二嵌段共聚物(BCP)的特徵尺寸LO之間。
  14. 一種用以在基板上形成圖案化之表面形貌的方法,包含以下步驟:設置一基板,該基板具有形成於頂部上之曝露的複數個線部;對準及製備第一定向自組裝(DSA)圖案而直接覆蓋該複數個線部;轉移該第一定向自組裝(DSA)圖案,以在該複數個線部中形成一第一組切口;對準及製備第二定向自組裝(DSA)圖案而直接覆蓋該複數個線部,該複數個線部具有形成於其中的該第一組切口;及 轉移該第二定向自組裝(DSA)圖案,以在該複數個線部中形成一第二組切口,其中,該第一及第二定向自組裝(DSA)圖案各包含一嵌段共聚物,該嵌段共聚物具有六方緊密堆積(HCP)形態結構及一特徵尺寸LO,該特徵尺寸LO係介於0.9乘以該複數個線部之個別線部間的間距與1.1乘以該複數個線部之個別線部間的間距之間。
  15. 如申請專利範圍第14項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一及第二定向自組裝(DSA)圖案各包含一嵌段共聚物,該嵌段共聚物具有一特徵尺寸LO,該特徵尺寸LO大約等於該複數個線部之個別線部間的間距。
  16. 如申請專利範圍第14項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一組切口與該第二組切口之間的間距係小於該複數個線部之個別線部間的間距。
  17. 如申請專利範圍第14項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一組切口與該第二組切口之間的間距係介於0.7乘以該複數個線部之個別線部間的間距與1.3乘以該複數個線部之個別線部間的間距之間。
  18. 如申請專利範圍第14項之用以在基板上形成圖案化之表面形貌的方法,其中,該第一組切口與該第二組切口之間的間距係介於0.8乘以該複數個線部之個別線部間的間距與0.9乘以該複數個線部之個別線部間的間距之間。
  19. 一種用以在基板上形成圖案化之表面形貌的方法,包含以下步驟:(a)設置一基板,該基板具有形成於頂部上的複數個線部;(b)將一平坦化層塗佈於該複數個線部上;(c)將一抗反射層塗佈於該平坦化層上;(d)將一層輻射敏感材料塗佈於該抗反射層上;(e)將該層輻射敏感材料圖案化以形成一輻射敏感材料圖案;(f)藉由蝕刻該抗反射層及該平坦化層以使該複數個線部部分地曝露而將該輻射敏感材料圖案轉移至該平坦化層中,該複數個線部之曝露部分形成複數個曝露線部部分;(g)將該輻射敏感材料圖案及該抗反射層的所有剩餘部分剝離,以留下被用於定向自組裝(DSA)的模板所圍繞的該等曝露線部部分;(h)對該模板進行表面處理;(i)使用一嵌段共聚物(BCP)來填充經處理之該模板,以覆蓋該等曝露線部部分,該嵌段共聚物(BCP)具有六方緊密堆積(HCP)形態結構及一特徵尺寸LO,該特徵尺寸LO係介於0.9乘以該複數個線部之個別線部間的間距與1.1乘以該複數個線部之個別線部間的間距之間;(j)將該模板內的該嵌段共聚物(BCP)退火,以驅動與該等曝露線部部分對準的自組裝;(k)將經退火之該嵌段共聚物(BCP)顯影,以使直接覆蓋該等曝露線部部分的定向自組裝(DSA)圖案曝露; (l)使用定向自組裝(DSA)圖案作為遮罩來蝕刻該等曝露線部部分,以在該等曝露線部部分中形成第一組線部切口;(m)將該定向自組裝(DSA)圖案從該基板剝離;(n)重複步驟(b)-(m)第二次,以形成第二組線部切口,該第二組線部切口偏離由第一次執行步驟(b)-(m)所形成之第一組線部切口,其中,該第一組線部切口與該第二組線部切口之間的間距係介於0.7乘以該複數個線部之個別線部間的間距與1.3乘以該複數個線部之個別線部間的間距之間,且其中,該模板的表面處理之步驟使得其側壁表面有利於被該嵌段共聚物(BCP)之少數相或多數相之一者所潤濕。
  20. 如申請專利範圍第19項之用以在基板上形成圖案化之表面形貌的方法,其中,該表面處理之步驟使得該側壁表面有利於被該嵌段共聚物(BCP)之少數相所潤濕,且其中,該模板的寬度係介於以下兩者之間:1.5乘以該嵌段共聚物(BCP)之特徵尺寸LO加上兩個在該模板之側壁上由該嵌段共聚物(BCP)之少數相所形成的潤濕層之厚度與2.0乘以該嵌段共聚物(BCP)之特徵尺寸LO加上兩個在該模板之側壁上由該嵌段共聚物(BCP)之少數相所形成的潤濕層之厚度。
  21. 如申請專利範圍第19項之用以在基板上形成圖案化之表面形貌的方法,其中,該表面處理之步驟使得該側壁表面有利於被該嵌段共聚物(BCP)之多數相所潤濕,且其中,該模板的寬度係介於0.7乘以該嵌段共聚物(BCP)之特徵尺寸LO與1.0乘以該嵌段共聚物(BCP)之特徵尺寸LO之間。
TW103136203A 2013-10-20 2014-10-20 利用圖案磊晶定向自組裝以精確地切割線部 TWI609242B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361893277P 2013-10-20 2013-10-20
US201361893275P 2013-10-20 2013-10-20

Publications (2)

Publication Number Publication Date
TW201527892A TW201527892A (zh) 2015-07-16
TWI609242B true TWI609242B (zh) 2017-12-21

Family

ID=52825240

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103136203A TWI609242B (zh) 2013-10-20 2014-10-20 利用圖案磊晶定向自組裝以精確地切割線部
TW103136201A TWI557798B (zh) 2013-10-20 2014-10-20 在圖案磊晶應用中利用表面形貌以引導嵌段共聚物之組裝

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103136201A TWI557798B (zh) 2013-10-20 2014-10-20 在圖案磊晶應用中利用表面形貌以引導嵌段共聚物之組裝

Country Status (5)

Country Link
US (4) US9349604B2 (zh)
JP (2) JP6225269B2 (zh)
KR (2) KR101915370B1 (zh)
TW (2) TWI609242B (zh)
WO (2) WO2015058202A1 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150380299A1 (en) * 2013-03-15 2015-12-31 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
JP6023010B2 (ja) * 2013-06-26 2016-11-09 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
US9190285B1 (en) * 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
JP6366412B2 (ja) * 2014-08-01 2018-08-01 キヤノン株式会社 パターン形成方法
US9767989B2 (en) 2014-11-11 2017-09-19 Seagate Technology Llc Methods of forming features
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
US9431219B1 (en) * 2015-05-05 2016-08-30 HGST Netherlands B.V. Method for making guiding lines with oxidized sidewalls for use in directed self-assembly (DSA) of block copolymers
CN106298461B (zh) * 2015-05-20 2020-07-28 联华电子股份有限公司 制作不连续直线图案的方法与不连续直线图案结构
JP6928745B2 (ja) * 2015-06-02 2021-09-01 東京エレクトロン株式会社 スピンオン・カーボンの平坦化のための技術
KR20170051886A (ko) * 2015-11-03 2017-05-12 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9613820B1 (en) * 2016-03-14 2017-04-04 Inotera Memories, Inc. Method of forming patterns
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9786545B1 (en) 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
KR102412869B1 (ko) 2016-09-23 2022-06-27 에스케이이노베이션 주식회사 플루오린기를 함유하는 이중블록 공중합체
KR102412137B1 (ko) 2016-09-23 2022-06-23 에스케이이노베이션 주식회사 블록 공중합체를 이용한 미세 패턴의 형성 방법
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
WO2018125089A1 (en) * 2016-12-28 2018-07-05 Intel Corporation Grating layer with variable pitch formed using directed self-assembly of multiblock copolymers
US10395978B2 (en) * 2017-02-27 2019-08-27 Imec Vzw Method of patterning target layer
US10312103B2 (en) 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10312200B2 (en) * 2017-07-27 2019-06-04 International Business Machines Corporation Integrated circuit security
JP6875234B2 (ja) 2017-09-13 2021-05-19 キオクシア株式会社 ガイドレイアウト作成装置、作成方法、および作成プログラム
US10580615B2 (en) * 2018-03-06 2020-03-03 Globalfoundries Inc. System and method for performing failure analysis using virtual three-dimensional imaging
US11480724B2 (en) * 2018-07-19 2022-10-25 Applied Materials, Inc. Variable height slanted grating method
JP7403961B2 (ja) * 2019-03-19 2023-12-25 キオクシア株式会社 インプリント方法および半導体装置の製造方法
KR20220020834A (ko) 2019-06-12 2022-02-21 도쿄엘렉트론가부시키가이샤 반도체 디바이스의 평탄화

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101681812A (zh) * 2007-06-04 2010-03-24 美光科技公司 使用自组装材料的间距倍增

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JP3407289B2 (ja) 1991-05-13 2003-05-19 セイコーエプソン株式会社 電子放出装置およびその駆動方法
US5482803A (en) 1992-02-07 1996-01-09 Canon Kabushiki Kaisha Process for preparing filter
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
IL112826A (en) 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
JPH1130711A (ja) 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
AU7094400A (en) 1999-08-31 2001-03-26 E-Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
US6960082B2 (en) 1999-12-24 2005-11-01 Ivoclar Vivadent Ag Atraumatic approximal space dilator
JP2004502554A (ja) * 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ ナノシリンダー・アレイ
JP3623173B2 (ja) 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 圧力ジャンプを用いるミクロ相分離ポリマー構造体の製造方法
TW501181B (en) 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
KR20030029053A (ko) 2001-04-05 2003-04-11 아치 스페셜티 케미칼즈, 인코포레이티드 포토레지스트용 퍼플루오로알킬설폰산 화합물
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6852619B2 (en) 2002-05-31 2005-02-08 Sharp Kabushiki Kaisha Dual damascene semiconductor devices
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
MXPA05008118A (es) 2003-02-19 2005-09-30 Ciba Sc Holding Ag Derivados de oxima halogenados y el uso de los mismos como acidos latentes.
US6846748B2 (en) 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP4074593B2 (ja) 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
US20050215713A1 (en) 2004-03-26 2005-09-29 Hessell Edward T Method of producing a crosslinked coating in the manufacture of integrated circuits
US7968278B2 (en) 2004-04-13 2011-06-28 Tokyo Electron Limited Rinse treatment method and development process method
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
CA2574054A1 (en) 2004-07-20 2006-01-26 Ciba Specialty Chemicals Holding Inc. Oxime derivatives and the use therof as latent acids
JP2006055982A (ja) * 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2007100191A (ja) 2005-10-06 2007-04-19 Horiba Ltd 単分子膜形成装置及び単分子膜形成方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
EP1957282B1 (en) 2005-12-02 2013-04-10 Canon Kabushiki Kaisha Liquid discharge head producing method
FR2894715B1 (fr) * 2005-12-09 2008-02-22 Xbybus Soc Par Actions Simplif Procede de fabrication de composant silicium et/ou germanium sur isolant
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (ja) 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物およびレジストパターン形成方法
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR100876836B1 (ko) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR101291223B1 (ko) * 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7803521B2 (en) * 2007-11-19 2010-09-28 International Business Machines Corporation Photoresist compositions and process for multiple exposures with multiple layer photoresist systems
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
US8999492B2 (en) * 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
KR20100110358A (ko) 2008-02-08 2010-10-12 램 리써치 코포레이션 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
JP5341179B2 (ja) * 2008-05-09 2013-11-13 ビーエーエスエフ ソシエタス・ヨーロピア 噴霧乾燥によりポリマーカプセル化した着色剤
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2010115832A (ja) 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US20100193898A1 (en) 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
US8915917B2 (en) 2009-08-13 2014-12-23 Cork Institute Of Technology Intramedullary nails for long bone fracture setting
US8349203B2 (en) * 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
WO2011036778A1 (ja) 2009-09-25 2011-03-31 株式会社 東芝 パターン形成方法
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US20110206905A1 (en) 2010-02-05 2011-08-25 The Governors Of The University Of Alberta Method for forming a block copolymer pattern
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US9011978B2 (en) 2010-02-26 2015-04-21 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US20110232677A1 (en) 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8338806B2 (en) 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5296022B2 (ja) 2010-08-09 2013-09-25 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
EP2643413A1 (en) 2010-11-24 2013-10-02 Dow Corning Corporation Controlling morphology of block copolymers
US10538859B2 (en) 2010-12-23 2020-01-21 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
JP5484373B2 (ja) 2011-02-14 2014-05-07 東京エレクトロン株式会社 パターン形成方法
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
WO2012175342A2 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
WO2012175343A1 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
US9182673B2 (en) 2011-07-18 2015-11-10 Asml Netherlands B.V. Method for providing a template for a self-assemblable polymer for use in device lithography
KR101999870B1 (ko) 2011-09-15 2019-10-02 위스콘신 얼럼나이 리서어치 화운데이션 화학적으로 패턴화된 표면과 제2 표면 사이의 블록 공중합체 막의 유도 조립
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
CN103187245B (zh) 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5979660B2 (ja) 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
JP2013183014A (ja) * 2012-03-01 2013-09-12 Toshiba Corp パターン形成方法
US9249013B2 (en) * 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US8900941B2 (en) 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
KR20130124861A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) * 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
JP5764102B2 (ja) * 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
US9034197B2 (en) * 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
JP6088800B2 (ja) * 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
US8790522B1 (en) * 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US8900467B1 (en) * 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (ja) * 2013-07-16 2015-02-02 株式会社東芝 パターン形成方法及びマスクパターンデータ
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9053923B2 (en) * 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101681812A (zh) * 2007-06-04 2010-03-24 美光科技公司 使用自组装材料的间距倍增

Also Published As

Publication number Publication date
US9418860B2 (en) 2016-08-16
JP2016541125A (ja) 2016-12-28
US20160268132A1 (en) 2016-09-15
US9715172B2 (en) 2017-07-25
WO2015058202A1 (en) 2015-04-23
TW201532140A (zh) 2015-08-16
KR20160073408A (ko) 2016-06-24
US20150111386A1 (en) 2015-04-23
KR20160073410A (ko) 2016-06-24
US9349604B2 (en) 2016-05-24
TW201527892A (zh) 2015-07-16
JP6303021B2 (ja) 2018-03-28
WO2015058200A1 (en) 2015-04-23
KR101845180B1 (ko) 2018-04-03
KR101915370B1 (ko) 2018-11-05
JP2017500754A (ja) 2017-01-05
TWI557798B (zh) 2016-11-11
US20150111387A1 (en) 2015-04-23
US9412611B2 (en) 2016-08-09
JP6225269B2 (ja) 2017-11-01
US20150108087A1 (en) 2015-04-23

Similar Documents

Publication Publication Date Title
TWI609242B (zh) 利用圖案磊晶定向自組裝以精確地切割線部
KR102436100B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
KR102603019B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
CN107112212B (zh) 使用接枝聚合物材料图案化基底
US8663532B2 (en) Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7572572B2 (en) Methods for forming arrays of small, closely spaced features
TWI327746B (en) Method of forming pitch multipled contacts
JP2018531506A6 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
KR20090073157A (ko) 효율적인 피치 멀티플리케이션 프로세스
KR20070058578A (ko) 피치 멀티플리케이션을 이용한 집적회로 제조방법
CN104124137B (zh) 半导体器件的形成方法
JP2008546186A (ja) 小さく、スペースの狭い構成物の配列を形成する方法
KR20190000918A (ko) 다중 재료를 갖는 층을 사용하여 기판을 패터닝하는 방법
US9793137B2 (en) Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
CN102881648B (zh) 金属互连结构的制作方法
US9899220B2 (en) Method for patterning a substrate involving directed self-assembly
TWI822307B (zh) 製作選擇閘與字元線的雙重圖案方法
EP4113209A1 (en) Providing different patterns on a single substrate
KR101094914B1 (ko) 다층 배선 구조의 반도체 장치 및 그 제조 방법