KR20160073408A - 그래포-에피택셜 애플리케이션에서의 블록 공중합체들의 유도성 조립에 대한 토포그래피의 사용 - Google Patents

그래포-에피택셜 애플리케이션에서의 블록 공중합체들의 유도성 조립에 대한 토포그래피의 사용 Download PDF

Info

Publication number
KR20160073408A
KR20160073408A KR1020167013228A KR20167013228A KR20160073408A KR 20160073408 A KR20160073408 A KR 20160073408A KR 1020167013228 A KR1020167013228 A KR 1020167013228A KR 20167013228 A KR20167013228 A KR 20167013228A KR 20160073408 A KR20160073408 A KR 20160073408A
Authority
KR
South Korea
Prior art keywords
topography
template
exposed
bcp
block copolymer
Prior art date
Application number
KR1020167013228A
Other languages
English (en)
Other versions
KR101845180B1 (ko
Inventor
벤자민 엠 래스색
마크 에이치 서머벨
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160073408A publication Critical patent/KR20160073408A/ko
Application granted granted Critical
Publication of KR101845180B1 publication Critical patent/KR101845180B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Laminated Bodies (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 상에 패터닝된 토포그래피를 형성하기 위한 방법이 제공된다. 기판에는, 현재 존재하는 토포그래피를 구성하는, 맨 위에 형성된 피쳐들이 제공되며, 유도성 자기 조립(DSA)을 위한 템플릿은 노출된 토포그래피를 둘러싸고 형성된다. 방법에 더하여, 템플릿은 노출된 토포그래피를 커버하기 위하여 블록 공중합체(BCP)로 채워지며, 그 후, BCP는 자기 조립이 토포그래피와 동일 선상에 있게 만들기 위하여 템플릿 내에서 어닐링된다. 어닐링된 BCP의 현상은 토포그래피 바로 위에 놓인 DSA 패턴을 노출시킨다. 일 실시예에서, 템플릿 또는 토포그래피의 표면들은 그 표면 특성을 변경하도록 처리된다.

Description

그래포-에피택셜 애플리케이션에서의 블록 공중합체들의 유도성 조립에 대한 토포그래피의 사용{USE OF TOPOGRAPHY TO DIRECT ASSEMBLY OF BLOCK COPOLYMERS IN GRAPHO-EPITAXIAL APPLICATIONS}
이 발명은 블록 공중합체들(BCPs, block copolymers)의 유도성 자기 조립(DSA, Directed Self-Assembly)에, 그리고 더욱 상세하게는 반도체 패터닝을 위한 BCP의 조립을 하게 하기 위한 그래포-에피택시 및 선택적으로 케모-에피택시의 사용에 관한 것이다.
집적 회로(IC) 설계에서 작은 패턴들, 특히 홀 구조물들을 만들기 위한 비용 효율적인 방법들은 바닥을 드러내고 있다. 도 1은 그러한 작은 홀 구조물들에 대한 필요성을 개략적으로 예시한다. 라인들(10)의 어레이는 기판 상에 토포그래피(20)를 형성한다. 라인들(10)은 통상적인 설계, 예를 들어, 10 nm 노드 설계에서 컷팅될 필요가 있다. 정사각 형상의 홀들(12) 및 직사각 형상의 홀들(14)은 컷팅을 위해 라인들(10)로의 액세스를 허용하도록 패터닝될 것이다. 전체 패턴 면적이 줄어듦에 따라, 더 작은 홀들(12, 14)이 패터닝되어야 하고, 홀들 사이의 공간도 마찬가지로 더 타이트해진다. 히스토리적으로, 리소그래픽 애플리케이션들은 한번의 프린트에서 이러한 홀들 전부를 프린팅할 수 있었다. 그러나, 현재, 복수의 노출 단계들은 상이한 홀들을 프린팅하도록 요구되는데, 이는 그들이 많이 가깝고 많이 작기 때문이며, 10 nm 노드가 도래할 때까지, 4개만큼 많은 마스크들이 심지어 이 단순한 기하학적 구조를 프린팅하도록 요구될 확률이 크다.
블록 공중합체들(BCP들)은 미세 패턴들을 만드는데 있어서의 그들의 사용에 대해 조사되고 있는데, 이는 반도체 패터닝(예를 들어, 실린더형 또는 라인/공간 패턴들)에서 현재 사용되는 규칙적 구조들의 매우 작은 영역들을 열역학적으로 형성할 수 있기 때문이다. 통상적으로, 이들 시스템들에서, BCP의 조립은 외부 구동력에 의하여 지시된다. BCP 조립을 지시하기 위한 그러한 한 방법은 물리적 템플릿들의 사용을 통한 것이다. 그러나, 미세 회로 패턴들을 만들기 위해 필요한 정확한 형상들의 더욱 정확한 생성을 허용하도록 블록들의 인터페이스들의 더 나은 제어를 인에이블시키는 BCP들의 조립을 지시하기 위한 방법들이 필요하다.
기판 상에 패터닝된 토포그래피를 형성하기 위한 방법이 제공된다. 기판에는 현재 사용되는(existing) 토포그래피를 구성하는 맨 위에 형성된 노출된 피쳐들이 제공되며, 노출된 토포그래피를 둘러싸고 유도성 자기 조립(DSA, Directed Self-Assembly)을 위한 템플릿(template)이 형성된다. 방법과 관련하여, 템플릿은 노출된 토포그래피를 커버하기 위하여 블록 공중합체(BCP)로 채워지고, 그 후, 블록 공중합체(BCP)는 자기 조립을 토포그래피와 동일선상에 있도록 만들기 위하여 템플릿 내에서 어닐링된다. 어닐링된 블록 공중합체(BCP)의 현상은 토포그래피 바로 위에 놓이는 유도성 자기 조립(DSA) 패턴을 노출시킨다.
일 실시예에서, 토포그래피 상에 평탄화층을 도포하는 단계, 평탄화층 위에 반사방지층을 도포하는 단계, 및 반사방지층 위에 방사선 감응 재료층을 도포하는 단계에 의하여 템플릿이 제공되며, 방사선 감응 재료의 층은 이미지를 형성하기 위하여 그 후 패터닝된다. 이미지는 그 후, 토포그래피를 노출시키기 위하여 반사방지층 및 평탄화층을 에칭하는 단계, 및 후속하여 평탄화층의 남아있는 부분들에 의해 형성되는 유도성 자기 조립(DSA)을 위한 템플릿에 의해 둘러싸이는 노출된 토포그래피를 남기기 위해 반사방지층 및 방사선 감응 재료층의 임의의 남아있는 부분들을 스트립핑하는 단계에 의해, 평탄화층에 전사된다.
일 실시예에서, 토포그래피와 템플릿 간의 표면 에너지의 차가 생성되며, 템플릿 내에 블록 공중합체(BCP)의 어닐링은 표면 에너지의 차의 영향 하에 자기 조립을 만들어낸다.
다른 실시예에 따라, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법이 제공된다. 기판에는 현재 사용되는 토포그래피를 구성하는 맨 위에 형성된 노출된 피쳐들이 제공되며, 노출된 토포그래피를 둘러싸고 유도성 자기 조립(DSA)을 위한 템플릿(template)이 형성된다. 방법과 관련하여, 노출된 템플릿 표면들은 화학적으로 처리된다. 템플릿은 그 후 노출된 토포그래피를 커버하기 위하여 BCP로 채워지고, 그 다음, BCP는 자기 조립을 토포그래피와 동일선상에 있도록 만들기 위하여 템플릿 내에서 어닐링된다. 어닐링된 BCP의 현상은 토포그래피 바로 위에 놓이는 DSA 패턴을 노출시킨다.
일 실시예에서, 노출된 템플릿 표면들의 처리는 노출된 템플릿 표면들의 적어도 하나의 표면 특성을 변경한다. 예를 들어, 처리는 노출된 템플릿 표면들이 처리 이전의 노출된 템플릿 표면들에 비해 블록 공중합체(BCP)의 제1 위상에 대해 덜 끌어당겨지게(less attractive) 할 수 있다. 추가적 예로서, 노출된 템플릿 표면들이 템플릿의 형성 동안에 산화될 때, 표면들은 표면들을 덜 산화된 상태로 변경하기 위하여 수소 함유 환원 케미스트리(reducing chemistry)로 처리될 수 있다. 템플릿 내의 블록 공중합체(BCP)의 어닐링은 그 후 블록 공중합체(BCP)의 제1 위상을 갖는 블록 공중합체(BCP)의 자기 조립이 토포그래피와 동일선상에 있도록 만든다.
다른 실시예에서, 표면들은 표면들이 코팅 이전보다 제1 위상에 대해 더 끌어당겨지게(more attractive) 하기 위하여 블록 공중합체(BCP)의 제1 위상으로 코팅된다. 템플릿 내의 블록 공중합체(BCP)의 어닐링은 그 후, 블록 공중합체(BCP)의 제2 위상을 갖는 블록 공중합체(BCP)의 자기 조립이 토포그래피와 동일선상에 있도록 한다. 또 다른 실시예에서, 블록 공중합체의 제1 위상을 이용한 코팅 이전에, 노출된 템플릿 표면들의 직류 중첩(DCS, direct current superposition) 처리는 노출된 템플릿 표면들로의 실리콘층을 도포하고, 뒤이어 노출된 템플릿 표면들을 산화시키기 위해 노출된 템플릿 표면들 상의 실리콘층을 산소 함유 환경에 노출시키도록 수행될 수 있다. 코팅은 그 후 블록 공중합체(BCP)의 제1 위상 및 OH의 브러쉬 중합체를 포함한다.
이 명세서의 일부분을 구성하고 명세서에 통합되는 첨부 도면들은, 본 발명의 실시예들을 예시하며, 상기 주어진 발명에 대한 일반적 설명 및 하기 주어지는 실시예들에 대한 상세한 설명과 함께, 본 발명의 원리들을 설명하는 역할을 한다.
도 1은 라인들을 컷팅하기 위한 홀 패터닝을 이용하는 라인 어레이의 개략적 도면이다.
도 2는 도 1의 어레이 위에 그래픽 템플릿의 형성을 개략적으로 도시한다.
도 3a-3l은 유도성 자기 조립을 사용하는 기판 상의 토포그래피의 패터닝을 위한 방법의 실시예를 개략적 단면도로 도시한다.
도 4는 시뮬레이션 연구에서 사용되는 도 2의 템플릿의 개략도이다.
도 5는 상이한 표면 상호작용 파라미터들을 위한 도 2의 라인(5-5)을 따라 취해진 시뮬레이션 연구에서의 이미지들의 플롯이다.
도 6a-6b는 템플릿의 토포그래피 없이 그리고 템플릿의 토포그래피와 함께 형성된 DSA 패턴의 3차원 도면들이다.
도 7은 토포그래피에 테더링된 DSA 패턴을 갖는 토포그래피 위에 놓이는 템플릿의 상부개략도이다.
도 8a-8c는 실시예에 따른 DSA 패턴을 토포그래피에 테더링하기 위한 방법의 상부개략도이다.
반도체 패터닝을 위한 블록 공중합체 조립을 하기 위한 그래포-에피택시 및 케모-에피택시를 사용하는 방법들이 다양한 실시예들에서 개시된다. 그러나, 관련 기술분야의 당업자들은 다양한 실시예들이 특정 세부사항들 중 하나 이상 없이, 또는 다른 교체 및/또는 추가적 방법들, 재료들 또는 성분들과 함께 실행될 수 있다는 것을 인식할 것이다. 다른 예시들에서, 잘 알려진 구조물들, 재료들, 또는 동작들은 본 발명의 다양한 실시예들의 양상들을 모호하게 하는 것을 방지하기 위해 상세히 도시 또는 설명되지 않는다.
유사하게, 설명을 목적으로, 전반적인 이해를 제공하기 위하여 특정 개수들, 재료들 및 구성들이 진술된다. 그렇기는 하나, 본 발명의 실시예들은 특정 세부사항들 없이도 실행될 수 있다. 뿐만 아니라, 예시적인 대표예들은 반드시 축적에 따라 도시되는 것은 아님이 이해된다.
이 명세서 전반에 걸친 "일 실시예" 또는 "실시예" 또는 그 변형들에 대한 참조는, 실시예와 관련되어 설명된 특정 피쳐, 구조, 재료, 또는 특징이 발명의 적어도 일 실시예에 포함되는 것을 의미하나, 그들이 모든 실시예에 존재하는 것을 나타내지는 않는다. 따라서, 이 명세서 전반에 걸친 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 등장은 반드시 발명의 동일한 실시예를 지칭하는 것은 아니다. 뿐만 아니라, 특정 피쳐들, 구조들, 재료들 또는 특징들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다. 다양한 부가적인 층들 및/또는 구조들이 다른 실시예들에 포함될 수 있고/있거나 설명된 피쳐들이 생략될 수도 있다.
부가적으로, 단수 관사("a" 또는 "an")는 명백하게 달리 진술되지 않는 한, "하나 이상"을 의미할 수 있다 것이 이해될 것이다.
다양한 동작들은 발명을 이해하는데 가장 도움이 되는 방식으로, 차례로 복수의 별개의 동작들로서 설명될 것이다. 그러나, 설명의 순서는 이들 동작들이 반드시 순서 의존적임을 내포하는 것으로 해석되어서는 안된다. 특히, 이들 동작들은 제시 순서대로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예와 상이한 순서로 수행될 수 있다.
부가적인 실시예들에서 다양한 부가적인 동작들이 수행될 수 있고/있거나 설명된 동작들이 생략될 수도 있다.
상기 설명된 바와 같이, BCP 조립을 지시하기 위한 하나의 방법은 물리적 템플릿들의 사용을 통한 것이다. 다른 방법은 BCP의 한 블록 또는 2개 블록들 모두가 선호 기판과 동일선상에 있도록, 기판에 화학적 활성도 차(chemical activity difference)를 도입시키는 것이다. 그러한 모든 통합들에서, 우수한 블록 공중합체 조립에 대한 비결은 이것이 접촉하게 되는 표면들과 블록 공중합체의 상호작용이다. 케모-에피택시 또는 그래포-에피택시가 사용되는지 여부에 따라, BCP가 접촉하게 되는 측벽들 및 기판 모두와 BCP의 상호작용은 그것이 어떻게 자기 조립을 선택하는지를 결정할 것이다. 발명에 따라, 미세한 회로 패턴들을 만들기 위해 필요한 정확한 형상들의 더욱 정확한 생성을 허용하기 위해 블록들의 인터페이스들의 더 우수한 제어를 인에이블시키는, 블록 공중합체들의 조립을 지시하기 위한 방법들이 개시된다.
도 2는 DSA를 사용하는 이 프로세스의 간략화를 개략적으로 예시한다. 라인들(10)을 컷팅하는데 사용될 수 있는 홀들(12)(3개가 도시됨)을 위한 위치를 제공하기 위해 그래픽 템플릿(30)이 도 1의 토포그래피(20) 위에 형성된다. 복수의 패터닝을 요구할 홀들(12)이 이제, 1) 템플릿(30)의 패터닝, 및 2) 라인들(10)을 컷팅하기 위해 액세스를 허용할 홀들(12)을 배치하기 위한 이 템플릿(30)의 사용을 통해 생성된다. 템플릿(30)에 더하여, 그리고 발명의 실시예들에 따라, 홀들(12)의 유도성 조립은 토포그래피(20)를 이용함으로써 증강(augment)된다. 구체적으로, 토포그래피(20)가 그것의 화학적 활성도가 그 상단 상에 실린더들(32)의 조립을 선호하는 방식을 갖거나 그러한 방식으로 처리되는 경우, 홀들(12)은 토포그래피(20)에 앵커링될 수 있다. 따라서, 발명은 하이브리드 그래포/케모 에피택시 접근법을 사용하는 DSA 애플리케이션이다. 이는 이것이 가이딩 템플릿(30)을 사용하는 점에서 그래픽적이며, 이는 이것이 홀들(12)의 배치를 추가로 증강시키기 위해 토포그래피(20)의 표면 에너지를 사용한다는 점에서 화학적이다.
다음 내용은 BCP의 조립을 특정 위치 내에 만들기 위해 그래포-에피택시 및 케모-에피택시 모두의 양상들을 사용하는 이 접근법을 이용하는, 발명의 2개의 상이한 실시예들에 대한 더욱 상세한 논의이다. 양 실시예들에서, 토포그래피의 화학적 처리는 그래픽적 템플릿 내에 블록 공중합체의 조립을 만드는데 사용될 수 있다. 이 특정 기술은 원하는 구조들을 발생시키기 위해 전체 웨이퍼 흐름 내에서 사용된다. 그러나, 케모-에피택시 양상은 예컨대, 조립을 만드는 화학적 차들을 내재적으로 제공하는 템플릿 및 토포그래피를 위한 재료들을 선택함으로써, 화학적 처리 없이 달성될 수 있다는 것이 인식될 것이다.
도 3a-3l에 개략적 단면도로 도시된 제1 실시예에서, 피쳐들의 어레이, 구체적으로 라인들(10)은 도 3a에 도시된 바와 같이, 시작 토포그래피(20)를 제공하기 위해 반도체 웨이퍼와 같은 기판(18) 상에 패터닝되었고, 특정 위치들에서 이들 라인들(10)을 컷팅하기를 원한다. 그 때문에, 도 3b에서, 평탄화층(22)이 토포그래피(20)를 평탄화하기 위하여 도포된다. 평탄화층(22)은 예를 들어, 스핀-온 탄소층일 수 있다. 평탄화층(22)은 궁극적으로 발명의 그래포-에피택시 양상을 위한 템플릿을 형성할 것이다. 다음으로, 도 3c에 도시된 바와 같이, 실리콘 ARC와 같은 반사방지 코팅(ARC, anti-reflective coating)층(24)은 평탄화층(22)의 상단 상에 코팅된다. ARC 층(24)은 그 자체로 반사방지 코팅으로서의 역할을 하지 않을 수 있으나, 차라리 더욱 일반적으로 듀얼층 하단 ARC(BARC, bottom ARC)(26)를 제공하기 위하여 평탄화층과 결합하여 작동하는 제2 층이라는 것이 이해될 것이다. 그렇기는 하지만, 제2 층(층(24))은 제1 층(평탄화층(22))과 결합될 때, 그것이 반사방지 특성들을 제공한다는 이유로 ARC 층으로서 지칭될 수 있다. 듀얼층 BARC(26)를 형성하는 이들 층들의 광학 특성들 및 두께들은 기판 반사율이 최소화되도록 조정된다.
도 3d에 도시된 바와 같이, 방사선 감응 재료, 예를 들어, 포토레지스트(28)의 층은 코팅되고, 도 3e에 도시된 바와 같이, 이 듀얼 층 BARC(26)의 상단 상에 패터닝(이미징)되며, 도 3f에 도시된 바와 같이, 포토레지스트 이미지는 후속하여 종래의 반응성 이온 에칭(RIE, reactive ion etching) 프로세싱을 통해 평탄화층(22)에 전사되어(포토레지스트(28) 및 ARC 층(24)이 또한 제거됨), 평탄화층(22)에 템플릿(30)을 형성한다. 평탄화층(22) 내로의 에칭 깊이는 아래 놓인 기판(18)의 상부면을 노출시키도록 완전하거나, 또는 템플릿(30)의 하단에 평탄화층(22)의 일부분을 남기도록 부분적일 수 있다. 둘 중 어느 경우에든, 라인들(10)의 표면 부분들(36)은 템플릿(30)에 의해 둘러싸이는 노출된 토포그래피(20)를 드러내도록 노출된다.
도 3g에 도시된 다음 단계는 BCP의 자기 조립에 영향을 미칠, 평탄화층(22)으로 전사된 패턴의 선택적 표면 처리(34)이다. 더욱 상세히 하기에 설명되는 바와 같이, 이 처리는 몇몇 경우들에 필요하며, 다른 경우들에는 필요치 않다. 뿐만 아니라, 표면 처리는 다른 표면들을 변화되지 않은 채로 두고 특정 표면들의 표면 특성들의 변화에 영향을 줄 수 있다. 예를 들어, 도 3g에 도시된 바와 같이, 표면 처리(34)는 라인들(10)의 노출된 표면 부분들(36)을 변경할 수 있는 한편, 템플릿(30)의 측벽들(40) 및 하부면들(42)을 변화되지 않은 채로 남겨둔다.
이러한 선택적 표면 처리 이후에, BCP(50)는 (부분적으로 또는 완전히) 템플릿(30)을 채우기 위해 도 3h에 도시된 바와 같이 패턴에 도포되며, 그 후 어닐링되어, 도 3i에 도시된 바와 같이, BCP가 실린더형 형태를 형성하도록 한다. 더욱 상세하게는, 어닐링은 BCP의 제1 블록(52)이 BCP의 제2 블록(54)의 매트릭스 내에 라인들(10) 위에 정렬된 복수의 실린더들(32)을 형성하게 한다. 도 3j에 도시된 바와 같은, 실린더형 형태, 즉, BCP(50)의 제1 블록(52)을 제거하기 위한 BCP(50)의 후속 현상은 토포그래피(20) 바로 위에 놓이는 DSA 패턴을 노출시킴으로써, 토포그래피(20)로의 접근을 허용한다. 그 후, 도 3k에 도시된 바와 같이, 아래 놓인 라인들(10)의 어레이의 적절한 컷들을 만들 수 있어, 패터닝된 토포그래피를 형성하기 위하여 회로 설계가 요구하는 바에 따라 에칭이 수행될 수 있고, 선택된 라인들(10)은 컷팅된다. 기판(18)은 그 후 도 3l에 도시된 바와 같이, 패터닝된 토포그래피, 즉, 컷팅된 라인들(10')의 어레이(60)를 드러내기 위해 스트립핑된다.
도 3a-3l의 프로세스 흐름이 최적화되도록, 레버리징(leverage)될 수 있는 다수의 변수들이 존재한다. 구체적으로, 템플릿(30)의 형상 및 토포그래피(20)가 그 템플릿(30)에 정렬되는 방법 뿐 아니라, 상이한 표면들의 표면 에너지들은 조정될 수 있다. 따라서, 이러한 접근법은 케모-에피택시 및 그래포-에피택시의 조합이다. 이 접근법에서 케모-에피택시의 중요성은 몬테 카를로 코드(Monte Carlo code)를 사용하여 완료된 시뮬레이션 연구를 통해 알 수 있다. 도 4의 사시도에 도시된, 도 2에 도시된 영역은 시뮬레이션을 위해 사용되었고, BCP(50)는 폴리스티렌/폴리메틸 메타크릴레이트(PS/PMMA)였으며, PMMA 블록은 실린더형 형태를 형성한다.
시뮬레이션 연구에서, 표시된 파라미터들은 표면 상호작용 파라미터들이며, 이들은 시스템에서 관찰될 수 있는 완전한 습윤 정도를 나타내는 정규화된(normalized) 0-2.0 스케일로 표시된다. Λs는 정규화된 시뮬레이션 단위의 BCP(50)의 PMMA 블록 템플릿(30)의 측벽(40) 간의 상호작용을 지칭하고; ΛT는 BCP(50)의 PMMA 블록과 토포그래피(20) 간의 상호작용을 지칭한다. BCP의 PMMA 블록과 템플릿의 하부면(42) 간의 상호작용을 설명하는 제3 상호작용(Λb)이 존재한다. Λb는 1.0의 값에서의 시뮬레이션들의 세트에 대해 일정하게 유지되었다. 도 5의 도면들은 도 2의 라인 5-5를 따라 취해졌으며, V의 연접점(junction)을 바라보는 시점이다. 중간부의 실린더(32M)는 연접점에 위치되고, 좌측 및 우측의 실린더들(32L 및 32R)은 템플릿의 각각의 아암(arm)의 단부에 각각 위치된다. 표면들의 화학적 성질을 제어하는 것은 관찰되는 조립 타입에 큰 영향을 미친다는 것이 명백하다. 예를 들어, ΛT = 0.2 및 Λs = 1.0에서의 지점에서, 3개 실린더들(32L, 32M 및 32R) 모두가 BCP(50)의 표면으로부터 토포그래피(20) 자체까지 연결되는 것을 볼 수 있다. 또한 이들 3개의 수직 실린더들(32L, 32M 및 32R)을 함께 연결하는 평행 실린더들(33)이 존재한다. 이러한 타입의 3차원 구조는 게이트들과 같은 피쳐들을 컷팅하는데 사용될 수 있다. 제1 단계에서, PMMA는 RIE 에칭 단계의 사용을 통해 에칭 제거될 수 있고, 제2 에칭 단계는 그 후 라인들을 컷팅하는데 사용될 수 있다. 반면에, ΛT = 2.0 및 Λs = 0.2인 시뮬레이션 결과들을 보면, 3개 실린더들(32L, 32M 및 32R) 중 어느 것도 토포그래피(20)에 접촉하지 않는다. 최종적으로, ΛT = 2.0 및 Λs = 1.6인 시뮬레이션에서, V의 연접점에서의 실린더(32M)가 토포그래피(20)에 연결되나, 다른 2개의 실린더들(32L 및 32R)은 그렇지 않은 것을 볼 수 있다. 이들 마지막 2개 조건들에 대해, 라인들(10)을 컷팅하기 위한 패턴의 전사는 어려울 수 있는데, 이는 3개 실린더들(32L, 32M 및 32R) 전부가 토포그래피(20)에 대해 개방되지 않기 때문이다.
시뮬레이션 연구는 또한 토포그래피(20)의 너무 높은 포지티브(positive) 상호작용을 갖는 것이 분리된 실린더(32)를 초래할 수 있다는 것을 예시한다. 이들 경우들에서, PMMA의 습윤층은 토포그래피(20)의 상단 상에 형성되나, 실린더(32)와 완전히 연결되지 않는다. 직관에 반대되나, BCP의 이 위상과 토포그래피 간의 매우 높은 인력이 실린더에 장력(tension) 야기하는 상황(검(gum)을 잡아당기는 것과 유사)을을 상상할 수 있다. BCP는 얼마간의 탄성을 가지며, 이 장력이 너무 크지 않다면 늘어날 수 있으나, 장력이 너무 크다면, 실린더는 깨지고, 2개의 상이한 조각들(한 조각은 토포그래피를 습윤시키고, 한 조각은 토포그래피로부터 분리된 다수 위상에 머무름(hover))을 형성한다.
도 5에 도시된 시뮬레이션 연구는 따라서 V자 형상 템플릿 내에 구조들의 형성에 있어 케모-에피택시의 중요성을 예시한다. 이 시나리오에서 그래포-에피택시의 중요성은 템플릿 내에 토포그래피가 제거되는 경우와 비교함으로써 예시된다.
도 6a에 도시된 바와 같이, 토포그래피 없이 형성된 구조는 템플릿(30)의 V에 위치된 실린더(32M) 외부로 분기하는 추가적인 2개의 실린더들을 갖는 반면, 도 6b에 도시된 토포그래피(20)를 갖는 템플릿(30)은 토포그래피에 부착된 2개의 실린더들(32L 및 32R) 및 연결되지 않는 하나의 실린더(32M)를 갖는다. 토포그래피에 의하여 남겨진 템플릿의 부가된 체적은 BCP의 소수 위상이 이 복잡한 기하학적 구조를 형성하기 위해 더 많은 공간(room)을 갖도록 허용하며, 따라서 더 많은 공간을 갖게 된다.
최종적으로, 본 개시내용은 상이한 표면들 상의 상이한 화학적 상호작용들을 초래하기 위한 수단을 다룬다. 시뮬레이션 연구들은 템플릿(30) 내에 토포그래피(20)가 존재할 때 하부면(42)에서의(즉, 기판(18)과의 계면에 또는 그 근처에서의) 상호작용이 매우 중요하지는 않음을 예시한다. 템플릿의 측벽(40) 상에 그리고 토포그래피 상에, 즉, 라인들(10)의 표면들 상에 필수적인 표면 특성들을 전하는 가장 간단한 방식은 선택된 재료들이 이들 조건들을 자연적으로 갖는 것이다. 그래서, 그러한 구조들의 생성에 있어서, 상이한 표면 특성들을 갖고 기하학적 구조를 다루는데 사용될 수 있는 템플릿 및 토포그래피를 위한 재료들이 선택된다. 따라서, 발명의 케모-에피택시 양상은 재료 선택을 통해 달성될 수 있어, 표면 처리 단계를 필수적으로 만든다. 대안적으로, 구조들이 원하는 특성들과 함께 선택되지 않는 경우, 템플릿의 생성 이후에 표면 특성들을 변화시키기 위해 사용될 수 있는 다양한 프로세스 단계들이 존재한다.
표면 특성들을 변경하기 위한 하나의 방법은 액체 린스(liquid rinse)의 사용이다. 산성 린스가 사용될 수 있으며, 후속 베이킹 단계는 (다른 표면들을 온전하게 둔 채로) 표면의 산 촉매 디프로텍션(acid catalyzed deprotection)을 야기한다. 이것은 표면의 극성의 제어를 제공할 것이다. 제한이 아닌 예로서, 산성 린스들은 HCl, H2SO4, HNO3, HSO3F와 같은 강산의 저농도, 또는 pH >2인 불소 기반 아세트산을 포함할 수 있다. 아세트산과 같은 약산이 또한 사용될 수 있으며, 다시 pH >2에서 유지한다. 본 기술분야의 당업자는 그들이 프로세싱 시스템에서 사용되는 하드웨어에 해롭지 않다면, 다른 산성 용액들이 사용될 수 있다는 것을 인식할 수 있다. 염기성 린스, 예컨대 공통 포토레지스트 현상액은 하나 이상의 표면들의 접촉 각도를 변경하는데 사용될 수 있다. 제한이 아닌 예로서, 염기성 린스들은 구체적으로 표준 현상액 농도(0.26N)에서 테트라메틸 수산화 암모늄(TMAH), 테트라부틸 암모늄 하이드록사이드, 3차 아민(예를 들어, 트리옥틸 아민) 또는 2차 아민을 포함할 수 있다. 표면에 또는 표면 근처에 존재하는 작은 분자량 화합물들을 잠재적으로 가용성으로 하고 제거할 수 있는 용매 린스들이 사용될 수 있다. 제한이 아닌 예로서, 용매들은 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 에틸 락테이트, n-부틸 아세테이트, 감마 부티로락톤, 시클로헥사논, 또는 2-헵타논(메틸 아밀 케톤)을 포함할 수 있다. 마이크로 전자 기술에서의 추가적인 프로세싱을 하여 표면들을 준비하기 위해 산업 분야에서 사용되는 표준 케미스트리를 포함하는 세정 린스들이 사용될 수 있다. 세정 린스들은 계면들의 표면 특성들을 변화시킬 수 있다. 제한이 아닌 예로서, 그러한 케미스트리들은 디메틸 설폭시화물(DMSO), TMAH, DMSO+TMAH (OrgasolvTM), SC1(40 부 탈이온수, 1 부 수소 퍼록사이드, 1 부 암모니아 하이드록사이드), SC2(160 부 탈이온수, 4 부 수소 퍼록사이드, 1 부 염산), 모노메틸 에테르 아세테이트(MEA), 및 DMSO + MEA를 포함한다. 표면의 성질을 변화시키기 위해 표면과 반응할 수 있는 반응성 린스들이 사용될 수 있다. 일 예는 표면에 부착된 실리콘 그룹을 남기기 위해 프리 산성 OH 그룹들과 반응하는 실릴화제(silylating agent)이다. 예를 들어, 액체 헥사메틸디실라잔(HMDS) 처리가 사용될 수 있다.
표면 특성들을 변경하기 위한 다른 방법은 표면을 극성 또는 비극성으로 변경하기 위하여 템플릿 구조 상에 코팅될 수 있는, 중합체들의 브러쉬 코팅의 사용이다. 이들 브러쉬 코팅들은 다른 표면에 접합(graft)되지 않고 한 표면에 선택적으로 접합될 수 있도록, 수정될 수 있다. 초과분은 관심 표면들 중 하나 이상으로부터 비-접합된 브러쉬를 제거하기 위해 린싱될 수 있다. 제한이 아닌 예로서, 브러쉬 코팅은 바람직하게는 OH-말단 PMMA 또는 OH-말단 PS와 같은 하이드록실 말단을 갖는, BCP의 한 위상을 포함할 수 있다.
표면 특성들을 변경하기 위한 다른 방법들은 UV 처리 또는 오존화의 사용을 포함한다. 몇몇 재료들은 심-UV(DUV) 복사선의 존재하에 화학적 및 표면 변화들을 겪을 것이다. 그러한 화학적 변화들은 기판의 표면 에너지를 변경시키는데 사용될 수 있다. DUV + 열은 유기 재료들의 교차 결합을 야기할 수 있다. 산소를 이용한 UV 처리인 오존화는 표면들을 더욱 친수성으로 만들기 위해 표면들을 산화시키는 경향이 있다. 오존화는 오존수의 사용을 통해, 또는 오존 자체를 이용한 직접 처리를 통해, 기판의 존재 하에 인 시튜로(산소 + 172 nm 광) 오존을 발생시킴으로써 완료될 수 있다.
표면 특성들을 변경하기 위한 다른 방법들은 플라즈마 처리들, 직류 중첩(DCS) 경화, 전자 빔(E-Beam) 경화, 및 가스 클러스터 이온 빔(GCIB, gas cluster ion beam) 처리의 사용을 포함한다. 플라즈마 처리들은 표면들과 반응하고 그들의 특성들을 변경시킬 수 있는 라디칼들을 발생시키는데 사용될 수 있다. 그들은 또한 웨이퍼 상에 성막할 중합체들을 생성하는데 사용될 수 있다. DCS를 사용하는 처리는 또한 산화된 표면을 형성한다. DCS는 교차 결합(경화) 및 SiO2 성막 방법 둘 다이다. DCS는 용량성 결합 플라즈마(CCP, capacitively coupled plasma) 반응기에서 수행되며, 거기서 음의 DC 전압은 상부 실리콘 전극에 부과되고, 음의 전압 중첩은 상부 전극을 향해 플라즈마로부터 이온들을 가속시켜, 상부 전극 상에 고 에너지 이온 충격을 초래한다. 그에 의해, DC 시스(sheath)를 통해 가속될 때 탄도화(ballistic)되는 2차 전자들이 생성된다. 이온 충격은 또한 상부 전극으로부터 Si의 물리적 스퍼터링을 야기한다. 탄도 전자들 및 스퍼터링된 Si 모두가 하부 전극 상에 있는 기판 위에 쏟아진다. 기판 상에 형성되는 얇은 Si 코팅은 그 후 공기/습기로의 노출시 즉각적으로 산화된다. E-빔 경화는 산화 변화들과 유사한 표면 변화들을 야기할 수 있다. GCIB 처리에서, 이온들은 수직 표면들이 변화되지 않게 남긴 채로, 수평 표면들을 공격할 수 있다. 몇몇 경우들에 있어서, 중합체들은 마찬가지로 수평 표면들 상에 성막될 수 있다.
표면 특성들을 변경하기 위한 다른 방법은 가스 처리들의 사용이다. HMDS 또는 다른 증기 실릴화제와 같은 반응성 증기들은 몇몇 표면들에 접합되고, 접촉 각도를 변경할 수 있다(상기 논의된 HMDS를 이용한 액체 반응성 린스와 유사, 그러나 기체 상태는 아님).
표면 특성들을 변경하기 위한 다른 방법은 한번에 한 반응씩, 재료에 대해 그리고 재료에 구성 단위를 성장시키기 위해 순차적 기체 또는 액체 처리들을 행하는 수단인, SIS(sequential infiltration synthesis) 처리의 사용이다. 반응들은 바깥으로부터 안으로 작용하는 경향이 있다. 아르곤 내셔널 랩스(Argonne National Labs)는 중요한 용액으로서 트리메틸 알루미늄을 사용하는 SIS 처리 시스템을 갖고, 이 중요한 용액은 알루미늄을 막으로 통합시키기 위해 에스테르기와 반응한다.
재료들이 상이한 것으로 가정하면, 상기 표면 변경 프로세싱의 일부는 따라서 표면 에너지의 선택적 변화들을 초래할 수 있는 자연적 선택도를 가질 것이다. 다른 경우들에서, 한 재료 또는 다른 재료의 표면에서의 반응 사이트들의 존재는 표면 변경 재료의 선택적 통합을 허용할 수 있다.
시뮬레이션들은 템플릿의 하부면(42)의 화학적 활성도에 강한 의존성을 보이지 않았으나, 표면이 작용하게 되는 상황들을 고안하는 것이 가능하다. 그러한 경우에, 예를 들어, 한 프로세스는 토포그래피를 처리하도록 설계되고 한 프로세스는 템플릿의 하단을 처리하도록 설계되는, 템플릿의 순차적 처리들이 사용될 수 있다. 예로서, 제1 단계에서, 템플릿은 단지 토포그래피에 접합할 수 있는 제1 브러쉬 중합체로 처리되고, 임의의 초과분을 제거하기 위해 린스가 후속되고, 템플릿은 단지 토포그래피의 하단에 접합할 수 있는 제2 브러쉬 폴리머 중합체로 처리되어, 임의의 초과분을 제거하기 위해 린스가 후속된다. 뿐만 아니라, 토포그래피가 원하는 화학적 활성도를 가지고, 그래서 하단 단독의 처리가 요구되는 경우들이 존재한다. 따라서, 토포그래피와 측벽 사이에 화학적 활성도 차를 생성하도록 돕기 위한 상기 열거된 프로세스들은 또한 마찬가지로 템플릿에서의 차들을 생성하는데 사용될 수 있다.
발명의 실시예들이 컷팅될 라인들의 어레이를 포함하는 현재 존재하는 토포그래피에 DSA 패턴을 부착하는 예를 참고하여 설명되었으나, 방법은 또한 규칙적 어레이건 아니건, 템플릿이 그래포-에피택시 양상에 대해 형성되는 한, 그리고 내재적으로 또는 표면 처리를 통해, 적절한 화학적 활성도가 케모-에피택시를 위해 템플릿, 토포그래피, 그리고 하단에 의해 내재적으로 보유되는 한, 임의의 종류의 토포그래피로의 DSA 패턴의 부착을 약간 수정하여 적용가능하다. 후자의 양상에서, 상기 설명된 바와 같이, 현재 사용되는 토포그래피의 상단 바로 위에 자기 조립을 용이하게 하기 위하여, 템플릿, 토포그래피 및 하단 중 임의의 것 또는 전부의 화학적 활동들을 변경하는데 사용될 수 있다.
제2 실시예에서, 도 3a-3l를 참고하여 상기 설명된 동일한 개념들은 상이한 목적들을 위해 적용가능하다. 일련의 콘택 홀들의 조립을 하기 위해 그래픽 트렌치를 사용하는 것이 바람직한 경우를 고려한다. 그러한 애플리케이션은 좁은 트렌치 내에 임의의 개수의 콘택들을 포함할 수 있으며, 트렌치가 (T 또는 L과 같은) 턴(turn)들을 갖는 경우들로 또한 확장될 수 있다. 이러한 종류의 애플리케이션에 대한 종래의 작용은, 홀들이 아래 놓이는 토포그래피와 관련하여 원하는 바에 따라 완벽하게 배치되지 않는다는 단점을 드러냈다. 종래의 작용은 템플릿 내의 2개 홀들의 배치 에러가 대략 단축으로 1.0 nm, 그리고 장축으로 1.3 nm임을 보였으며, 트렌치가 더 길어짐에 따라, 단축의 이미지 배치는 비교적 일정하게 유지되나, 장축의 오프셋은 계속해서 증가한다. 그 의미는, 홀들이 잘 한정되는 경우(즉, 단축), 홀들의 배치는 그들이 추가의 이동 자유를 가질 때(즉, 장축)보다 더 우수하다는 것이다. 패턴들의 배치는 피쳐의 치수만큼 중요하기 때문에, 이들 실린더들이 템플릿들 내에서 이동하는 경향은 이 기술을 고용적 제조로 이끄는 것을 어렵게 할 수 있다는 문제가 있다.
본 발명은 도 7에 도시된 바와 같이, 템플릿(30) 내에 토포그래피(20)를 배치하고, BCP(50)의 실린더형 위상들(32)을 적소에 테더링하기 위해 이것을 이용함으로써, 이러한 문제를 해결한다. 그래픽 템플릿(30)은 좁은 방향으로 콘택 홀들의 배치를 유지시킬 것이다. 토포그래피(20)의 적절한 처리에 의해, 화학적 반응은 템플릿(30)의 긴 축에(또는 콘택 홀들을 갖는 긴 트렌치의 경우에 무한 축(infinite axis) 근처에) 콘택 홀들의 배치를 유지할 것이다.
이 접근법의 하나의 장점은 결과적인 구조(라인들(10)에 접촉하는 홀들(16))가 콘택들 및 게이트들 또는 비아 및 트렌치들에 대해 표준적인 구조라는 점이며, 발명은 필요 성분의 구성을 용이하게 한다.
이 실시예의 다른 변형은 트렌치의 매 단일 홀들에 대해 라인들(10)이 존재하지 않음을 레이아웃이 표시하는 경우에 발생할 것이다. 이 경우에, 화학적으로 변형된 토포그래피(20)는 여전히 토포그래피(20)와 접촉하게 될 콘택 홀들, 즉, 라인들(10)의 배치를 행하는 반면, 토포그래피(20)가 없는 홀들은 여전히 화학적으로 활성인 토포그래피(20)가 없는 경우에 그들이 그러할 것보다 더 제약될 것이나, 여전히 테더링되는 것들에 비해 그들의 배치에 있어서 더 많은 에러를 가질 것이다. 이들 비접촉 홀들은 있는 그대로 남겨질 수 있다(즉, 더미 홀들). 대안적으로, 프로세스 흐름 도 8a-8c에 도시된 바와 같이, 이들 홀들은 원하는 콘택 홀들만이 개방되는 제2 패터닝 단계를 통해 제거될 수 있다. 도 8a는 복수의 이격된 라인들(10)을 포함하는, 토포그래피(20) 위에 형성된 템플릿(30)을 보여준다. 도 8b는 발명의 DSA 프로세스의 결과를 도시하며, 콘택 홀들에 대응하는 BCP(50)의 실린더들(32a)은 라인들(10)에 테더링되고, 비-콘택 홀들에 대응하는 실린더들(32b)은 그러나 여전히 템플릿(30) 내에 제약된 임의의 아래 놓인 토포그래피에 테더링되지 않는다. 도 8c는 실린더들(32a)로부터의 콘택 홀들의 패터닝을 위한 마스크(70)의 생성을 도시한다.
발명의 실시예들을 위해, 구조들의 생성에 영향을 주는 제어 파라미터들이 존재한다. 템플릿 형상 파라미터들은 두께, 거리 및 경사를 포함한다. 템플릿 두께는 예를 들어, 대략 50-800 nm일 수 있다. 추가적 예로서, 템플릿 두께는 대략 50-100 nm일 수 있으나, 몇몇 경우들에 600-800 nm까지 변화할 수도 있다. 도 3a-3l에서 상기 설명된 실시예에서, 템플릿(30)을 포함하는 재료는 또한 듀얼층 ARC의 하부층이며, 그래서 선택된 두께는 또한 반사도를 최소화하는 역할을 해야 한다. 거리 파라미터는 템플릿이 콘택 홀들의 원하는 위치들에 근접해지는 거리(예를 들어, 1.8 -2.5 Lo, 여기서 Lo는 BCP의 특징적 길이임)이다. 경사 파라미터는 템플릿의 측벽 경사, 예를 들어, 80-90도를 지칭한다.
토포그래피 형상 파라미터들은 높이, 폭 및 경사를 포함한다. 토포그래피 높이는 예를 들어, 대략 1-25 nm일 수 있다. 추가적 예로서, 토포그래피 높이는 10-20 nm, 또는 15-25 nm, 또는 15 nm 정도일 수 있다. 토포그래피 폭은 예를 들어, 대략 15-25 nm일 수 있다. 경사 파라미터는 토포그래피의 측벽 경사, 예를 들어, 80-90도를 지칭한다.
다른 제어 파라미터들은 표면 특징들에 관련된다. 템플릿 측벽의 화학적 활성도는 예를 들어, 0.2-1.6 J/cm2일 수 있다. 토포그래피의 화학적 활성도는 예를 들어, 0.1-0.5 J/cm2일 수 있다. 템플릿의 하부면의 화학적 활성도는 예를 들어, 0.2-2.0 J/cm2일 수 있다.
다른 제어 파라미터들은 BCP와 관련된다. BCP의 소수 블록의 퍼센트는 예를 들어, 25-40%일 수 있다. BCP의 특징적 길이(Lo)는 구체적으로 특정 애플리케이션을 위해 바람직한 홀의 사이즈, 즉, 콘택 홀의 목표 사이즈를 부여하도록 선택된다. BCP의 χN(중합화 정도와 카이 파라미터의 곱)은 PS/PMMA BCP에 대해 대략 15-30일 수 있다. BCP의 χ가 증가함에 따라, 더 낮은 N이 사용되도록 허용하며, 여전히 질서/무질서 변이에 머무른다. 더 낮은 N은 그 후 더 작은 피쳐들로 해석된다. 인용된 범위는 PS/PMMA BCP들을 이용하여 수행된 시뮬레이션들에 기반한다. BCP의 더 높은 χ에 대해, χN을 위한 값은 이 범위를 초과할 수 있다. 템플릿 내의 BCP의 충전 높이는 대략 50-100%, 예를 들어, 70-100%, 70-90%, 또는 80-100%일 수 있다.
발명은 블록 공중합체의 조립을 하기 위해 그래포- 및 케모-에피택시 모두를 이용하기 때문에, 2개 구동력들 간의 밸런스가 요구된다. 템플릿 형상 및 토포그래피 형상은 BCP가 채워야 하는 체적이 얼만큼 존재하는지를 나타내며, 이것은 관심 구조가 변화함에 따라 변화할 것이다. 요구되는 케모-에피택시의 정도는 얼마나 많은 그래포-에피택시 추동력이 존재하는지에 좌우될 것이고, 따라서 이것 역시 고려 중인 형태의 기하학적 구조에 좌우될 것이다. 궁극적으로, 형태의 체적, 블록 공중합체의 소수 위상의 퍼센트, 블록 공중합체의 Lo, 및 템플릿 위의 높이는 열역학 현상에 의해 관련되며, 원하는 "그래픽 영향력"을 부여하기 위하여 최적화될 수 있다. 필요한 "화학적 영향력"은 그 후 그것에 기반할 것이다. 상기 논의된 V자형 시뮬레이션들은 제한이 아닌 예로서 상기 제공된 제어 파라미터들에 대한 사용가능 범위의 표시를 제공한다.
상기 설명된 바와 같이, 템플릿(30)을 생성하기 위한 방법들 중 하나는 듀얼층 BARC(26)의 평탄화층(22)에 그것을 에칭하는 것이며, 평탄화층은 통상적으로 스핀-온 탄소 막 또는 CVD 알파-탄소 막이다. 어느 경우들, 이것은 고도로 유기적이며, 이 유기 막으로의 에칭 전사는 산소 함유 에칭 프로세스로 달성된다. 이 단계에서 산소의 사용은 산화된 노출된 표면들을 남기며, 이는 표면들을 결국 친수성으로 만들고, 우선적으로 PS-PMMA 블록 공중합체에서 PMMA 습윤에 적합하다.
표면들(측벽들, 토포그래피, 및 트렌치 바닥)이 PMMA-습윤에 매우 적합하기 때문에, BCP 내의 PMMA의 대부분은 이들 표면들을 습윤시키도록 작용하며, 비교적 적은 재료가 토포그래피(20)에 연결될 형태의 형성에 이용가능하다. 따라서, 발명의 실시예에 따라, 표면들이 더 약한 PMMA 습윤 컨디션으로 전환되면, 형태는 더 많은 PMMA가 토포그래피(20)에 연결되기에 가능하게 함으로써 드라마틱하게 변화한다. 구체적으로, 더 적은 PMMA가 측벽들을 따라 존재하고, 템플릿(30)의 중앙 내에 연결된 형태를 형성하기 위해 더욱 유용하다. 이 형태는 PMMA 구조를 통해 토포그래피(20)에 대한 개별적인 액세스 포인트들을 가지며, 에칭 전사 동안 몇몇 공학 기술에 관하여, 이 형태는 연결을 통해 액세스되는 라인들(10)을 개별적으로 컷팅하는데 사용될 수 있다.
그래포-에피택시와 결합하여 케모-에피택시를 사용하는 일 실시예에 따라, 이 낮은 습윤 특징을 갖는 템플릿(30)을 생성하기 위한 방법은, 덜 산화된 컨디션으로의 (산화제를 사용하는) 전사 에칭 이후에 템플릿(30)의 자연적 상태로 되돌아가는 것을 포함한다. 하나의 구현예는, 챔버의 에칭 가스들을 더욱 환원성 케미스트리로 변화시키고, 낮은 바이어스로 플라즈마에 스트라이킹하고, 플라즈마가 산화 표면을 다시 더욱 중성 상태(그러나, 낮은 정도로의 PMMA 습윤)로 회복시키도록 허용하는 것이다. 환원 케미스트리의 일 예는 H2이다. H2 플라즈마는 예를 들어, 과도 에칭 동안에 사용될 수 있다. 환원 플라즈마가 스트라이킹되는 개별 챔버로 이동함으로써, 환원 플라즈마가 또한 달성될 수 있다. 덜 산화된 컨디션으로 되돌리는 것은 또한 습식 프로세싱을 통해 완료될 수 있다. 습식 케미컬은 유사하게 환원 능력을 가질 필요가 있을 것이다. 환원 케미스트리는 습식 시스템들에서 달성하기 더욱 어려운 반면, 습식 시스템들은 일반적으로 다량의 용해 산소를 통상적으로 포함하는 수성 전달 방법들을 사용하기 때문에, HF는 이것이 템플릿 또는 아래 놓인 토포그래피의 파괴를 방지하기 위해 희석되어야 할 것임에도 불구하고, 환원 습식 케미스트리로서 사용될 수 있다는 것이 이해된다.
대안적 실시예에서, 표면들을 PMMA-습윤가능하게 하기 위해 표면들을 처리하기보다는 차라리, 표면들은 PS-습윤가능하게 되도록 처리되는데, 즉, 극성이 반전된다. 이 실시예에 따라, 템플릿(30)의 내부는 PS-OH 브러쉬 재료로 코팅된다. 이 브러쉬는 그 자신을 OH-부분을 통해 친수성 표면에 접합할 수 있으며, 중합체의 PS-부분은 PS-습윤가능 표면을 부여하기 위해 접합부로부터 먼 방향을 향하게 된다. 그 후, PS-PMMA BCP이 템플릿(30)에 도포될 때, 이것은 PMMA 층 대신에 PS 층에 의해 습윤된다. 근본적으로, 이것은 BCP의 PMMA 전부가 라인들(10)을 컷팅할 형태의 생성에 도움이 되도록 한다.
이러한 방식으로 표면을 변화시키는 영향을 결정하기 위하여 시뮬레이션이 완료되었다. 효과적으로, PMMA-PS-표면 상호작용은 네거티브였고, 이것은 표면들과 PMMA 도메인 사이에 반발(repulsion)을 초래하였다. 따라서, PMMA 도메인은 토포그래피(20)의 각각의 라인(10) 위에 올라오고, 그들을 터치하지 않고 라인들(10) 사이에 그 자신을 자리잡도록 노력한다. 측벽들로부터의 반박 외에도, 이러한 듀얼 동작은 토포그래피 위에 이 구조의 자기 정렬로 이어졌다. 예기치 않게, 이 시나리오에서 결과적인 토포그래피는 형태가 라인들 각각 위에서 공기에 개방되도록 되어 있다. PMMA는 그 후, 종래 기술의 당업자들에 의해 결정될 바와 같이, 먼저 UV 광이 노출시키고, 그 후 적절한 유기 용매를 도포함으로써, 이 구조에서 습식 현상될 수 있다. 제한이 아닌 예로서, 적절한 용매들은 아세톤, 메탄올, 메틸 에틸 케톤, 메틸 이소부틸 케톤, 2-헵타논, n-부틸 아세테이트, 감마 부티로락톤, 에틸 락테이트, 및 PGMEA를 포함할 수 있다. 다음으로, 방향성 에칭은 라인들(10)을 컷팅하기 위해 개방 액세스 포인트들을 사용하기 위해 사용될 수 있으며, 이루어진 컷들은 리소그래픽 템플릿 단독에 대해 이루어질 것보다 더욱 정확할 것이다. 이것은 더욱 컴팩트하고 실리콘 상에 트랜지스터 팩킹에 적합한, 라인들의 엔드-투-엔드(end to end) 이격을 제공한다.
실제로, PS-OH 브러쉬의 애플리케이션 및 그것의 표면들로의 접합을 위한 구현예의 다양한 방향들이 존재한다. 그러나, 구현예는 측벽들, 하부면, 및 토포그래피가 모두 상이한 재료들로부터 생성될 수 있다는 것을 고려해야 하며, 이는 습윤 및 상이한 재료 표면들로의 PS-OH 브러쉬의 접합에 영향을 미친다. 상이한 재료 조성들을 갖는 표면들로의 브러쉬의 도포를 위한 하나의 방법은 DCS 경화를 사용하는 것이다. 이 프로세스는 모든 표면들의 상부 상에 매우 얇은 실리콘층을 도포한다. 그 실리콘 층은 그 후 공기 또는 습기로의 노출에 의해 산화된다. 산화는 대안적으로 172nm 광 + 산소로 생성된 인-시튜 오존화에 의해, 또는 오존이 물을 통해 가득차게 되고 산화제로서 웨이퍼에 도포되는 습식 프로세스에 의해, 플라즈마를 이용해 달성될 수 있다. TMAH-기반 포토레지스트 현상액은 또한 실리콘 표면 상의 말단 하이드록실기를 생성하는데 사용될 수 있다. 이 처리는 그 후 PS-OH 브러쉬가 거기에 접합됨에 따라 더욱 균일하게 "극성 반전될" 수 있는, 더욱 균일한 하이드록시-말단 표면을 생성한다.
본 발명은 발명의 하나 이상의 실시예들에 대한 설명에 의해 예시되었고, 이들 실시예들은 상당히 상세하게 설명되었으나, 실시예들은 어떠한 제한적인 방식으로도 그러한 세부사항들로 첨부된 청구항들의 범위를 제한하도록 의도되지 않는다. 부가적인 대안들, 장점들 및/또는 수정들이 본 기술분야의 당업자들에게 쉽게 나타날 것이다. 예를 들어, 실린더들이 그들의 상단 위 대신에 토포그래픽 라인들 사이를 차지하도록, 템플릿 토포그래피 및 표면들을 제어하는 것이 가능할 수 있다. 또한, 개시된 제1 실시예와 유사하게, 상기 방법의 적용은 라인들의 어레이의 컷팅 및 부착이 아닌 상황들에서의 사용을 위해 고안될 수도 있다. 그 최광위의 양상들에서 발명은 도시되고 설명된 특정 세부사항들, 전형적 장치와 방법, 및 예시적인 예들로 제한되지 않는다. 따라서, 일반적 발명의 개념의 범위를 벗어나지 않고 그러한 세부사항들로부터의 변경이 이루어질 수 있다.

Claims (15)

  1. 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법에 있어서,
    맨 위에 형성된 노출된 피쳐들 ― 상기 노출된 피쳐들은 현재 사용되는(existing) 토포그래피를 형성함 ― 을 포함하는 기판을 제공하는 단계;
    상기 현재 사용되는 토포그래피 맨 위에 바로 유도성 자기 조립(DSA, Directed Self-Assembly)을 위한 템플릿(template) ― 상기 템플릿은 노출된 토포그래피의 영역들을 둘러싸는 노출된 템플릿 표면들을 포함함 ― 을 형성하는 단계;
    상기 노출된 토포그래피를 커버하기 위하여 블록 공중합체(BCP, block copolymer)로 상기 템플릿을 채우는 단계;
    자기 조립을 상기 토포그래피와 동일선상에 있도록 만들기 위하여 상기 템플릿 내의 상기 블록 공중합체(BCP)를 어닐링하는 단계; 및
    상기 토포그래피 바로 위에 놓이는 유도성 자기 조립(DSA) 패턴을 노출시키기 위하여 상기 어닐링된 블록 공중합체(BCP)를 현상하는 단계
    를 포함하는, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  2. 제1항에 있어서,
    패터닝된 토포그래피를 형성하기 위하여 상기 유도성 자기 조립(DSA) 패턴을 상기 토포그래피에 전사하는 단계를 더 포함하는, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 템플릿을 형성하는 단계는,
    상기 토포그래피 상에 평탄화층을 도포하는 단계;
    상기 평탄화층 위에 반사방지층을 도포하는 단계;
    상기 반사방지층 위에 방사선 감응 재료층을 도포하는 단계;
    템플릿 이미지를 형성하기 위하여 상기 방사선 감응 재료층을 패터닝하는 단계;
    상기 토포그래피를 노출시키기에 충분한 깊이로 상기 반사방지층 및 평탄화층을 에칭함으로써, 상기 템플릿 이미지를 상기 평탄화층에 전사하는 단계; 및
    상기 평탄화층의 남아있는 부분들에 의하여 형성되는 유도성 자기 조립(DSA)을 위한 상기 템플릿에 의해 둘러싸이는 상기 노출된 토포그래피를 남기기 위하여, 상기 방사선 감응 재료 및 상기 반사방지층의 임의의 남아있는 부분들을 스트립핑하는 단계
    를 포함하는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 평탄화층으로부터 형성된 상기 템플릿과 상기 토포그래피 간에 표면 특성들의 차를 제공하는 상기 평탄화층을 위한 재료를 선택하는 단계를 더 포함하는, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 템플릿을 채우는 단계 이전에, 상기 노출된 토포그래피 또는 상기 노출된 템플릿 표면들의 적어도 하나의 표면 특성을 변경하기 위하여, 상기 노출된 토포그래피, 또는 상기 노출된 템플릿 표면들, 또는 상기 노출된 토포그래피와 상기 노출된 템플릿 표면들 모두를 화학적으로 처리하는 단계를 더 포함하는, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  6. 제5항에 있어서,
    상기 화학적으로 처리하는 단계는,
    상기 노출된 토포그래피, 또는 상기 노출된 템플릿 표면들, 또는 상기 노출된 토포그래피와 상기 노출된 템플릿 표면들 모두를, 산을 포함하는 용액; 염기를 포함하는 용액; 디메틸 설폭시화물(DMSO, dimethyl sulfoxide), 테트라메틸 수산화 암모늄(TMAH, tetramethyl ammonium hydroxide), SC1, SC2, 및 모노메틸 에테르 아세테이트(MEA, monomethyl ether acetate), 또는 이들 중 둘 이상으로 구성되는 그룹으로부터 선택된 세정제를 포함하는 세정액; 중합체 브러쉬 코팅; 자외(UV, ultra-violet) 전자기 방사선; 오존; 플라즈마; 전자 빔; 가스 클러스터 이온 빔; SIS(sequential infiltration synthesis) 처리; 직류 중첩(DCS, direct current superposition) 처리; 또는 반응성 증기(reactive vapor) 중 적어도 하나에 노출시키는 단계를 포함하는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  7. 제5항에 있어서,
    상기 화학적으로 처리하는 단계는, 상기 노출된 토포그래피가 상기 노출된 템플릿 표면들보다 상기 블록 공중합체(BCP)의 한 위상에 대해 더 끌어당겨지게(more attractive) 하기 위하여 상기 노출된 토포그래피를 변경하는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  8. 제5항에 있어서,
    상기 화학적으로 처리하는 단계는, 상기 노출된 템플릿 표면들이 상기 처리 이전에 상기 노출된 템플릿 표면들보다 및/또는 상기 노출된 토포그래피보다 상기 블록 공중합체(BCP)의 한 위상에 대해 덜 끌어당겨지게(less attractive) 하기 위하여 상기 노출된 템플릿 표면들을 변경하는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  9. 제5항에 있어서,
    상기 템플릿을 형성하는 단계는, 산소 함유 플라즈마를 사용하는 플라즈마 에칭 단계를 포함하고, 상기 플라즈마 에칭 단계에 의해 상기 노출된 템플릿 표면들이 산화되며, 상기 화학적으로 처리하는 단계는, 상기 노출된 템플릿 표면들을 덜 산화된 상태로 변경하기 위하여 환원 케미스트리(reducing chemistry)에 상기 노출된 템플릿 표면들을 노출시키는 단계를 포함하는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  10. 제9항에 있어서,
    상기 환원 케미스트리는 수소 함유 플라즈마 또는 희석 플루오르화 수소(dilute hydrogen fluoride)를 포함하는 습식 프로세싱 케미스트리인 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  11. 제9항 또는 제10항에 있어서,
    상기 블록 공중합체(BCP)는 폴리스티렌(PS, polystyrene) 및 폴리 메틸 메타크릴레이트(PMMA, poly methyl methacrylate)를 포함하고, 상기 폴리 메틸 메타크릴레이트(PMMA)는 상기 폴리 메틸 메타크릴레이트(PMMA)가 처리되지 않은 노출된 템플릿 표면들에 대해서보다 덜 산화된 상태를 갖는 처리된 노출된 템플릿 표면들에 덜 끌어당겨지도록, 산화된 표면들에 끌어당겨지고, 상기 템플릿 내의 상기 블록 공중합체(BCP)를 어닐링하는 단계는, 상기 폴리 메틸 메타크릴레이트(PMMA)를 갖는 상기 블록 공중합체(BCP)의 자기 조립을 상기 토포그래피와 동일선상에 있도록 만드는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  12. 제5항에 있어서,
    상기 화학적으로 처리하는 단계는, 블록 공중합체(BCP)의 제1 위상을 갖는 상기 노출된 템플릿 표면들을 코팅하여, 상기 노출된 템플릿 표면들이 상기 코팅 이전보다 상기 블록 공중합체(BCP)의 제1 위상에 대해 더 끌어당겨지게 하는 단계를 포함하며, 상기 템플릿 내의 상기 블록 공중합체(BCP)를 어닐링하는 단계는, 상기 블록 공중합체(BCP)의 제2 위상을 갖는 상기 블록 공중합체(BCP)의 자기 조립을 상기 토포그래피와 동일선상에 있도록 만드는 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  13. 제12항에 있어서,
    상기 블록 공중합체(BCP)의 제1 위상으로 상기 노출된 템플릿 표면들을 코팅하기 이전에, 상부에 실리콘을 성막하기 위하여 상기 노출된 템플릿 표면들의 직류 중첩(DCS) 처리를 수행하는 단계를 더 포함하는, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  14. 제12항 또는 제13항에 있어서,
    상기 블록 공중합체(BCP)의 제1 위상으로 상기 노출된 템플릿 표면들을 코팅하기 이전에, 상기 노출된 템플릿 표면들을 산소 함유 환경에 노출시키는 단계를 더 포함하는, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
  15. 제12항 내지 제14항 중 어느 한 항에 있어서,
    상기 블록 공중합체(BCP)의 제1 위상은 폴리스티렌(PS)이고, 상기 블록 공중합체(BCP)의 제2 위상은 폴리 메틸 메타크릴레이트(PMMA)인 것인, 기판 상에 패터닝된 토포그래피를 형성하기 위한 방법.
KR1020167013228A 2013-10-20 2014-10-20 그래포-에피택셜 애플리케이션에서의 블록 공중합체들의 유도성 조립에 대한 토포그래피의 사용 KR101845180B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361893277P 2013-10-20 2013-10-20
US201361893275P 2013-10-20 2013-10-20
US61/893,277 2013-10-20
US61/893,275 2013-10-20
PCT/US2014/061392 WO2015058200A1 (en) 2013-10-20 2014-10-20 Use of topography to direct assembly of block copolymers in grapho-epitaxial applications

Publications (2)

Publication Number Publication Date
KR20160073408A true KR20160073408A (ko) 2016-06-24
KR101845180B1 KR101845180B1 (ko) 2018-04-03

Family

ID=52825240

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167013298A KR101915370B1 (ko) 2013-10-20 2014-10-20 라인들을 정확하게 컷팅하기 위한 그래포-에피택셜 유도성 자기 조립의 사용
KR1020167013228A KR101845180B1 (ko) 2013-10-20 2014-10-20 그래포-에피택셜 애플리케이션에서의 블록 공중합체들의 유도성 조립에 대한 토포그래피의 사용

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167013298A KR101915370B1 (ko) 2013-10-20 2014-10-20 라인들을 정확하게 컷팅하기 위한 그래포-에피택셜 유도성 자기 조립의 사용

Country Status (5)

Country Link
US (4) US9349604B2 (ko)
JP (2) JP6225269B2 (ko)
KR (2) KR101915370B1 (ko)
TW (2) TWI557798B (ko)
WO (2) WO2015058200A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150130540A (ko) * 2013-03-15 2015-11-23 에이에스엠엘 네델란즈 비.브이. 블록 공중합체의 자가-조립에 의해 기판에 이격된 리소그래피 피처들을 제공하는 방법들
KR20180033085A (ko) 2016-09-23 2018-04-02 에스케이이노베이션 주식회사 블록 공중합체를 이용한 미세 패턴의 형성 방법
KR20180033084A (ko) 2016-09-23 2018-04-02 에스케이이노베이션 주식회사 플루오린기를 함유하는 이중블록 공중합체

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6023010B2 (ja) * 2013-06-26 2016-11-09 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
US9190285B1 (en) * 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
JP6366412B2 (ja) * 2014-08-01 2018-08-01 キヤノン株式会社 パターン形成方法
US9767989B2 (en) 2014-11-11 2017-09-19 Seagate Technology Llc Methods of forming features
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
US9431219B1 (en) * 2015-05-05 2016-08-30 HGST Netherlands B.V. Method for making guiding lines with oxidized sidewalls for use in directed self-assembly (DSA) of block copolymers
CN106298461B (zh) * 2015-05-20 2020-07-28 联华电子股份有限公司 制作不连续直线图案的方法与不连续直线图案结构
US20160358786A1 (en) * 2015-06-02 2016-12-08 Tokyo Electron Limited Techniques for Spin-on-Carbon Planarization
KR20170051886A (ko) * 2015-11-03 2017-05-12 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9613820B1 (en) * 2016-03-14 2017-04-04 Inotera Memories, Inc. Method of forming patterns
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US9786545B1 (en) 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
WO2018125089A1 (en) * 2016-12-28 2018-07-05 Intel Corporation Grating layer with variable pitch formed using directed self-assembly of multiblock copolymers
US10395978B2 (en) * 2017-02-27 2019-08-27 Imec Vzw Method of patterning target layer
US10312103B2 (en) 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10312200B2 (en) * 2017-07-27 2019-06-04 International Business Machines Corporation Integrated circuit security
JP6875234B2 (ja) 2017-09-13 2021-05-19 キオクシア株式会社 ガイドレイアウト作成装置、作成方法、および作成プログラム
US10580615B2 (en) * 2018-03-06 2020-03-03 Globalfoundries Inc. System and method for performing failure analysis using virtual three-dimensional imaging
US11480724B2 (en) 2018-07-19 2022-10-25 Applied Materials, Inc. Variable height slanted grating method
JP7403961B2 (ja) * 2019-03-19 2023-12-25 キオクシア株式会社 インプリント方法および半導体装置の製造方法
TWI845699B (zh) 2019-06-12 2024-06-21 日商東京威力科創股份有限公司 半導體裝置的平坦化

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JP3407289B2 (ja) 1991-05-13 2003-05-19 セイコーエプソン株式会社 電子放出装置およびその駆動方法
US5482803A (en) 1992-02-07 1996-01-09 Canon Kabushiki Kaisha Process for preparing filter
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
IL112826A (en) 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
JPH1130711A (ja) 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
AU1409201A (en) 1999-12-24 2001-07-09 Ivoclar Vivadent Ag Atraumatic approximal space dilator
JP2004502554A (ja) * 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ ナノシリンダー・アレイ
JP3623173B2 (ja) 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 圧力ジャンプを用いるミクロ相分離ポリマー構造体の製造方法
TW501181B (en) 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
KR20030029053A (ko) 2001-04-05 2003-04-11 아치 스페셜티 케미칼즈, 인코포레이티드 포토레지스트용 퍼플루오로알킬설폰산 화합물
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6852619B2 (en) 2002-05-31 2005-02-08 Sharp Kabushiki Kaisha Dual damascene semiconductor devices
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
WO2004074242A2 (en) 2003-02-19 2004-09-02 Ciba Specialty Chemicals Holding Inc. Halogenated oxime derivatives and the use thereof as latent acids
US6846748B2 (en) 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP4074593B2 (ja) 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
JP2008506749A (ja) 2004-07-20 2008-03-06 チバ スペシャルティ ケミカルズ ホールディング インコーポレーテッド オキシム誘導体および潜在酸としてのそれらの使用
JP2006055982A (ja) * 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2007100191A (ja) 2005-10-06 2007-04-19 Horiba Ltd 単分子膜形成装置及び単分子膜形成方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
EP1957282B1 (en) 2005-12-02 2013-04-10 Canon Kabushiki Kaisha Liquid discharge head producing method
FR2894715B1 (fr) * 2005-12-09 2008-02-22 Xbybus Soc Par Actions Simplif Procede de fabrication de composant silicium et/ou germanium sur isolant
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (ja) 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物およびレジストパターン形成方法
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR100876836B1 (ko) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR101291223B1 (ko) * 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7803521B2 (en) * 2007-11-19 2010-09-28 International Business Machines Corporation Photoresist compositions and process for multiple exposures with multiple layer photoresist systems
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
US8999492B2 (en) * 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
KR20100110358A (ko) * 2008-02-08 2010-10-12 램 리써치 코포레이션 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
EP2276797B1 (en) * 2008-05-09 2012-11-21 Basf Se Polymer encapsulated colourants by spray drying
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2010115832A (ja) 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US20100193898A1 (en) 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
EP2464300B1 (en) 2009-08-13 2014-08-27 Cork Institute Of Technology Intramedullary nails for long bone fracture setting
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
JP5524228B2 (ja) 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US20110206905A1 (en) 2010-02-05 2011-08-25 The Governors Of The University Of Alberta Method for forming a block copolymer pattern
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US9011978B2 (en) 2010-02-26 2015-04-21 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US20110232677A1 (en) 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8338806B2 (en) 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5296022B2 (ja) 2010-08-09 2013-09-25 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
KR20140024256A (ko) 2010-11-24 2014-02-28 다우 코닝 코포레이션 블록 공중합체의 형태 제어
US10538859B2 (en) 2010-12-23 2020-01-21 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
JP5484373B2 (ja) 2011-02-14 2014-05-07 東京エレクトロン株式会社 パターン形成方法
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
US9285676B2 (en) 2011-06-23 2016-03-15 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
US8956804B2 (en) 2011-06-23 2015-02-17 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
NL2009002A (en) 2011-07-18 2013-01-21 Asml Netherlands Bv Method for providing a template for a self-assemblable polymer for use in device lithography.
US9718250B2 (en) 2011-09-15 2017-08-01 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
CN103187245B (zh) 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5979660B2 (ja) * 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
JP2013183014A (ja) * 2012-03-01 2013-09-12 Toshiba Corp パターン形成方法
JP6284925B2 (ja) * 2012-04-16 2018-02-28 ブルーワー サイエンス アイ エヌ シー. 誘導自己組織化用のケイ素系ハードマスク層
US8900941B2 (en) 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
KR20130124861A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) * 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
JP5764102B2 (ja) * 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
US9034197B2 (en) * 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
JP6088800B2 (ja) * 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
US8790522B1 (en) * 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US8900467B1 (en) * 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (ja) * 2013-07-16 2015-02-02 株式会社東芝 パターン形成方法及びマスクパターンデータ
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9053923B2 (en) * 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150130540A (ko) * 2013-03-15 2015-11-23 에이에스엠엘 네델란즈 비.브이. 블록 공중합체의 자가-조립에 의해 기판에 이격된 리소그래피 피처들을 제공하는 방법들
KR20180033085A (ko) 2016-09-23 2018-04-02 에스케이이노베이션 주식회사 블록 공중합체를 이용한 미세 패턴의 형성 방법
KR20180033084A (ko) 2016-09-23 2018-04-02 에스케이이노베이션 주식회사 플루오린기를 함유하는 이중블록 공중합체
US10047182B2 (en) 2016-09-23 2018-08-14 Sk Innovation Co., Ltd. Method of forming fine patterns using block copolymer
US10087270B2 (en) 2016-09-23 2018-10-02 Sk Innovation Co., Ltd. Diblock copolymer containing fluorine group

Also Published As

Publication number Publication date
JP6225269B2 (ja) 2017-11-01
TWI609242B (zh) 2017-12-21
US20150111386A1 (en) 2015-04-23
JP6303021B2 (ja) 2018-03-28
KR101845180B1 (ko) 2018-04-03
US9418860B2 (en) 2016-08-16
WO2015058200A1 (en) 2015-04-23
TW201532140A (zh) 2015-08-16
TWI557798B (zh) 2016-11-11
US20160268132A1 (en) 2016-09-15
US20150111387A1 (en) 2015-04-23
US9412611B2 (en) 2016-08-09
JP2016541125A (ja) 2016-12-28
TW201527892A (zh) 2015-07-16
JP2017500754A (ja) 2017-01-05
KR20160073410A (ko) 2016-06-24
US9715172B2 (en) 2017-07-25
WO2015058202A1 (en) 2015-04-23
KR101915370B1 (ko) 2018-11-05
US20150108087A1 (en) 2015-04-23
US9349604B2 (en) 2016-05-24

Similar Documents

Publication Publication Date Title
KR101845180B1 (ko) 그래포-에피택셜 애플리케이션에서의 블록 공중합체들의 유도성 조립에 대한 토포그래피의 사용
KR101926298B1 (ko) 집적 회로 패터닝 방법
JP6726826B2 (ja) ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法
EP2379441B1 (en) Directed self-assembly of block copolymers using segmented prepatterns
KR100811431B1 (ko) 반도체 소자의 제조 방법
US5972794A (en) Silicon stencil mask manufacturing method
US20130133825A1 (en) Pattern formation method and polymer alloy base material
CN107210197A (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
TW201011812A (en) A lithography method
JP6737991B2 (ja) オープンフィーチャ内に誘電体分離構造を作成するサブトラクティブ法
JP2017506428A (ja) 原子層堆積を用いずに自己整合ダブルパターニングを行う方法
US6849389B2 (en) Method to prevent pattern collapse in features etched in sulfur dioxide-containing plasmas
Posseme Plasma Etching Processes for CMOS Devices Realization
TW505976B (en) Method for forming micro-pattern of semiconductor device
KR20080061651A (ko) 반도체 소자의 형성방법
Moinuddin et al. Functionalized Ag nanoparticles embedded in polymer resists for high-resolution lithography
KR101789921B1 (ko) 나노 박막 패턴 구조물의 제조 방법
JP5782460B2 (ja) 材料除去及びパターン転写の方法及びシステム
US8361564B2 (en) Protective layer for implant photoresist
Engelmann et al. Patterning of CMOS device structures for 40-80nm pitches and beyond
KR102545448B1 (ko) 오정렬 에러 보호를 포함하는 패터닝 방법
US9685330B1 (en) Manufacturing method of semiconductor device
US7482225B2 (en) Method of fabricating floating gate of flash memory device
JP2005223118A (ja) 超臨界処理方法
JP2014045132A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant