TWI479097B - Vacuum valve - Google Patents

Vacuum valve Download PDF

Info

Publication number
TWI479097B
TWI479097B TW098132024A TW98132024A TWI479097B TW I479097 B TWI479097 B TW I479097B TW 098132024 A TW098132024 A TW 098132024A TW 98132024 A TW98132024 A TW 98132024A TW I479097 B TWI479097 B TW I479097B
Authority
TW
Taiwan
Prior art keywords
valve
vacuum
unit
valve stem
guiding unit
Prior art date
Application number
TW098132024A
Other languages
English (en)
Other versions
TW201020437A (en
Inventor
Florian Ehrne
Thomas Blecha
Original Assignee
Vat Holding Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vat Holding Ag filed Critical Vat Holding Ag
Publication of TW201020437A publication Critical patent/TW201020437A/zh
Application granted granted Critical
Publication of TWI479097B publication Critical patent/TWI479097B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/18Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members
    • F16K3/182Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members by means of toggle links

Description

真空閥
本發明係關於一種真空閥,包含一壁殼,其具一閥門開口,該閥門開口被一閥座圍繞,至少一閥板裝設於真空閥之一真空區內,閥板可由一開放位置沿縱向切換成一中間位置,及由其中間位置沿與縱向成直角之橫向切換成關閉位置,於該開放位置上,閥板不遮蔽閥門開口,而於該中間位置上,閥板遮蔽閥門開口,但被閥座頂起,於關閉位置上,閥板壓於閥座上,且遮蔽閥門開口;至少一閥桿,承載閥板,且被導引出真空閥之真空區,且可相對於平行於閥桿縱軸之壁殼於縱向滑動,及可相對於壁殼於橫向平行滑動;一縱向驅動裝置,其設於真空閥之真空區外,驅動閥桿,使閥桿可於縱向滑動,使閥板於開放位置及中間位置間切換;及一橫向驅動裝置,其設於真空閥真空區之外,驅動閥桿於橫向滑動,使閥板於中間位置及關閉位置間切換。
此類真空閥亦稱為L-閥,具眾多習知構造方式。於一常見之非此類之構造方式中,閥桿安裝成可對一轉軸樞轉,該轉軸對縱向成直角,亦對橫向成直角,閥桿樞轉使閥板由其中間位置切換成其關閉位置,或反向切換。此類設計例如美國專利案US 6,431,518 B1所揭露。構成縱向驅動之活塞-閥缸-單元之閥缸可與閥桿共同樞轉,且另一活塞-閥缸-單元負責閥缸與閥桿之樞轉。於美國專利案US 6,416,037 B1中亦揭露一類似裝置。一常見之構造方式為,閥桿之傾斜由一滑動導引經相同且產生縱向驅動之活塞-閥缸-單元組成,例如美國專利案US 6,966,538 B2,US 5,641,149 A,US 6,237,892 B1及US 6,619,618 B2中所揭露。
此類習知之L-閥係由閥桿之傾斜產生閥板於橫向之切換,其 缺點為,閥板之切換並不準確,亦非平行,而是近似於橫向進行,導致閥板相對於閥座之密封墊負荷升高。
另一習知閥門稱為J-閥,其閥板於閥座上之動作大幅斜向橫方向進行。
另有一習知之L-閥,其閥板由中間位置切換至其關閉位置係藉一於橫方向之直線滑動產生。例如申請人於美國專利案US 2007/0272888 A1中揭露之一真空閥,其閥板藉一活塞-閥缸-單元可於橫方向相對於一承載單元滑動。該承載單元裝設於閥桿上,其被另一活塞-閥缸-單元於縱向推動。此裝置除用於真空閥外,亦可用於門上,用於門上時,閥板由外關閉真空室一壁殼上之一開口,因而可位於真空區外。於美國專利案US 6,899,316 A中揭露一類似之真空閥,其具活塞-閥缸-單元,用以推動閥桿做縱向滑動,該閥桿支撐一承載單元,而用以推動閥板相對於承載單元做橫向滑動。
於美國專利案US 2008/0017822 A1中揭露一L-閥,其閥板裝設於一閥桿上,該閥桿被導引成可於縱向滑動,且藉一活塞-閥缸-單元可於縱向移動。於一實施例中,藉一設計成線性滑軌之導引裝置,該活塞-閥缸-單元被導引成可於橫向滑動,使活塞桿可相對於具閥門開口之壁殼於橫向滑動。一集成於閥板中之活塞-閥缸-單元負責將閥板由其中間位置切換成其關閉位置。於另一實施例中,橫向驅動裝置由一位於真空區外之活塞-閥缸-單元構成,該驅動裝置作用於縱向驅動裝置之活塞-閥缸-單元之閥缸上。此設計特別適於閥板僅由壓差推動之場合,該壓差將閥板壓至閥座上。於此情況下,不可施加過大將閥板壓至閥座上之關閉力。傳遞較大之關閉力將對本說明書所述之橫向導引造成困難。
本發明之目的係提出一種前述閥門類型中之改良真空閥,同時能適用於壓差雙向作用於閥板上之情形。本發明之目的係藉具申請專利範圍第1項特徵之真空閥而達成。
於本發明之真空閥,閥桿一方面可於縱向相對於具有閥門開口之壁殼滑動,其滑動之縱向與閥桿縱軸平行,而另一方面,則於對縱軸成直角之橫向,相對於具閥門開口之壁殼做平行滑動。閥桿之縱向驅動裝置及橫向驅動裝置位於真空閥之真空區外。真空閥之真空區外另設有一載體單元,該載體單元導引一導引單元,使其可於橫向滑動,而該導引單元又使閥桿可於縱向滑動,該導引單元同樣位於真空閥之真空區以外。導引單元係以整體方式,亦即以其全長,相對於載體單元於橫向滑動。
載體單元可藉此位於真空區外且與壁殼剛性連接之載體單元,以可滑動之方式裝設導引單元,可改善傳至閥板之力量傳遞,使此類縱向驅動裝置與橫向驅動裝置皆位於真空區外之L-閥設計成得以承受雙向作用於閥板上之壓力差。縱向驅動裝置與橫向驅動裝置皆位於真空區外之設計產生一有利且方便維護之構造。
較佳之作法係,使載體單元容納導引單元,且容許導引單元於橫向直線滑動,具位於導引單元縱向兩側之部分。此處導引單元至少局部,但最好完全裝設於載體單元一容室中,且於該容室中被載體單元以可滑動之方式導引。導引單元自成一機架,其被載體單元導引,並可於橫向直線滑動。
縱向驅動裝置最好具至少一活塞-閥缸-單元。裝設活塞之閥缸內腔最好能於導引單元中形成。但亦可提供一與導引單元剛性連接之閥缸。活塞-閥缸-單元中活塞之閥桿可直接構成於真空區為支撐閥板之閥桿,但亦可為一與閥桿連接之分離活塞桿。
橫向驅動裝置負責推動閥桿於橫向滑動,其施加力最好透過 導引單元作用於閥桿上,該作用可直接或透過至少一傳動臂進行。橫向驅動裝置可例如以至少一活塞-閥缸-單元之形式構成。
於本發明之一可能實施例中,橫向驅動裝置至少具一驅動部,其可於縱向滑動,驅動部經由至少一傳動臂與導引單元連接,該傳動臂將驅動部之縱向運動轉換為導引單元於橫向之運動。較佳之作法為,該驅動部或某驅動部於其縱向互相面對之兩邊各經一傳動臂與導引單元連接,以產生導引單元於橫向之平行滑動。換言之,傳動臂構成導引單元之平行導引。此處之傳動臂可為例如操縱桿,以可樞轉之方式與驅動部及導引單元連接,共同構成一平行四邊形導引機構。此處橫向驅動裝置中具驅動部之致動器,可以整體方式被導引為可相對於承載單元於縱向滑動,並支拄於承載單元上,例如藉由一可相對於載體單元滑動之平板式滑動部,而致動器裝設於該滑動部上。依此方式可產生一極高之力,以抗拒閥桿對縱向之傾斜,使閥板於關閉位置上以極高之關閉力壓迫於閥座上。
於本發明之一較佳實施例中,閥桿於開放位置、中間位置及關閉位置皆於其遠離閥板之側由導引單元突出,並於閥板之關閉位置支拄於一橫向擋塊上,該橫向擋塊安裝於載體單元上,產生反對閥桿於橫向滑動之作用力。此處,閥桿或一與閥桿連接之部分支拄於橫向擋塊上,該支拄點位於說橫向而言比較近閥座之閥桿側或該橫向擋塊之連接部分側。於閥板之關閉位置上,閥板所接觸之載體單元之橫向擋塊以及閥座之橫向擋塊構成兩個支撐座,支撐由閥桿及閥板形成之單元。這使閥桿之保持力由閥桿經由載體單元傳遞至具有閥門開口之壁殼上,該保持力使閥桿於閥板之關閉位置上保持對縱向平行。
以下將依據圖一至圖十四說明本發明之第一實施例。該真空閥包含一具一閥門開口2之壁殼1,該閥門開口具一軸3,並被一閥座4包圍,閥座於此實施例中由一密封面構成。設一閥板5以使閥門開口2做真空密閉式關閉,使真空閥進入關閉狀態(見圖九至圖十二)。於真空閥之開放狀態(見圖一至圖四)閥板5放開閥門開口2,閥板最好設於相對閥門開口2之軸3方向完全鄰近閥門開口2處。關閉真空閥時,由此閥板5之開放位置開始,閥板5可先於縱向6被推移,直至其擋住閥門開口2(由軸3方向觀看),此時閥板尚未被閥座4頂起。閥板5之此中間位置顯示於圖五至圖八。閥板由其開放位置滑移至其中間位置之全部切換行程係沿縱向6直線進行。其次,閥板由其中間位置開始,於與縱向成直角之橫向7上,亦即於對軸3平行之方向上,朝閥座4方向滑動,並壓於閥座4上,使閥門開口2密封。於閥板之此關閉位置(見圖九至圖十二)上,真空閥被關閉。由中間位置滑移至關閉位置之全部切換行程係沿橫向7直線進行。
於關閉位置上設一於閥板5上之彈性密封環,壓迫於構成密封面之閥座4上。真空閥之開放依相反順序進行,亦即,由閥板之關閉位置進入其中間位置,並進而進入其開放位置。
設於真空閥之真空區(=可抽真空之區)之閥板5附著於一閥桿12上,藉一伸縮管通道被導出真空閥之真空區,亦即閥桿之一段,即閥板5附著之段,位於真空區,而閥桿12之另一段位於真空區外。伸縮管通道由一於圖中僅以示意方式顯示之伸縮管13構成,可為例如一折疊伸縮管或薄膜伸縮管,以真空氣密方式一方面與閥桿12連接,另一方面與壁殼46連接,壁殼46與壁殼1剛性連接,並對壁殼1成一角度,最好是直角,成角度之連接區位於穿過壁殼46之開孔區,閥桿12由該開孔伸出。閥板5如圖 所示與閥桿12剛性連接,或其連接可具彈性,使閥板5於關閉位置時,閥板5於閥座4上有若干調適能力。閥板5與閥桿4間之彈性連接屬習知技術。
閥桿12之縱軸14平行於縱向6。閥板5於其開放位置與其中間位置之間切換時,閥桿12於縱向6相對於壁殼1滑動。閥板5於其關閉位置與其中間位置切換時,閥桿12於橫向7相對於壁殼1平行滑動。若不以伸縮管通道將閥桿由真空區導出,亦可採一推擠通道。一具通道開孔之推擠部使閥桿藉一密封墊,以氣密方式被導引。閥桿12因而可於縱向6相對於該推擠部滑動。該推擠部裝設成可於橫向7相對於壁殼46滑動,其間其係藉一密封墊對壁殼46保持氣密。推擠部形成一相對於壁殼46氣密且可於橫向7滑動之機架。此於二方向上,尤其二互相正交之方向上,具滑動性之推擠通道係屬習知技術。
一閥驅動器負責開放與關閉真空閥,其具一位於真空區外之縱向驅動裝置15,使閥桿12可於縱向滑動,及一同樣位於真空區外之橫向驅動裝置16,使閥桿可於橫向滑動。
於所示之實施例中,壁殼1構成閥殼體8之一部分,閥殼體另具一壁殼9,於實施例中與壁殼1相對,壁殼9另具一開孔10。當閥處於開放位置時,放開之閥門開口2及開孔10皆為穿過閥殼體8之貫穿通道之部分,於實施例中皆為直線通行。閥板5被容納於閥殼體8之內室11,內室11為真空閥之一真空區。
除上述構造外,壁殼1亦可為真空室之一部份(如以下根據圖十五及圖十六之說明)。此外,真空閥可構成一插入件,其中壁殼1被置入一真空室。請參考圖三十四,後面將根據圖三十四之示意圖說明第二實施例。
以下將詳細說明閥桿12於縱向與橫向6、7,及於縱向與橫向 驅動裝置15、16中之可滑動式安裝:
於真空閥之真空區外,一載體單元17與一壁殼1剛性連接。在所示之實施例中,載體單元17含一與壁殼1剛性連接,或與具壁殼1之閥殼體8剛性連接之驅動機殼18,驅動機殼具一容室19。於容室19中設一導引單元20,導引單元20被導引於容室19中,可於橫向7做直線滑動。閥桿12則被導引單元20導引,可於縱向6滑動。導引單元20之一基體23具一被閥桿12貫穿之貫穿通道,閥桿12藉導引套筒21、22可於塞體中朝縱向6滑動。導引單元20相對於載體單元17之滑動導引將於以下詳細說明。
於所示之實施例中,縱向驅動裝置15包含作為致動器之二活塞25,各設於導引單元20之基體23內之一缸內腔26中。缸內腔26被導引單元20之一缸蓋24關閉,缸蓋被連接於活塞桿27上之活塞25穿過。活塞桿27經一軛28與閥桿12固接,活塞25於缸內腔26中受壓力媒體,其最好為壓縮空氣,推動而滑動時,閥桿12隨同於縱向6移動。軛28與閥桿12連接時係經例如螺合,螺接於閥桿上。
橫向驅動裝置16含二具密封墊36之活塞29,設於缸內腔30中,作為致動器,缸內腔30係於導引單元20之基體23內形成。活塞29固接於活塞桿31中,於所示之實施例中,活塞桿31與載體單元17之驅動機殼18為一體。於此意義上,活塞29為載體單元17之部份。活塞桿31亦可由載體單元17之分離組件構成,而與載體單元17之驅動機殼18剛性連接。
於所示之實施例中,活塞29設計為單向作用之活塞。於活塞桿31側介於活塞29與驅動機殼18間之空間受壓力媒體充壓,則導引單元20連同閥桿12可相對於載體單元17於橫向7滑動,使閥板5由其關閉位置滑入其中間位置。於所示之實施例中,目前 是使用一彈簧裝置令導引單元20連同閥桿12及閥板5朝相反方向滑動。此彈簧裝置含許多於導引單元20與驅動機殼18間作用之螺旋彈簧32。螺旋彈簧32係安裝於一圍繞活塞桿31之圓環上(於圖十四中,為清楚起見,螺旋彈簧只對活塞桿31繪出)。螺旋彈簧32之其他配置法及/或使用他種彈簧作為此處之彈簧裝置,皆屬可行及可想而知。
當閥板5處於關閉位置,若無較大壓差作用於閥板5上,使閥板5由閥座4被推開,則由彈簧裝置施加於閥板5上之按壓力足以將閥板按壓在閥座4上,並使閥門開口2密封。此情況可能發生於,例如使用真空閥密封隔絕二真空室,而其中一真空室進行例如於半導體產業中之真空製程時。
當出現較大之壓差,足以使閥板5由閥座4被推開時,閥板5於閥座4上需較高之按壓力,此可附加對壓力室33及於所示之實施例中二此種壓力室33以壓力媒體充壓,特別係以壓縮空氣充壓,該壓力室33係設於導引單元之基體23與載體單元17之驅動機殼18間。壓力室33由密封墊34、35密封。
將閥板5壓向閥座4,或使閥板5由閥座4離開所需之較高壓差,可於例如二由真空閥連接之一真空室之充壓時出現,例如於維修時發生。
亦可不採用螺旋彈簧32或其他構造之彈簧。可以雙向作用之活塞29取代彈簧及/或壓力室33。
於活塞桿31及導引單元20之基體23間設置導引套筒37(比較圖四、圖八及圖十二),俾便相對於載體單元17導引導引單元20。密封墊34及/或密封墊35及/或密封墊36可設計成令其承擔導引功能。此時,亦可不用導引套筒37。
閥桿12於遠離閥板5之導引單元20側由導引單元20突出, 於閥板5處於關閉位置時,於此突出於導引單元20之部分,與一設於載體單元17之驅動機殼18上之橫向擋塊38互相作用,最好如圖所示於閥桿12之端部區互相作用。於閥板5之開放位置及於閥板5之中間位置,閥桿12與擋塊38保持距離。閥板5由中間位置行走至關閉位置時,閥桿12開始接觸橫向擋塊38,其接觸時機最好與閥板5接觸閥座4同時。因而閥桿12支拄於壁殼1上或支拄於一與壁殼1剛性連接之部分上,其支拄位置於橫向驅動裝置16接觸區兩側之閥桿12上,該驅動裝置之接觸區位於閥桿12可滑動安裝區之導引單元20對面。此設計能以簡單方式傳遞閥板5於閥座4上所需之按壓力,而不必由閥桿12之縱向導引及導引單元20之橫向導引接受過大之傾斜力。
縱向驅動裝置15及/或橫向驅動裝置16亦或多或少具備如所示之二活塞25及29。若對縱向驅動裝置15之活塞25及/或若對橫向驅動裝置16之活塞29不採用缸內腔26及30,作為導引單元20之基體23中之內腔,則亦可採用分離之缸,其與導引單元做剛性連接。反向裝置缸與活塞亦屬可行及可想而知。因而縱向驅動裝置15之活塞可與導引單元20做剛性連接,且此活塞之缸與閥桿12連接,及/或橫向驅動裝置16之活塞29與導引單元20做剛性連接,及此活塞之閥缸與載體單元17剛性連接或以缸內腔之形式成型於載體單元17內。
於圖十五及十六所示之變化例中,閥驅動器、閥桿12及與其相連之閥板5與圖一至圖十四中所示者完全相同。此變化例不同處僅於,此處真空閥具閥門開口2之壁殼1為圖十五及圖十六僅部分繪出之真空室39之一部分。閥板5位於真空室39內部,當真空室被抽真空時,真空室代表閥之一真空區。閥桿12穿過壁殼46上之開孔,由真空室39之真空區被導出,壁殼46於圖十五及 十六中係分離之組件,經一凸緣與真空室39連接,凸緣位於真空室39中一開孔附近區域。打開該凸緣連接,壁殼46連同附著於其上之閥驅動器,及連同閥桿及閥板5可被取下。
以下將根據圖十七至圖三十四說明本發明之第二實施例。
所有於本發明第一實施例說明之前五段中對第一實施例所做之說明(亦即於圖式之簡單說明後,以「本發明之第一實施例」…起頭之句),對第二實施例完全適用,類似組件仍用相同參考數字代表。
與第一實施例一不同處為,此處壁殼1-開孔不算-並非封閉閥殼體之一部分。真空閥主要係作為插入件插入一真空室42中,如圖三十四以示意方式所示。壁殼1於閥座4對面之側具一圍繞閥門開口2之彈性密封墊40,於圍繞真空室42之一開孔43區域將壁殼1對真空室之壁殼41密封隔離。壁殼1對壁殼46成直角,而閥桿12穿過壁殼46之開孔,其間閥桿12被包含伸縮管13之伸縮管通道以真空氣密方式由真空閥之真空區導出,具一圍繞此開孔之彈性密封墊47,以於包圍壁殼44之開孔45及包圍開孔60之區域,將壁殼46對真空室之壁殼44密封隔離。圖示中之螺栓61係用以將壁殼1固定於真空室42上。
若不將真空閥設計成於真空室42中置入插入件之形式,亦可令真空閥具一閥殼體,閥殼體之構造與圖一至圖十四中所示者完全相同。同樣亦可令壁殼1如圖十五及十六所示,及依此二圖所做之說明,成為真空室之一部分。
以下將詳細說明閥桿12於縱向與橫向6、7,及在縱向與橫向驅動裝置15、16中之可滑動式安裝:
一設於真空區外之載體單元17’與一壁殼1剛性連接,該載體單元17’具一驅動機殼18’,驅動機殼18’具一容室19’。閥桿12裝設於導引單元20’中,可於縱向6滑動,導引單元20’本身設於容室19’中,且被載體單元17’導引,可於橫向7做直線滑動。導引套筒48負責滑動導引,其被收容於驅動機殼18’之套筒容室49中,並伸入導引軸頸50,導引軸頸50剛性設於導引單元20’之一基體23’上,或與基體23’一體成型。導引軸頸50及導引套筒48之軸平行於橫向。於所示之實施例中有二導引套筒48,於對橫向成直角與對縱向成直角之方向上保持距離,並被容納於套筒容室49中,於導引套筒48中各有一導引軸頸50以可滑動之方式被導引。於圖三十一中所示之導引套筒48之一係處於由導引軸頸50下降且由導引套筒48抽出之狀態。另一導引套筒於圖三十二中係處於被裝設於導引軸頸50上之狀態。亦可只具一可於導引套筒48中滑動之導引軸頸50,或超過二此類被滑動導引之導引軸頸50。
縱向驅動裝置15’具一活塞25’,作為致動器,其設於導引單元20’之基體23’之缸內腔26’中。活塞25’設於閥桿12上,使閥桿成為縱向驅動裝置15’之活塞-閥缸-單元之活塞桿。缸內腔26’於遠離閥桿12之閥板側端部側被一缸蓋24’關閉,缸蓋設計成套筒狀,且以可滑動之方式導引閥桿12。於活塞25’之另一側,閥桿12於其穿過導引單元20’之基體23’中之一開孔之區域同樣被導引單元20’導引,可於縱向6滑動,使閥桿12之縱軸14平行於縱向6。
橫向驅動裝置16’含二於縱向6可滑動之驅動部51,於所示之實施例中係各活塞-閥缸-單元之活塞,構成橫向驅動裝置 16’之致動器。同樣亦可例如採用至少一電磁線性驅動器作為致動器。設計成活塞形式之驅動部51裝設於缸內腔30’中,缸內腔則設於載體單元17’之驅動機殼18’內。缸內腔30’兩側被缸蓋52、53封閉。若於驅動機殼18’內不採用缸內腔30’之設計,亦可為載體單元17’採用分離之閥缸,其與驅動機殼18’剛性連接。一活塞桿31’突出於各驅動部51兩邊,並由缸內腔30’兩邊突出。於由缸內腔30’突出之兩邊端部上為活塞桿31’,各經由一傳動臂54、55與導引單元20’連接。傳動臂係為操縱桿,一方面以可樞轉方式與活塞桿31’連接,另一方面以可樞轉方式與導引單元20’連接,此樞轉軸對橫向7成直角,對縱向6亦成直角。為與導引單元20’做樞轉式連接,可如圖示採用樞轉螺栓56、57,樞轉螺栓穿過軸承腔,而傳動臂54、55突入軸承腔。
當閥板5於開放位置及於中間位置時,傳動臂54、55對橫向7成一角度。經一壓力媒體,最好為壓縮空氣,對缸內腔30’對應之缸室充壓,使驅動部51縱向滑移,對橫向7之角度略為變小,使導引單元20’相對於載體單元17’於橫向7滑移。
設置一可於載體單元17’上滑動之滑動部58,用以傳遞力量至載體單元17’,該力量係於閥板5處於關閉位置時,由橫向驅動裝置發出,以將閥板5壓迫於閥座4上為目的。滑動部與驅動部51兩側之活塞桿31’連結,例如圖示中,於活塞桿31’與傳動臂54、55連接之區域進行連結。因而,驅動部51相對於載體單元17’做縱向滑動時,滑動部58連帶滑動。設滾動軸承59以導引滑動部58相對於載體單元17’滑動。若採用滑動軸承,亦屬可行及可想而知。於閥板5處於關閉位 置時,活塞桿31’透過滑動部58支拄於載體單元17’上。力量傳遞因而無須經活塞桿31’之滑動導引。但可行且可想而知的係,不用滑動部58,而使力量由活塞桿31’經活塞桿之滑動導引傳遞至載體單元17’上。
若活塞式驅動部51不設於載體單元17’之驅動機殼18’內之缸內腔26中,可行且可想而知之替代方案為,採用分離但與驅動機殼18’剛性連接之閥缸。
可行且可想而知的係,橫向驅動裝置16’具多於或少於兩個驅動部51。
傳動臂54、55亦可不設計成可樞轉之搖臂,可行且可想而知的係,例如,設計成楔形元件,用以使導引單元20’相對於載體單元17’做平行滑動。
使用一彈簧裝置,將驅動部51向一方向壓迫,亦屬可行且可想而知。
縱向驅動裝置15’亦可具一個或多個分離之活塞-閥缸-單元作為致動器,其活塞與閥桿12連接。
於第二實施例中同樣可行且可想而知的係,於閥板5處於關閉位置時,閥桿12於遠離閥板5側一由導引單元20’突出之部分接觸於載體單元17’之一橫向擋塊上。此種設計,於閥桿12偏離對縱向6之平行時,可降低縱向及橫向導引為抗拒該閥桿偏離所施加之力量。
於第一實施例中,可以如前述說明,採用一設計成插入件之閥體,其包含一壁殼1,壁殼1上為閥門開口2。根據圖三十四所做之說明對此一設計變化例亦同樣成立。
於第一(圖一至圖十六)及第二(圖十七至圖三十四)實施例中,均至少以下可行及可想而知之修改:
彈性密封墊亦可敷設於閥座4上,且於閥板5上有一與彈性密封墊互相作用之密封面。
承載閥板5之閥桿12之數目亦可多於一個。
被單一或多個閥桿12承載之閥板5之數目亦可多於一個。
並未針對不同,但最好為氣壓之活塞-閥缸-單元逐一詳細說明其壓力媒體導管。於截面圖中局部可見之鑽孔,即為壓力媒體之通道。除活塞-閥缸-單元外,其他致動器亦可用於真空閥之縱向及/或橫向驅動。
1‧‧‧壁殼
2‧‧‧閥門開口
3‧‧‧軸
4‧‧‧閥座
5‧‧‧閥板
6‧‧‧縱向
7‧‧‧橫向
8‧‧‧閥殼體
9‧‧‧壁殼
10‧‧‧開孔
11‧‧‧內室
12‧‧‧閥桿
13‧‧‧伸縮管
14‧‧‧縱軸
15,15’‧‧‧縱向驅動裝置
16,16’‧‧‧橫向驅動裝置
17,17’‧‧‧載體單元
18,18’‧‧‧驅動機殼
19,19’‧‧‧容室
20,20’‧‧‧導引單元
21‧‧‧導引套筒
22‧‧‧導引套筒
23,23’‧‧‧基體
24,24’‧‧‧缸蓋
25,25’‧‧‧活塞
26,26’‧‧‧缸內腔
27‧‧‧活塞桿
28‧‧‧軛
29‧‧‧活塞
30,30’‧‧‧缸內腔
31,31’‧‧‧活塞桿
32‧‧‧螺旋彈簧
33‧‧‧壓力室
34‧‧‧密封墊
35‧‧‧密封墊
36‧‧‧密封墊
37‧‧‧導引套筒
38‧‧‧橫向擋塊
39‧‧‧真空室
40‧‧‧密封墊
41‧‧‧壁殼
42‧‧‧真空室
43‧‧‧開孔
44‧‧‧壁殼
45‧‧‧開孔
46‧‧‧壁殼
47‧‧‧密封墊
48‧‧‧導引套筒
49‧‧‧套筒容室
50‧‧‧導引軸頸
51‧‧‧驅動部
52‧‧‧缸蓋
53‧‧‧缸蓋
54‧‧‧傳動臂
55‧‧‧傳動臂
56‧‧‧樞轉螺栓
57‧‧‧樞轉螺栓
58‧‧‧滑動部
59‧‧‧滾動軸承
60‧‧‧開孔
61‧‧‧螺栓
以下將根據所附圖式對本發明之其他優點與細節進一步說明。圖中所示為:圖一 本發明第一實施例之一真空閥之視圖,閥板處於開放位置;圖二至圖四 沿圖一中切線AA、BB及CC所見之截面圖;圖五 相當於圖一之視圖,其中閥板處於中間位置;圖六至圖八 沿圖五中線AA、BB及CC所見之截面圖;圖九 相當於圖一之視圖,其中閥板處於關閉位置;圖十至圖十二 沿圖九中切線AA、BB及CC所見之截面圖;圖十三 真空閥驅動器之斜視圖,其中之閥桿及與 閥桿連接之閥板相當於本發明之第一實施例;圖十四 相當於圖十三之一斜視圖,閥之部分以分解方式顯示;圖十五 相當於本發明第一實施例之真空閥之另一修改實施例之視圖;圖十六 沿圖十五中切線AA所見之截面圖;圖十七 本發明第二實施例之真空閥之一視圖,其中閥板處於開放位置(閥桿之伸縮管通道之伸縮管未圖示);圖十八至圖二十 沿圖十七中切線AA、BB及CC所見之截面圖(含閥桿之伸縮管通道之伸縮管);圖二十一 圖十七中之閥門視圖,其中閥板處於中間位置;圖二十二至圖二十四 沿圖二十一中切線AA、BB及CC所見之截面圖;圖二十五 圖十七中之閥門視圖,其中閥板處於關閉位置;圖二十六至圖二十八 沿圖二十五中切線AA、BB及CC所見之截面圖;圖二十九 依第二實施例之真空閥下視圖;圖三十 依第二實施例之真空閥斜視圖;圖三十一 與相當於圖三十之視線相同之斜視圖,真空閥之部分以分解方式表示;圖三十二 相當於圖三十一,但顯示另一視線方向所見之視圖; 圖三十三 閥驅動器之視圖,具閥桿(忽略伸縮管通道之伸縮管),局部以截面顯示;圖三十四 閥之示意圖,顯示其於一真空室中之組裝情形;圖式係以部分簡化之方式表示。故其中連接凸緣以簡化方式表示,例如部分螺絲孔並未畫出,且連接螺絲部分並未顯示。
5‧‧‧閥板
6‧‧‧縱向
7‧‧‧橫向
12‧‧‧閥桿
13‧‧‧伸縮管
14‧‧‧縱軸
17‧‧‧載體單元
18‧‧‧驅動機殼
19‧‧‧容室
20‧‧‧導引單元
23‧‧‧基體
24‧‧‧缸蓋
25‧‧‧活塞
27‧‧‧活塞桿
28‧‧‧軛
29‧‧‧活塞
30‧‧‧缸內腔
31‧‧‧活塞桿
32‧‧‧螺旋彈簧
33‧‧‧壓力室

Claims (13)

  1. 一種真空閥,包含一壁殼(1),其具一閥門開口(2),該開口被一閥座(4)圍繞;至少一閥板(5)裝設於真空閥之一真空區內,閥板可由一開放位置沿縱向(6)切換成一中間位置,及由其中間位置沿與縱向(6)成直角之橫向(7)切換成關閉位置,於該開放位置上,閥板不遮蔽閥門開口(2),而於中間位置上,閥板遮蔽閥門開口(2)但被閥座(4)頂起,於關閉位置上,閥板壓於閥座(4)上且遮蔽閥門開口(2);至少一閥桿(12),承載閥板(5),閥桿被導引出真空閥之真空區,且可相對於平行於閥桿(12)縱軸(14)之壁殼(1)於縱向(6)滑動,及可相對於殼壁於橫向(7)平行滑動;一縱向驅動裝置(15、15’),其設於真空閥之真空區外,驅動閥桿(12)於縱向(6)滑動,使閥板(5)於開放位置及中間位置間切換;及一橫向驅動裝置(16、16’),其設於真空閥之真空區外,驅動閥桿(12)於橫向(7)滑動,使閥板(5)於中間位置及關閉位置間切換;其特徵為,具一位於真空閥之真空區外,與壁殼(1)剛性連接之載體單元(17、17’),該載體單元於橫向(7)上滑動導引一導引單元(20、20’),而該導引單元於縱向(6)上滑動導引一閥桿(12);及於閥板(5)之關閉位置上,閥桿(12)之一段於遠離閥板(5)之側由導引單元(20,20’)突出,支拄於橫向擋塊(38)上。
  2. 根據申請專利範圍第1項所述之真空閥,其特徵為,該載體 單元(17、17’)具縱向(6)位於導引單元(20、20’)二端之部分。
  3. 根據申請專利範圍第2項所述之真空閥,其特徵為,該導引單元(20、20’)至少局部裝設於載體單元(17、17’)之一容室(19、19’)中,且於容室中被導引成可於橫向(7)滑動。
  4. 根據請專利範圍第1項至3項中任一項所述之真空閥,其特徵為,縱向驅動裝置(15、15’)至少具一與閥桿(12)連接之活塞(25、25’),其裝設於缸內腔(26、26’)中。
  5. 根據申請專利範圍第4項所述之真空閥,其特徵為,缸內腔(26、26’)形成於導引單元(20、20’)中。
  6. 根據申請專利範圍第1項所述之真空閥,其特徵為,橫向驅動裝置(16、16’)為推動閥桿(12)於橫向(7)滑動而施加之力係經由導引單元(20、20’)作用於閥桿(12)上,而導引單元(20、20’)係以挾帶閥桿(12)之方式相對於載體單元(17、17’)於橫向(7)滑動。
  7. 根據申請專利範圍第6項所述之真空閥,其特徵為,橫向驅動裝置(16’)至少具一可於縱向(6)滑動之驅動部(51),該驅動部經至少一傳動臂(54、55)與導引單元(20’)連接。
  8. 根據申請專利範圍第7項所述之真空閥,其特徵為,為使導引單元(20’)朝橫向(7)平行滑動,該至少一驅動部(51)於以縱向(6)而言之驅動部(51)之二側各經一傳動臂(54、55)與導引單元(20’)連接。
  9. 根據申請專利範圍第8項所述之真空閥,其特徵為,傳動臂(54、55)由操縱桿構成,該操縱桿以可樞轉之方式與導引單元(20’)連接,及以可樞轉之方式與驅動部(51)連接, 或與一與驅動部(51)剛性連結之部分連接。
  10. 根據申請專利範圍第6項所述之真空閥,其特徵為,橫向驅動裝置(16)至少具一活塞(29),該活塞裝設於缸內腔(30)中,缸內腔(30)則係形成於導引單元(20)內。
  11. 根據申請專利範圍第10項所述之真空閥,其特徵為,於導引單元(20)及載體單元(17)間提供壓力室(33),藉一被引入壓力室(33)之壓力媒體對導引單元(20)朝橫向(7)施壓。
  12. 根據申請專利範圍第11項所述之真空閥,其特徵為,於導引單元(20、20’)及載體單元(17、17’)間設置一彈簧裝置,用以對導引單元(20、20’)朝橫向施壓。
  13. 根據申請專利範圍第4項所述之真空閥,其特徵為,直接裝設於閥桿上之縱向驅動裝置(15’)之活塞(25’)兩側之閥桿(12)被導引單元(20’)滑動導引。
TW098132024A 2008-09-29 2009-09-23 Vacuum valve TWI479097B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008049353A DE102008049353A1 (de) 2008-09-29 2008-09-29 Vakuumventil

Publications (2)

Publication Number Publication Date
TW201020437A TW201020437A (en) 2010-06-01
TWI479097B true TWI479097B (zh) 2015-04-01

Family

ID=41401544

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098132024A TWI479097B (zh) 2008-09-29 2009-09-23 Vacuum valve

Country Status (7)

Country Link
US (1) US8672293B2 (zh)
JP (1) JP5490124B2 (zh)
KR (1) KR101726452B1 (zh)
CN (1) CN102165232B (zh)
DE (1) DE102008049353A1 (zh)
TW (1) TWI479097B (zh)
WO (1) WO2010034046A1 (zh)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008061315B4 (de) 2008-12-11 2012-11-15 Vat Holding Ag Aufhängung einer Ventilplatte an einer Ventilstange
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8833383B2 (en) 2011-07-20 2014-09-16 Ferrotec (Usa) Corporation Multi-vane throttle valve
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102425541B (zh) * 2011-12-20 2013-12-04 无锡威孚精密机械制造有限责任公司 恒功率阀
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101375280B1 (ko) * 2012-04-06 2014-03-17 프리시스 주식회사 게이트 밸브
US20130276905A1 (en) * 2012-04-19 2013-10-24 Vat Holding Ag Valve housing for a vacuum valve
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8960641B2 (en) * 2012-11-14 2015-02-24 Vat Holding Ag Vacuum valve
EP2740979A1 (de) 2012-12-05 2014-06-11 VAT Holding AG Vakuumventil
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP2749798B1 (de) 2012-12-27 2016-03-02 VAT Holding AG Vakuumschieberventil
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2781813A1 (de) * 2013-03-21 2014-09-24 VAT Holding AG Vakuumventil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
KR20160129017A (ko) 2014-03-18 2016-11-08 배트 홀딩 아게 밸브 플런저
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) * 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI656293B (zh) 2014-04-25 2019-04-11 瑞士商Vat控股股份有限公司
JP6584829B2 (ja) * 2014-07-04 2019-10-02 バット ホールディング アーゲー バルブ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN107110402B (zh) 2014-12-19 2019-10-25 Vat控股公司 用于对真空室的室壁中的室开口进行封闭的门
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN107407443B (zh) * 2015-03-09 2019-05-10 Vat控股公司 真空阀
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107429857B (zh) 2015-03-27 2019-05-17 Vat控股公司 真空阀
KR101725251B1 (ko) * 2015-05-04 2017-04-11 프리시스 주식회사 진공밸브
WO2016206966A1 (de) 2015-06-22 2016-12-29 Vat Holding Ag Steuerungsvorrichtung für eine pneumatische kolben-zylinder-einheit zum verstellen eines verschlussgliedes eines vakuumventils
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
CN107923418B (zh) 2015-08-10 2021-02-26 Vat控股公司 气动的阀驱动装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR101784839B1 (ko) * 2015-09-25 2017-11-06 프리시스 주식회사 양방향 게이트밸브
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI705212B (zh) 2016-01-19 2020-09-21 瑞士商Vat控股股份有限公司 用於對壁中開口進行真空密封的密封裝置
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI740981B (zh) 2016-08-22 2021-10-01 瑞士商Vat控股股份有限公司 真空閥
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
WO2018077553A1 (de) 2016-10-24 2018-05-03 Vat Holding Ag Verschlussvorrichtung
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
JP6774302B2 (ja) 2016-10-28 2020-10-21 株式会社キッツエスシーティー 真空用ゲートバルブ
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106499873B (zh) * 2017-01-12 2019-03-12 京东方科技集团股份有限公司 一种真空阀门及真空设备
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129344A1 (de) 2019-10-30 2021-05-06 Vat Holding Ag Vakuumventil
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4721282A (en) * 1986-12-16 1988-01-26 Lam Research Corporation Vacuum chamber gate valve
JPH0353678U (zh) * 1989-09-30 1991-05-23
US20050045846A1 (en) * 2003-08-26 2005-03-03 Toshiaki Iwabuchi Gate valve
US6899316B2 (en) * 2003-04-16 2005-05-31 Vat Holding Ag Closure device for vacuum closure of at least one opening in a wall
US7021882B2 (en) * 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6220973A (ja) 1985-07-19 1987-01-29 Hitachi Ltd 真空バルブ
JPH01172167A (ja) * 1987-12-25 1989-07-07 Matsushita Graphic Commun Syst Inc 記録紙の残量検出装置
JPH0353678A (ja) 1989-07-21 1991-03-07 Mitsubishi Electric Corp 撮像装置
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
JPH03234979A (ja) * 1990-02-09 1991-10-18 Canon Inc 仕切り弁
JP2613171B2 (ja) * 1993-07-22 1997-05-21 株式会社岸川特殊バルブ ゲートバルブ
JPH07158767A (ja) * 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
DE4418019A1 (de) * 1994-05-24 1995-11-30 Vse Vakuumtechn Gmbh Ventilmechanik für ein Vakuumventil
US5934646A (en) * 1997-04-04 1999-08-10 Nok Corporation Gate valve and cylinder apparatus
JPH1172167A (ja) 1997-08-29 1999-03-16 Hitachi Ltd 無摺動真空仕切弁
DE19746241C2 (de) 1997-10-20 2000-05-31 Vat Holding Ag Haag Einrichtung zum Verschließen einer Öffnung
US6056267A (en) 1998-05-19 2000-05-02 Applied Materials, Inc. Isolation valve with extended seal life
JPH11351419A (ja) * 1998-06-08 1999-12-24 Irie Koken Kk 無摺動ゲート弁
JP2000028013A (ja) 1998-07-13 2000-01-25 Ckd Corp ゲート式真空遮断弁
US6095741A (en) 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
EP1182387B1 (en) 1999-06-02 2006-09-06 Tokyo Electron Limited Gate valve for semiconductor processing system
US6237892B1 (en) 2000-02-18 2001-05-29 V Tex Corporation Gate valve
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
JP3674768B2 (ja) 2000-09-07 2005-07-20 Smc株式会社 ゲートバルブ
US6421518B1 (en) * 2000-11-28 2002-07-16 Xerox Corporation Toner loading system
US6431518B1 (en) 2001-01-11 2002-08-13 Vat Holding Ag Vacuum valve
US6416037B1 (en) 2001-01-11 2002-07-09 Vat Holding Ag Vacuum pipe
JP2003097736A (ja) * 2001-09-26 2003-04-03 Fec:Kk ゲートバルブの閉鎖方法および装置
JP3912604B2 (ja) 2003-11-04 2007-05-09 入江工研株式会社 ゲート弁
CN2675978Y (zh) 2003-12-11 2005-02-02 广州市东山南方阀门有限公司 平行式双闸板闸阀撑杆式关闭装置
JP2006038121A (ja) 2004-07-28 2006-02-09 Ono Beroo Kogyo Kk ゲート弁及び真空ゲート弁
US7011294B1 (en) 2004-09-08 2006-03-14 Vat Holding Ag Vacuum valve
KR20070113122A (ko) 2006-05-24 2007-11-28 배트 홀딩 아게 벽 내의 개구부의 진공 밀봉 폐쇄를 위한 폐쇄장치
DE102007030006B4 (de) 2006-07-19 2009-12-17 Vat Holding Ag Vakuumventil

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4721282A (en) * 1986-12-16 1988-01-26 Lam Research Corporation Vacuum chamber gate valve
JPH0353678U (zh) * 1989-09-30 1991-05-23
US7021882B2 (en) * 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
US6899316B2 (en) * 2003-04-16 2005-05-31 Vat Holding Ag Closure device for vacuum closure of at least one opening in a wall
US20050045846A1 (en) * 2003-08-26 2005-03-03 Toshiaki Iwabuchi Gate valve

Also Published As

Publication number Publication date
US8672293B2 (en) 2014-03-18
JP5490124B2 (ja) 2014-05-14
JP2012504212A (ja) 2012-02-16
KR101726452B1 (ko) 2017-04-12
US20110175011A1 (en) 2011-07-21
KR20110073476A (ko) 2011-06-29
TW201020437A (en) 2010-06-01
CN102165232A (zh) 2011-08-24
CN102165232B (zh) 2014-06-25
DE102008049353A1 (de) 2010-04-08
WO2010034046A1 (de) 2010-04-01

Similar Documents

Publication Publication Date Title
TWI479097B (zh) Vacuum valve
US6431518B1 (en) Vacuum valve
KR101762984B1 (ko) 개구부를 폐쇄하기 위한 도어
US8474791B2 (en) Gate valve
US6390449B1 (en) Gate valve
TWI391591B (zh) 閘閥
US8800956B2 (en) Non-sliding gate valve
JP2004316916A (ja) 少なくとも一つの開口を有する真空閉塞体の閉塞装置
KR20080008260A (ko) 진공 밸브
TWI679365B (zh) 真空閥
KR20070120033A (ko) 진공 밸브
JP2017129272A (ja) 閉塞装置
US20120280153A1 (en) Valve with at least one closing body
KR20140023231A (ko) 밸브
JP2015215009A (ja) ゲートバルブ
JP2000074258A (ja) 真空ゲ―ト弁
KR20210034380A (ko) 진공 게이트 밸브
TW202126943A (zh) 真空閥
CN209511248U (zh) 气动致动器
KR100489553B1 (ko) 진공 게이트밸브
CN211117899U (zh) 拨叉执行器
JP4470201B2 (ja) ゲートバルブ
KR200292552Y1 (ko) 진공 게이트밸브
JPH0547630U (ja) Y型バルブ
KR20120124035A (ko) 적어도 하나의 폐쇄부재를 가진 밸브