CN102165232B - 真空阀 - Google Patents

真空阀 Download PDF

Info

Publication number
CN102165232B
CN102165232B CN200980137886.1A CN200980137886A CN102165232B CN 102165232 B CN102165232 B CN 102165232B CN 200980137886 A CN200980137886 A CN 200980137886A CN 102165232 B CN102165232 B CN 102165232B
Authority
CN
China
Prior art keywords
valve
vacuum
pilot unit
valve rod
rod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980137886.1A
Other languages
English (en)
Other versions
CN102165232A (zh
Inventor
F.埃尔尼
T.布莱查
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
VAT Holding AG
Original Assignee
VAT Holding AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by VAT Holding AG filed Critical VAT Holding AG
Publication of CN102165232A publication Critical patent/CN102165232A/zh
Application granted granted Critical
Publication of CN102165232B publication Critical patent/CN102165232B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/18Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members
    • F16K3/182Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members by means of toggle links

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Details Of Valves (AREA)
  • Sliding Valves (AREA)
  • Fluid-Driven Valves (AREA)

Abstract

本发明涉及真空阀,它包括具有被阀座(4)包围的阀孔(2)的壁体(1)、至少一个设置在真空阀真空范围里面的阀片(5),它可以从打开位置调整到纵向(6)上的中间位置并且从其中间位置调整到垂直于纵向(6)的横向(7)上的关闭位置,还包括至少一个支承阀片(5)的阀杆(12),它从真空阀的真空范围引出来并且可以相对于壁体(1)在平行于阀杆(12)纵轴线(14)的纵向(6)上以及横向(7)上移动,还包括设置在真空阀的真空范围以外的纵向和横向驱动装置(15,15’,16,16’),由它们使阀杆(12)为了使阀片(5)在纵向(6)和横向(7)上调整可以移动。存在一个位于真空阀的真空范围以外的、刚性地与壁体(1)连接的支承单元(17,17’),由它在横向(7)上可移动地导引一个使阀杆(12)在纵向(6)上可移动导引的导向单元(20,20’)。

Description

真空阀
技术领域
本发明涉及一个真空阀,它包括具有被阀座包围的阀孔的壁体、至少一个设置在真空阀真空范围里面的阀片,它可以从打开位置调整到纵向上的中间位置并且从其中间位置调整到垂直于纵向的横向上的关闭位置,在打开位置阀片释放阀孔,在中间位置阀片覆盖阀孔,但是从阀座上抬起,在关闭位置阀片顶压在阀座上并且关闭阀孔,还包括至少一个支承阀片的阀杆,它从真空阀的真空范围引出来并且可以相对于壁体在平行于阀杆纵轴线的纵向上移动以及相对于壁体在横向上平行移动,还包括设置在真空阀的真空范围以外的纵向驱动装置,由它使阀杆为了使阀片在其打开位置与其中间位置之间在纵向上调整可以移动,并且还包括一个设置在真空阀的真空范围以外的横向驱动装置,由它使阀杆为了使阀片在其中间位置与其关闭位置之间在横向上调整可以移动。
背景技术
这种真空阀也称为L形阀,它们以不同的结构形式所公知。在一个常见的、不是此类的结构形式中阀杆围绕垂直于纵向且垂直于横向的轴可偏转地支承,用于使阀片能够从其中间位置调整到其关闭位置并且再返回。例如由US 6,431`,518 B1已知这种结构。形成纵向驱动装置的活塞缸单元的气缸可以与阀杆一起偏转并且另一活塞缸单元用于使阀杆和气缸偏转。由US 6,416,037 B1也已知一个类似的装置。一个常见的结构形式也在于,利用连杆导向通过相同的、起到纵向驱动装置作用的活塞缸单元实现阀杆倾翻,如同例如由US 6,966,538 B2,US 5,641,149 A,US 6,237,892 B1和US 6,619,618 B2所给出的那样。
在这些已知的L形阀门中通过阀杆的倾翻实现阀片在横向上的调整,其缺陷是,阀片的调整不能精确且平行地在横向上实现,而是只能近似地实现,这导致对相对于阀座密封的阀片的密封提出更高的要求。
此外也已知所谓的J形阀门,其中阀片在阀座上的启动强烈地倾斜于横向。
此外已知L形阀门,其中通过直线的移动在横向上实现阀片从其中间位置到其关闭位置的调整。例如由申请者US 2007/0272888 A1已知一个真空阀,其中阀片利用活塞缸单元相对于支承单元在横向上移动。该支承单元设置在阀杆上,它可以利用另一活塞缸单元在纵向上移动。除了由真空阀构成以外这个装置也可以由门构成,其中阀片从外面封闭真空室壁体中的开孔并且可以位于真空范围以外。由US 6,899,316 A已知一个类似的真空阀,它具有用于使具有支承单元的阀杆纵向移动并用于使阀片相对于支承单元横向移动的活塞缸单元。
由US 2008/0017822 A1已知一个L形阀门,其中阀片安置在阀杆上,它在纵向上移动地导引并且利用活塞缸单元可以在纵向上调整。利用导向装置(它在一个实施例中由直线导向构成)使这个活塞缸单元在横向上移动地导引,由此也可以使活塞杆相对于具有阀孔的壁体在横向上移动。为了使阀片从其中间位置调整到其关闭位置使用一个集成到阀片里面的活塞缸单元。在另一实施例中横向驱动装置由设置在真空范围以外的活塞缸单元构成,它作用于纵向驱动装置的活塞缸单元的气缸。显然,这种结构尤其适用于这些应用,其中阀片只通过压差加载,压差使阀片顶压在阀座上。在这种情况下无需施加大的、使阀门顶压在阀座上的关闭力。通过由这个文献给出的横向导向传递更大的关闭力可能是有问题的。
发明内容
本发明的目的是,提供一个上述形式的改进的真空阀,它也适用于这些应用,其中在两个方向上可以产生作用于阀片上的压差。按照本发明这个目的通过具有权利要求1特征的真空阀得以实现。
在本发明的真空阀中所述阀杆一方面可以在平行于其纵轴线的纵向上相对于具有阀孔的壁体移动,另一方面可以在垂直于纵轴线的横向上相对于具有阀孔的壁体平行移动。用于阀杆的纵向驱动装置和横向驱动装置位于真空阀的真空范围以外。在真空阀的真空范围以外还设置一个支承单元。由这个支承单元使导向单元在横向上可移动地导引,该导向单元使阀杆在纵向上可移动地导引,因此该导向单元同样位于真空阀的真空范围以外。该导向单元作为边界、即在其整个长度上可以在横向上相对于支承单元移动。
利用设置在真空范围以外、刚性地与壁体连接的、可移动地导引导向单元的支承单元可以更好地传递力到阀片上,由此为了接收在两个方向上作用于阀片上的压差而设计这种L形阀门,其中不仅纵向驱动装置而且横向驱动装置都位于真空范围以外。通过在真空范围以外布置不仅纵向驱动装置而且布置横向驱动装置可以实现有利的且维护友好的结构。
所述支承单元使导向单元直线地在横向上可移动地支承,该支承单元有利地具有基于纵向位于导向单元两侧的分段。在此所述导向单元最好至少部分地、最好完全地设置在支承单元的容纳室里面并且在容纳室里面由支承单元可移动地导引。所述导向单元是由支承单元直线地在横向上导引的溜板。
所述纵向驱动装置有利地具有至少一个活塞缸单元。在导向单元里面可以有利地构成气缸空隙,在其中设置活塞。但是也可以设有一个刚性地与导向单元连接的气缸。该活塞缸单元的活塞阀杆可以直接形成在真空范围里面导引的且支承阀片的阀杆,或者可以设有一个单独与阀杆连接的活塞杆。
由横向驱动装置为了使阀杆在横向上移动施加的作用力有利地通过导向单元作用于阀杆上,其中这个作用可以直接或通过至少一个传动环节实现。所述横向驱动装置例如可以以至少一个活塞缸单元的形式构成。
在本发明的一个可能的实施例中所述横向驱动装置具有至少一个在纵向上移动的驱动部件,它通过至少一个传动环节与导向单元连接,该传动环节将在纵向上实现的驱动部件运动转换成导向单元在横向上的运动。在此所述驱动部件或各驱动部件最好在基于纵向对置的驱动部件一侧上通过各传动环节与导向单元连接,用于实现导向单元在横向上的平行移动。换言之,所述传动环节形成用于导向单元的平行导向。在此所述传动环节例如可以是可偏转地与驱动部件和导向单元连接的导杆,它们一起构成平行四边形导向。在此具有驱动部件的横向驱动装置的致动器可以作为边界在纵向上可移动地相对于支承单元导引并且支承在这个支承单元上,例如利用板状的、相对于支承单元可移动的移动部件,在其上安置致动器。通过这种方式可以相对于纵向施加防止阀杆倾翻的大作用力,用于使阀片在其关闭位置以相应大的关闭力顶压在阀座上。
本发明的一个有利的实施例规定,所述阀杆不仅在打开位置而且在中间位置和关闭位置在其阀片一侧上从导向单元中突出来并且在阀片的关闭位置支承在横止挡上,该横止挡设置在支承单元上并且反作用于阀杆在横向上的移动。在此阀杆或与阀杆连接的部件在基于横向的靠近位于阀座的阀杆或这个部件的一侧上支承在横止挡上。因此支承单元的横止挡以及阀片顶靠在其上的阀座在阀片关闭位置形成两个用于由阀杆和阀片形成的单元的支座。因此可以使阀杆在阀片的关闭位置平行于纵向对准的阀杆作用力通过支承单元传递到具有阀孔的壁体上。
附图说明
下面借助于附图详细解释本发明的其它优点和细节。附图中:
图1示出按照本发明的第一实施例的真空阀视图,在阀片的打开位置,
图2至4示出沿着图1的剖面线AA,BB和CC的截面图,
图5示出对应于图1的视图,但是在阀片的中间位置,
图6至8示出沿着图5的剖面线AA,BB和CC的截面图,
图9示出对应于图1的视图,但是在阀片的关闭位置,
图10至12示出沿着图9的剖面线AA,BB和CC的截面图,
图13示出对应于按照本发明的第一实施例的真空阀驱动装置的立体图,具有阀杆和安置在其上的阀片,
图14示出对应于图13的阀门部件的分解图,
图15以正视图示出对应于本发明第一实施例的真空阀的另一实施例变化,
图16示出沿着图15剖面线AA的截面图,
图17示出按照本发明第二实施例的真空阀的正视图,在阀片的打开位置(去掉阀杆的波纹管过孔的波纹管),
图18至20示出沿着图17的剖面线AA,BB和CC的截面图(具有阀杆波纹管过孔的波纹管),
图21示出图17的阀门的正视图,在阀片的中间位置,
图22至24示出沿着图21剖面线AA,BB和CC的截面图,,
图25示出图17的阀门的正视图,在阀片的关闭位置,
图26至28示出沿着图25剖面线AA,BB和CC的截面图,
图29示出按照第二实施例的真空阀底视图,
图30示出按照第二实施例的真空阀立体图,
图31示出从对应于图30的视线看去的真空阀部件的分解图,
图32示出对应于图31的视图,但是从另一视线,
图33以局部剖视图示出具有阀杆(没有波纹管过孔的波纹管)的阀门驱动装置,
图34示出阀门在加入到真空室里面的状态的示意图。
这些附图部分简化地示出。因此简化地示出连接法兰,例如去掉部分螺栓孔,并且部分地未示出连接螺栓。
具体实施方式
下面借助于图1至4解释本发明的第一实施例。所述真空阀包括具有阀孔2的壁体1,它具有轴线3并且被阀座4包围,该阀座在本实施例中由密封面构成。为了使阀孔2真空密封地封闭在真空阀的关闭状态(参见图9至12)设有阀片5。在真空阀的打开状态(参见图1至4)阀片5释放阀孔2,其中阀片最好基于阀孔2的轴线3的方向完全设置在阀孔2旁边。从这个阀片5的打开位置开始阀片5为了封闭真空阀可以首先在纵向6上移动,直到阀片覆盖阀孔2(在轴线3的方向上看去),但是在此还从阀座4上抬起。在图5至8中示出阀片5的这个中间位置。通过直线地在纵向6上的整个调整行程实现阀片从其打开位置到其中间位置的移动。此外阀片从其中间位置在垂直于纵向的、平行于轴线3的横向7上在阀座4方向上移动并且为了密封阀孔2顶压在阀座4上。在阀片的这个关闭位置(参见图9至12)真空阀关闭。通过直线地在横向7上的整个调整行程实现从中间位置到关闭位置的移动。
在关闭位置设置在阀片5上的弹性密封挤压在形成阀座的密封面上。以相反的顺序打开真空阀,即从阀片的关闭位置到其中间位置并且继续到其打开位置。
设置在真空阀真空范围(=抽真空的部位)的阀片5安置在阀杆12上,它利用波纹管过孔从真空阀的真空范围导出来,即,阀杆的一段(在其上安置阀片5)位于真空范围,阀杆12的另一段位于真空范围以外。波纹管过孔由在附图中只示意表示的波纹管13、例如折叠波纹管或薄膜波纹管形成,它一方面真空密封地与阀杆12连接,另一方面真空密封地与壁体46连接,它刚性地与壁体1连接并且与壁体1成角度、最好成直角,而且在开孔部位穿过壁体46,阀杆12穿过壁体伸出来。阀片5可以如图所示刚性地与阀杆12连接或者这个连接可以具有弹性,用于实现阀片5在阀座4上在阀片5的关闭位置的一定匹配性。已知在阀片5与阀杆4之间的这种弹性连接。
阀杆12的纵轴线14平行于纵向6。为了使阀片5在其打开位置与其中间位置之间调整使阀杆12在纵向6上相对于壁体1移动。为了使阀片5在其关闭位置与其中间位置之间调整使阀杆12在横向7上相对于壁体1平行移动。代替从真空范围引出阀杆的波纹管过孔也可以设有滑动过孔。这个过孔具有滑动部件,它具有通孔,穿过通孔阀杆利用密封件密闭地导引。因此阀杆12在纵向6上相对于这个滑动部件移动。滑动部件本身相对于壁体46在横向7上移动地支承,其中滑动部件利用密封件相对于壁体46密封。滑动部件也形成一种相对于壁体46密封的且在横向7上移动的溜板。已知这种滑动过孔,它们能够实现在两个、尤其相互正交的方向上的移动性。
为了打开和关闭真空阀使用一个阀驱动装置,它是设置在真空范围以外的纵向驱动装置15,由它使阀杆12在纵向上移动,并且它是同样设置在真空范围以外的横向驱动装置16,由它使阀杆在横向上移动。
在所示的实施例中壁体1形成阀壳8的一部分,它也具有一个在实施例中与壁体1对置的具有另一开孔10的壁体9。阀孔2和开孔10是在阀门的打开状态释放的通道穿过阀壳8的一部分,它在本实施例中直线地延伸。阀片5容纳在阀壳8的内室11里面,它是真空阀的真空范围。
取而代之壁体1也可以是真空室的一部分(如同下面借助于图15和16解释的那样)。此外真空阀可以形成一种嵌件,其中壁体1在真空室的真空范围中使用。参见图34的示意图,它还描述了与第二实施例的关系。
现在更详细地描述阀杆12在纵向和横向6,7上的移动支承以及纵向和横向驱动装置15,16:
在真空阀的真空范围以外支承单元17刚性地与壁体1连接。该支承单元17在所示实施例中包括一个刚性地与壁体1或具有壁体1的阀壳8连接的驱动外壳18,它具有容纳室19。在容纳室19里面设置导向单元20,它在容纳室19里面在横向7上直线移动地导引。从导向单元20再使阀杆12在纵向6上移动地导引。在此导向单元20的基体23具有被阀杆12穿过的通道,在其中阀杆12利用导向套21,22在纵向6上移动地导引。下面还要更详细地解释导向单元20相对于支承单元17的移动导向。
纵向驱动装置15在所示实施例中作为致动器包括两个活塞25,它们分别设置在导向单元20的基体23中的气缸空隙26里面。气缸空隙26由导向单元20的缸盖24封闭,缸盖被安置在活塞25上的活塞杆27穿过。活塞杆27通过衔铁28与阀杆12固定连接,由此在活塞25在气缸空隙26里面移动时利用压力介质、最好是压力空气在纵向6上携带阀杆12。衔铁28为了与阀杆12连接例如旋紧在阀杆上。
横向驱动装置16作为致动器包括两个活塞29,具有密封件36,它们设置在气缸空隙30里面,它们在导向单元20的基体23里面构成。活塞29固定在活塞杆31上,它们在所示的实施例中与支承单元17的驱动外壳18一体地构成。在这个意义上活塞29是支承单元17的一部分。活塞杆31也可以由支承单元17的独立部件构成,它们刚性地与支承单元17的驱动外壳18连接。
在所示实施例中活塞29由单作用活塞构成。通过加载在活塞杆31一侧上位于活塞29与驱动外壳18之间的空间可以使导向单元并通过它使阀杆12这样相对于支承单元17在横向7上移动,使阀片5从其关闭位置移动到其中间位置。为了使导向单元20并通过它使阀杆12和阀片5在相反方向上移动在所示实施例中首先利用弹簧装置。它包括许多在导向单元20与驱动外壳18之间起作用的螺簧32。螺簧32设置在包围各阀杆31的圆上(在图14中为了清晰只对于活塞杆31示出螺簧)。可以设想并实现螺簧32的其它布置和/或使用其它弹簧用于构成这种弹簧装置。
如果在阀片5的关闭位置在阀片5从阀座4顶开的意义上没有更大的有效的压差作用于阀片5上,则由弹簧装置施加在阀片5上的在阀座4上的顶压力足以密封阀孔2。例如当真空阀为了在两个真空室之间密封并且在一个真空室里面执行真空过程、例如用于半导体工业时,可能出现上述情况。
如果在加载更大的使阀片5离开阀座4的压差情况下需要更高的阀片5在阀座4上的顶压力时,则可以附加地以压力介质、尤其是压力空气加载位于导向单元的基体23与支承单元17的驱动外壳18之间的压力室33或在所示实施例中两个这样的压力室33。这些压力室33由密封件34,35密封。
例如在两个通过真空阀连接的真空室中的一个真空室灌满(flutung)、例如为了维护的情况下可能产生更高的压差,它们使阀片5向着阀座4或离开阀座地加载。
也可以省去螺簧32或不同构成的弹簧。代替弹簧和/或压力室33也可以设有双作用的活塞29。
为了使导向单元20相对于支承单元17导引使用设置在活塞杆31与导向单元20基体23之间的导向套37(参见图4,8和12)。可以这样构成密封件34和/或密封件35和/或密封件36,使它承担导向功能。在这种情况下也可以省去导向套37。
在背离阀片5的导向单元20一侧上从导向单元20伸出来的阀杆12在这个从导向单元20伸出来的分段中在阀片5的关闭位置与设置在支承单元17的驱动外壳18上的横止挡38共同作用,最好如图所示在阀杆12的端部部位。在阀片5的打开位置和阀片5的中间位置阀杆12与横止挡38间隔。在阀片5从中间位置移动到关闭位置时阀杆12、最好与阀片5在阀座4上的启动同时地在横止挡38上启动。因此使阀杆12在横向驱动装置16的在阀杆12上的作用部位两侧支承在壁体1上或与壁体1刚性连接的部分上,其中这个作用部位位于阀杆12相对于导向单元20的移动支承部位。由此可以通过简单的方式将所需的阀片5顶压力传递到阀座4上,无需由阀杆12的纵向导向和导向单元20的横向导向承受大的倾翻力。
所述纵向驱动装置15和/或横向驱动装置16也可以具有比两个所示活塞25或29更多或更少的活塞。代替用于纵向驱动装置15的活塞25和/或用于横向驱动装置16的活塞29的气缸空隙26或30的结构作为在导向单元20基体23中的空隙也可以设有独立的气缸,它们刚性地与导向单元连接。也可以设想并实现气缸和活塞的相反布置。由此可以使纵向驱动装置15的活塞与导向单元20刚性连接并且使这个活塞的气缸与阀杆12连接和/或使横向驱动装置16的活塞29刚性地与导向单元20连接并且使用于这些活塞的气缸与支承单元17刚性地连接或者以气缸空隙的形式在支承单元17中构成。
在图15和16所示的实施例中阀驱动装置、阀杆12和与其连接的阀片5与在图1至14中所示的一致地构成。这个实施例变化的差别仅仅在于,在这里具有阀孔2的真空阀壁体1是在图15和16中只部分和示意示出的真空室39的一部分。阀片5位于真空室39内部,它是阀门的真空范围,如果泵浦真空室的时候。在图15和16中作为独立部件示出壁体46,穿过其开孔阀杆12从真空室39的真空范围伸出来,该独立部件通过法兰连接与真空室39连接,而且在围绕真空室39中的开孔的部位。因此通过打开这个法兰连接可以将壁体46从安置在其上的阀驱动装置上并且从阀杆和阀片5上取下来。
下面借助于图17至34描述本发明的第二实施例。
所有在本发明的第一实施例的前五个段落中已经描述的内容(即从附图的简述以后开始的“本发明的第一实施例”),一致地适用于第二实施例并且这些类似部件配有相同的标记符号。
与第一实施例的差别主要在于,在这里壁体1不是封闭的(不考虑开孔)阀外壳的一部分。而是真空阀由用于安装到真空室42里面的嵌件构成,如同在图34中示意表示的那样。因此壁体1在与阀座4对置的一侧上具有包围阀孔2的弹性密封件40,用于使壁体1相对于真空室的壁体41在包围真空室42开孔43的部位中密封。与壁体1处于直角的壁体46(阀杆12穿过其开孔)具有包围这个开孔的弹性密封件47,用于使壁体46相对于真空室的壁体44在包围壁体44的开孔45和开孔60的部位中密封,其中阀杆12利用包括波纹管13的波纹管过孔真空密封地从真空阀的真空范围中引出来。示意的示出用于固定在真空室42上的螺栓61。
代替安装在真空室42里面的嵌件形式的真空阀结构可以使真空阀具有阀外壳,它以与结合图1至14所述的一致形状构成。壁体1也可以是真空室的一部分,如同借助于图15和16所示并借助于这些附图所述的那样。
现在更详细地描述阀杆12在纵向和横向6,7上的移动支承以及纵向和横向驱动装置15,16:
刚性地与壁体1连接的设置在真空范围以外的支承单元17’具有驱动外壳18’,后者具有容纳室19’。在容纳室19’里面设置使阀杆12在纵向6上移动支承的导向单元20’,并且在这个容纳室里面由支承单元17’在横向7上直线移动地导引。为了移动地导引使用导向套48,它们容纳在驱动外壳18’的套容纳体49里面并且伸进导向轴50里面,它们刚性地安置在导向单元20’的基体23’上或者与基体23’一体地构成。导向轴50和导向套48的轴线平行于横向。在所示的实施例中存在两个与横向成直角且与纵向成直角间隔的、容纳在套容纳体49里面的导向套48,在其中分别移动地导引导向轴50。在图31中示出一个导向套48从导向轴50中拉下来并且从导向套48拉出来的状态。在图32中可以看出另一导向套在设置在导向轴50上的状态。也可以只设有一个在导向套48中移动的导向轴50或者多于两个这样的移动导引的导向轴50。
纵向驱动装置15’作为致动器具有活塞25’,它设置在在导向单元20’的基体23’里面构成的气缸空隙26’里面。该活塞25’设置在阀杆12上,因此它形成纵向驱动装置15’的活塞缸单元的活塞杆。气缸空隙26’在阀杆12的阀片端部一侧上由缸盖24’封闭,在这里缸盖套状地构成并且它使阀杆12移动地导引。在活塞25’的另一侧上阀杆12在其穿过导向单元20’基体23’中的开孔的部位同样由导向单元20’在纵向6上移动地支承,由此使阀杆12的纵轴线14平行于纵向6。
横向驱动装置16’包括两个在纵向6上移动的驱动部件51,它们在所示的实施例中以各活塞缸单元的活塞的形式构成,它们形成横向驱动装置16’的致动器。例如作为致动器也可以设有至少一个电磁作用的直线驱动装置。以活塞形式构成的驱动部件51设置在气缸空隙30’里面,它们设置在支承单元17’的驱动外壳18’里面。气缸空隙30’在两侧通过缸盖52,53封闭。代替在驱动外壳18’里面的气缸空隙30’结构也可以设有独立的支承单元17’气缸,它们刚性地与驱动外壳18’连接。一个活塞杆31’在驱动部件51两侧突出来并且在两侧从气缸空隙30’伸出来。在两个从气缸空隙30’伸出来的端部上活塞杆31’分别通过传动环节54,55与导向单元20’连接。传动环节是一端可偏转地与活塞杆31’、另一端可偏转地与支承单元7连接的导杆,其中这些偏转轴线与横向7成直角并且与纵向6成直角地对准。为了可偏转地与导向单元20’连接可以设有如图所示的偏转轴56,57,它们穿过轴承空隙,在其中伸进传动环节54,55。
在阀片5的打开位置和中间位置传动环节54,55与横向7成角度。通过以压力介质、最好是压力空气加载相应的气缸空隙30’缸室而使驱动部件51纵向移动,至少减小与横向7的角度,由此使导向单元20’相对于支承单元17’在横向7上移动。
为了将由横向驱动装置在阀片5的关闭位置用于使阀片5顶压在阀座4上所施加的力传递到支承单元17’上使用移动地顶靠在支承单元17’上的移动部件58。这个部件与驱动部件51两侧的各活塞杆31’连接,例如如图所示在活塞杆31’与各传动环节54,55连接的部位。因此在驱动部件51相对于支承单元17’纵向移动时移动部件58一起移动。为了使移动部件58相对于支承单元17’移动导引使用滚动轴承59。同样可以设想并能够使用滑动轴承。因此通过移动部件58使活塞杆31’相对于支承单元17’支承在阀片5的关闭位置。因此不必通过活塞杆31’的移动导向实现力传递。但是也可以设想并能够省去移动部件58并且通过活塞杆的移动的支承将力从活塞杆31’传递到支承单元17’上。
代替容纳在气缸空隙26(它们在支承单元17’的驱动外壳18’里面构成)里面的由活塞形式构成的驱动部件51也可以设想并能够设有独立的、刚性地与驱动外壳18’连接的气缸。
也可以设想并能够设有多于或少于两个用于横向驱动装置16’的驱动部件51。
传动环节54,55也可以与可偏转的杠杆形式不同地构成,例如为了使导向单元20’相对于支承单元17’平行移动可以设想并实现楔部件。
也可以设想并实现弹簧装置,它在一个方向上加载驱动部件51。
纵向驱动装置15’作为致动器也可以具有一个或多个独立的活塞缸单元,其活塞与阀杆12连接。
在第二实施例中也可以设想并能够,使阀杆12在阀片5的关闭位置在背离阀片5的一侧上从导向单元20’伸出来的分段上顶靠在支承单元17’的横止挡上。由此仍然可以减小通过纵向和横向导向防止阀杆12在其方向上倾翻平行于纵向6施加的作用力。
在第一实施例中如上所述也可以设有由嵌件构成的阀体,它包括具有阀孔2的壁体1。这种实施例变化也可以一致地适用于图34的说明。
不仅在第一实施例(图1-16)而且在第二实施例(图17-34)也可以设想并实现下面的变化:
弹性密封件也可以安置在阀座4上并且在阀片5上设有与弹性密封件共同作用的密封面。
也可以设有多于一个支承阀片5的阀杆12。
也可以设有多于一个由一个或多个阀杆12支承的阀片5。
没有具体地描述用于不同已述的、最好气动的活塞缸单元的压力介质管道。在截面图中可以部分地看到孔,它们形成用于压力介质的通道。对于真空阀的纵向和/或横向驱动装置也可以使用其它致动器作为活塞缸单元。
附图标记清单
1    壁
2    阀孔
3    轴线
4    阀座
5    阀片
6    纵向
7    横向
8    阀壳
9    壁体
10     开孔
11     内室
12     阀杆
13     密封波纹管
14     纵轴线
15,15’ 纵向驱动装置
16,16’ 横向驱动装置
17,17’ 支承单元
18,18’ 驱动外壳
19,19’ 容纳室
20,20’ 导向单元
21 导向套
22 导向套
23,23’ 基体
24,24’ 缸盖
25,25’ 活塞
26,26’ 缸空隙
27 活塞杆
28 衔铁
29 活塞
30,30’ 缸空隙
31,31’ 活塞杆
32 螺簧
33 压力室
34 密封
35 密封
36 密封
37 导向套
38 横止挡
39 真空室
40 密封
41 壁体
42 真空室
43 开孔
44 壁体
45 开孔
46 壁体
47 密封
48 导向套
49 套容纳体
50 导向轴
51 驱动部件
52 缸盖
53 缸盖
54 传动环节
55 传动环节
56 偏转轴
57 偏转轴
58 移动部件
59 滚动轴承
60 开孔
61 螺栓

Claims (13)

1.一个真空阀,它包括具有被阀座(4)包围的阀孔(2)的壁体(1)、至少一个设置在真空阀真空范围里面的阀片(5),它可以从打开位置调整到纵向(6)上的中间位置并且从其中间位置调整到垂直于纵向(6)的横向(7)上的关闭位置,在打开位置阀片释放阀孔(2),在中间位置阀片覆盖阀孔(2),但是从阀座(4)上抬起,在关闭位置阀片顶压在阀座(4)上并且关闭阀孔(2),还包括至少一个支承阀片(5)的阀杆(12),它从真空阀的真空范围引出来并且可以相对于壁体(1)在平行于阀杆(12)纵轴线(14)的纵向(6)上移动以及相对于壁体在横向(7)上平行移动,还包括设置在真空阀的真空范围以外的纵向驱动装置(15,15’),由它使阀杆(12)为了使阀片(5)在其打开位置与其中间位置之间的调整可以在纵向(6)上移动,并且还包括一个设置在真空阀的真空范围以外的横向驱动装置(16,16’),由它使阀杆(12)为了使阀片(5)在其中间位置与其关闭位置之间的调整可以在横向(7)上移动,其特征在于,存在一个位于真空阀的真空范围以外的、刚性地与壁体(1)连接的支承单元(17,17’),由它在横向(7)上可移动地导引一个使阀杆(12)在纵向(6)上可移动导引的导向单元(20,20’),
在阀片(5)的关闭位置,阀杆(12)的分段支承在横止挡(38)上,该分段在背离阀片(5)的一侧上从导向单元(20,20’)突出来。
2.如权利要求1所述的真空阀,其特征在于,所述支承单元(17,17’)具有基于纵向(6)位于导向单元(20,20’)两侧的分段。
3.如权利要求2所述的真空阀,其特征在于,所述导向单元(20,20’)至少部分地设置在支承单元(17,17’)的容纳室(19,19’)里面并且在容纳室里面在横向(7)上可移动地导引。
4.如权利要求1至3中任一项所述的真空阀,其特征在于,所述纵向驱动装置(15,15’)具有至少一个与阀杆(12)连接的活塞(25,25’),它设置在气缸空隙(26,26’)里面。
5.如权利要求4所述的真空阀,其特征在于,所述气缸空隙(26,26’)在导向单元(20,20’)里面构成。
6.如权利要求1所述的真空阀,其特征在于,由横向驱动装置(16,16’)为了使阀杆(12)在横向(7)上移动施加的力通过导向单元(20,20’)作用于阀杆(12),在此导向单元(20,20’)在携带阀杆(12)的条件下在横向(7)上相对于支承单元(17,17’)移动。
7.如权利要求6所述的真空阀,其特征在于,所述横向驱动装置(16’)具有至少一个在纵向(6)上移动的驱动部件(51),它通过至少一个传动环节(54,55)与导向单元(20’)连接。
8.如权利要求7所述的真空阀,其特征在于,为了使导向单元(20’)在横向(7)上的平行移动,所述至少一个驱动部件(51)在该驱动部件(51)的基于纵向(6)对置的侧上通过各传动环节(54,55)与导向单元(20’)连接。
9.如权利要求8所述的真空阀,其特征在于,所述传动环节(54,55)由可偏转地与导向单元(20’)和可偏转地与驱动部件(51)或与其刚性连接的部件(31’)连接的导杆构成。
10.如权利要求6所述的真空阀,其特征在于,所述横向驱动装置(16)具有至少一个活塞(29),它设置在气缸空隙(30)里面,它在导向单元(20)里面构成。
11.如权利要求10所述的真空阀,其特征在于,在导向单元(20)与支承单元(17)之间设有一个压力室(33),用于利用加入到压力室(33)里面的压力介质在横向(7)上加载导向单元(20)。
12.如权利要求1所述的真空阀,其特征在于,在导向单元(20,20’)与支承单元(17,17’)之间设有一个弹簧装置(32),用于在横向上加载导向单元(20,20’)。
13.如权利要求4所述的真空阀,其特征在于,所述阀杆(12)在纵向驱动装置(15’)的直接设置在阀杆上的活塞(25’)两侧由导向单元(20’)可移动地导引。
CN200980137886.1A 2008-09-29 2009-09-21 真空阀 Active CN102165232B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102008049353.8 2008-09-29
DE102008049353A DE102008049353A1 (de) 2008-09-29 2008-09-29 Vakuumventil
PCT/AT2009/000366 WO2010034046A1 (de) 2008-09-29 2009-09-21 Vakuumventil

Publications (2)

Publication Number Publication Date
CN102165232A CN102165232A (zh) 2011-08-24
CN102165232B true CN102165232B (zh) 2014-06-25

Family

ID=41401544

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980137886.1A Active CN102165232B (zh) 2008-09-29 2009-09-21 真空阀

Country Status (7)

Country Link
US (1) US8672293B2 (zh)
JP (1) JP5490124B2 (zh)
KR (1) KR101726452B1 (zh)
CN (1) CN102165232B (zh)
DE (1) DE102008049353A1 (zh)
TW (1) TWI479097B (zh)
WO (1) WO2010034046A1 (zh)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008061315B4 (de) 2008-12-11 2012-11-15 Vat Holding Ag Aufhängung einer Ventilplatte an einer Ventilstange
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8833383B2 (en) 2011-07-20 2014-09-16 Ferrotec (Usa) Corporation Multi-vane throttle valve
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102425541B (zh) * 2011-12-20 2013-12-04 无锡威孚精密机械制造有限责任公司 恒功率阀
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101375280B1 (ko) * 2012-04-06 2014-03-17 프리시스 주식회사 게이트 밸브
US20130276905A1 (en) * 2012-04-19 2013-10-24 Vat Holding Ag Valve housing for a vacuum valve
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8960641B2 (en) * 2012-11-14 2015-02-24 Vat Holding Ag Vacuum valve
EP2740979A1 (de) 2012-12-05 2014-06-11 VAT Holding AG Vakuumventil
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP2749798B1 (de) 2012-12-27 2016-03-02 VAT Holding AG Vakuumschieberventil
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP2781813A1 (de) * 2013-03-21 2014-09-24 VAT Holding AG Vakuumventil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
CN106062942A (zh) * 2014-03-18 2016-10-26 Vat 控股公司 阀杆
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) * 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI656293B (zh) 2014-04-25 2019-04-11 瑞士商Vat控股股份有限公司
JP6584829B2 (ja) * 2014-07-04 2019-10-02 バット ホールディング アーゲー バルブ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016096471A1 (de) 2014-12-19 2016-06-23 Vat Holding Ag Tür zum verschliessen einer kammeröffnung in einer kammerwand einer vakuumkammer
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6677738B2 (ja) * 2015-03-09 2020-04-08 バット ホールディング アーゲー 真空バルブ
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102504622B1 (ko) 2015-03-27 2023-02-27 배트 홀딩 아게 진공밸브
KR101725251B1 (ko) * 2015-05-04 2017-04-11 프리시스 주식회사 진공밸브
WO2016206966A1 (de) 2015-06-22 2016-12-29 Vat Holding Ag Steuerungsvorrichtung für eine pneumatische kolben-zylinder-einheit zum verstellen eines verschlussgliedes eines vakuumventils
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017025302A1 (de) 2015-08-10 2017-02-16 Vat Holding Ag Pneumatischer ventilantrieb
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR101784839B1 (ko) * 2015-09-25 2017-11-06 프리시스 주식회사 양방향 게이트밸브
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI705212B (zh) 2016-01-19 2020-09-21 瑞士商Vat控股股份有限公司 用於對壁中開口進行真空密封的密封裝置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI740981B (zh) 2016-08-22 2021-10-01 瑞士商Vat控股股份有限公司 真空閥
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN109863338B (zh) * 2016-10-24 2021-09-21 Vat控股公司 封闭装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
JP6774302B2 (ja) 2016-10-28 2020-10-21 株式会社キッツエスシーティー 真空用ゲートバルブ
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106499873B (zh) * 2017-01-12 2019-03-12 京东方科技集团股份有限公司 一种真空阀门及真空设备
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129344A1 (de) * 2019-10-30 2021-05-06 Vat Holding Ag Vakuumventil
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1182387A1 (en) * 1999-06-02 2002-02-27 Tokyo Electron Limited Gate valve for semiconductor processing system
CN2675978Y (zh) * 2003-12-11 2005-02-02 广州市东山南方阀门有限公司 平行式双闸板闸阀撑杆式关闭装置
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
CN1749609A (zh) * 2004-09-08 2006-03-22 Vat控股公司 真空阀门

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6220973A (ja) 1985-07-19 1987-01-29 Hitachi Ltd 真空バルブ
US4721282A (en) * 1986-12-16 1988-01-26 Lam Research Corporation Vacuum chamber gate valve
JPH01172167A (ja) * 1987-12-25 1989-07-07 Matsushita Graphic Commun Syst Inc 記録紙の残量検出装置
JPH0353678A (ja) 1989-07-21 1991-03-07 Mitsubishi Electric Corp 撮像装置
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
JPH0353678U (zh) * 1989-09-30 1991-05-23
JPH03234979A (ja) * 1990-02-09 1991-10-18 Canon Inc 仕切り弁
JP2613171B2 (ja) 1993-07-22 1997-05-21 株式会社岸川特殊バルブ ゲートバルブ
JPH07158767A (ja) * 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
DE4418019A1 (de) * 1994-05-24 1995-11-30 Vse Vakuumtechn Gmbh Ventilmechanik für ein Vakuumventil
US5934646A (en) * 1997-04-04 1999-08-10 Nok Corporation Gate valve and cylinder apparatus
JPH1172167A (ja) 1997-08-29 1999-03-16 Hitachi Ltd 無摺動真空仕切弁
DE19746241C2 (de) 1997-10-20 2000-05-31 Vat Holding Ag Haag Einrichtung zum Verschließen einer Öffnung
US6056267A (en) 1998-05-19 2000-05-02 Applied Materials, Inc. Isolation valve with extended seal life
JPH11351419A (ja) * 1998-06-08 1999-12-24 Irie Koken Kk 無摺動ゲート弁
JP2000028013A (ja) 1998-07-13 2000-01-25 Ckd Corp ゲート式真空遮断弁
US6095741A (en) 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6237892B1 (en) 2000-02-18 2001-05-29 V Tex Corporation Gate valve
JP3674768B2 (ja) 2000-09-07 2005-07-20 Smc株式会社 ゲートバルブ
US6421518B1 (en) * 2000-11-28 2002-07-16 Xerox Corporation Toner loading system
US7021882B2 (en) * 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
US6431518B1 (en) 2001-01-11 2002-08-13 Vat Holding Ag Vacuum valve
US6416037B1 (en) 2001-01-11 2002-07-09 Vat Holding Ag Vacuum pipe
JP2003097736A (ja) 2001-09-26 2003-04-03 Fec:Kk ゲートバルブの閉鎖方法および装置
US6899316B2 (en) 2003-04-16 2005-05-31 Vat Holding Ag Closure device for vacuum closure of at least one opening in a wall
US7100892B2 (en) * 2003-08-26 2006-09-05 Kitz Sct Corporation Non-rubbing gate valve for semiconductor fabrication apparatus
JP3912604B2 (ja) 2003-11-04 2007-05-09 入江工研株式会社 ゲート弁
JP2006038121A (ja) 2004-07-28 2006-02-09 Ono Beroo Kogyo Kk ゲート弁及び真空ゲート弁
KR20070113122A (ko) 2006-05-24 2007-11-28 배트 홀딩 아게 벽 내의 개구부의 진공 밀봉 폐쇄를 위한 폐쇄장치
DE102007030006B4 (de) 2006-07-19 2009-12-17 Vat Holding Ag Vakuumventil

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1182387A1 (en) * 1999-06-02 2002-02-27 Tokyo Electron Limited Gate valve for semiconductor processing system
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
CN2675978Y (zh) * 2003-12-11 2005-02-02 广州市东山南方阀门有限公司 平行式双闸板闸阀撑杆式关闭装置
CN1749609A (zh) * 2004-09-08 2006-03-22 Vat控股公司 真空阀门

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JP特开2000-28013A 2000.01.25
JP特开2003-97736A 2003.04.03

Also Published As

Publication number Publication date
TW201020437A (en) 2010-06-01
CN102165232A (zh) 2011-08-24
KR20110073476A (ko) 2011-06-29
KR101726452B1 (ko) 2017-04-12
US20110175011A1 (en) 2011-07-21
US8672293B2 (en) 2014-03-18
TWI479097B (zh) 2015-04-01
WO2010034046A1 (de) 2010-04-01
DE102008049353A1 (de) 2010-04-08
JP5490124B2 (ja) 2014-05-14
JP2012504212A (ja) 2012-02-16

Similar Documents

Publication Publication Date Title
CN102165232B (zh) 真空阀
US9976655B2 (en) Valve
CN101109453A (zh) 真空阀
KR102136907B1 (ko) 진공밸브
CN103090030B (zh) 无滑动式闸阀
US8474791B2 (en) Gate valve
US9732860B2 (en) Valve, in particular a vacuum valve
CN103154562B (zh) 可调整的阻尼阀装置
US9290163B2 (en) Pedal feeling adjusting device
CN101889162A (zh) 真空阀
US9770960B2 (en) Suspension isolation assemblies as well as suspension systems including same
US9976622B2 (en) Damping force controlling shock absorber
US7828307B2 (en) Stabilizer arrangement for a motor vehicle with adjustable rocker pendulum
US20150316155A1 (en) Gate valve
EP2440793B1 (en) Load cylinder for compensating unbalanced forces
CN103511713A (zh) 用于阀的液压机制
US20120280153A1 (en) Valve with at least one closing body
CN102292542A (zh) 流体静力的机器的调整装置
CN103250222B (zh) 为用于操纵高压断路器的液压弹簧储能驱动器所用的储能模块
WO2010087950A1 (en) Hollow double rod cylinder actuator
CN106662190A (zh) 压力缓冲装置及阻尼力产生机构
EP3308058A1 (en) Actuator assembly driven by a fluid and a method of operating the same
CN101135217B (zh) 地板闭门器
CN107076249A (zh) 具有拉止挡和压止挡的减振器
JP2012116379A (ja) 鉄道車両用台車システム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant