KR101726452B1 - 진공밸브 - Google Patents

진공밸브 Download PDF

Info

Publication number
KR101726452B1
KR101726452B1 KR1020117006802A KR20117006802A KR101726452B1 KR 101726452 B1 KR101726452 B1 KR 101726452B1 KR 1020117006802 A KR1020117006802 A KR 1020117006802A KR 20117006802 A KR20117006802 A KR 20117006802A KR 101726452 B1 KR101726452 B1 KR 101726452B1
Authority
KR
South Korea
Prior art keywords
valve
vacuum
guide
valve rod
transverse
Prior art date
Application number
KR1020117006802A
Other languages
English (en)
Other versions
KR20110073476A (ko
Inventor
플로리안 에르네
토마스 블레하
Original Assignee
배트 홀딩 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 배트 홀딩 아게 filed Critical 배트 홀딩 아게
Publication of KR20110073476A publication Critical patent/KR20110073476A/ko
Application granted granted Critical
Publication of KR101726452B1 publication Critical patent/KR101726452B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/18Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members
    • F16K3/182Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members by means of toggle links

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Details Of Valves (AREA)
  • Sliding Valves (AREA)
  • Fluid-Driven Valves (AREA)

Abstract

진공밸브는 밸브시트 (4) 의해 둘러싸인 밸브 개구부 (2) 를 가진 벽 (1), 진공밸브의 진공영역에 배치된 적어도 하나의 밸브 플레이트 (5) (상기 밸브 플레이트는 개방 위치로부터 세로 방향 (6) 으로 중간 위치로, 그리고 그의 중간 위치로부터 세로 방향 (6) 에 대해 직각으로 있는 가로 방향 (7) 으로 폐쇄 위치로 이동될 수 있다), 밸브 플레이트 (5) 를 지니고 있는 적어도 하나의 밸브로드 (12) (상기 밸브로드는 진공밸브의 진공영역 밖으로 안내되어 있고, 그리고 벽 (1) 에 대해 세로 방향 (6) (상기 세로 방향은 밸브로드 (12) 의 세로축 (14) 에 대해 평행으로 놓여 있다) 으로, 또한 가로 방향 (7) 으로 이동될 수 있다), 및 진공밸브의 진공영역의 외부에 배치된 세로-드라이브 장치 (15, 15') 및 가로-드라이브 장치 (16, 16') (상기 장치들에 의해 밸브로드 (12) 는 밸브 플레이트 (5) 를 이동시키기 위해 세로 방향 (6) 으로, 그리고 가로 방향 (7) 으로 이동될 수 있다) 를 포함한다. 진공밸브의 진공영역의 외부에 놓여 있는, 벽 (1) 과 단단히 연결되어 있는 베어링 유닛 (17, 17') 이 존재하며, 상기 베어링 유닛에 의해, 밸브로드 (12) 를 세로 방향 (6) 으로 이동 가능하게 안내하는 가이드 유닛 (20, 20') 은 가로 방향 (7) 으로 이동 가능하게 안내되어 있다.

Description

진공밸브 {VACUUM VALVE}
본 발명은 진공밸브에 관한 것으로, 상기 진공밸브는 밸브시트 (valve seat) 에 의해 둘러싸인 밸브 개구부 (valve opening) 를 가진 벽, 진공밸브의 진공영역 (vacuum region) 에 배치된 적어도 하나의 밸브 플레이트 (valve plate) (상기 밸브 플레이트는 그가 밸브 개구부를 개방하는 개방 위치로부터 세로 방향으로, 그가 밸브 개구부를 덮고 있으며, 하지만 밸브시트로부터 들어내져 있는 중간 위치로, 그리고 그의 중간 위치로부터 상기 세로 방향에 대해 직각으로 있는 가로 방향으로 폐쇄 위치 (상기 폐쇄 위치에서 그는 밸브시트에 내리눌려져 있고, 그리고 밸브 개구부를 폐쇄한다) 로 이동될 수 있다), 밸브 플레이트를 지니고 있는 적어도 하나의 밸브로드 (valve rod) (상기 밸브로드는 진공밸브의 진공영역 밖으로 안내되어 있으며, 그리고 벽에 대해 세로 방향으로 (상기 세로 방향은 밸브로드의 세로축에 대해 평행으로 놓여 있다) 이동될 수 있고, 또한 상기 벽에 대해 가로 방향으로 평행으로 이동될 수 있다), 진공밸브의 진공영역의 외부에 배치된 세로-드라이브 장치 (longitudinal drive device) (상기 세로-드라이브 장치에 의해 밸브로드는 밸브 플레이트를 그의 개방 위치와 그의 중간 위치 사이에서 이동시키기 위해 세로 방향으로 이동될 수 있다), 및 진공밸브의 진공영역의 외부에 배치된 가로-드라이브 장치 (transverse drive device) (상기 가로-드라이브 장치에 의해 밸브로드는 밸브 플레이트를 그의 중간 위치와 그의 폐쇄 위치 사이에서 이동시키기 위해 가로 방향으로 이동될 수 있다) 를 포함한다.
이러한 유형의 진공밸브는 L 밸브라고도 불리우며, 이 L 밸브들은 여러 가지 구조로 공지되어 있다. 널리 보급되어 있는 비동종 구조에 있어서, 밸브 플레이트를 그의 중간 위치로부터 그의 폐쇄 위치로, 그리고 그 반대로 이동시키는 것을 가능하게 하기 위해, 밸브로드는 세로 방향에 대해 직각으로, 그리고 가로 방향에 대해 직각으로 있는 축 둘레로 선회 가능하게 지지되어 있다. 이러한 구현형태는 예컨대 US 6,431,518 B1 에 나타나 있다. 세로 드라이브를 형성하는 피스톤-실린더 유닛의 실린더는 밸브로드와 함께 선회 가능하며, 그리고 밸브로드 및 실린더를 선회시키기 위해서는 그 밖의 피스톤-실린더 유닛이 사용된다. 유사한 장치가 US 6,416,037 B1 으로부터 공지되어 있다. 예컨대 US 6,966,538 B2, US 5,641,149 A, US 6,237,892 B1 및 US 6,619,618 B2 에 나타나 있는 바와 같이, 널리 보급되어 있는 구조에 따르면, 밸브로드의 틸팅 (tilting) 은 슬라이딩 가이드를 수단으로 하여, 세로 방향 구동을 초래하는 동일한 피스톤-실린더 유닛을 통해 수행된다.
밸브 플레이트의 이동이 가로 방향으로 밸브로드의 틸팅을 통해 수행되는 사전 공지되어 있는 이 L 밸브들의 단점은, 밸브 플레이트의 이동이 정확히, 그리고 평행으로 수행되지 않고 대략적으로만 가로 방향으로 수행된다는 것이며, 이는 밸브 플레이트를 밸브시트에 대해 밀봉하는 실 (seal) 에게 보다 높은 부하를 가하게 한다.
이 이외에, 이른바 J 밸브들이 공지되어 있으며, 이 J 밸브들에 있어서 밸브시트에의 밸브 플레이트의 돌진은 가로 방향에 대해 매우 비스듬히 수행된다.
이 이외에, 중간 위치로부터 폐쇄 위치로의 밸브 플레이트의 이동이 가로 방향으로의 직선 이동을 통해 수행되는 L 밸브들이 공지되어 있다. 예컨대, 출원인의 US 2007/0272888 A1 으로부터 진공밸브가 공개되어 있으며, 이 경우 밸브 플레이트는 피스톤-실린더 유닛들을 수단으로 하여 지지 유닛에 대해 가로 방향으로 이동될 수 있다. 상기 지지 유닛은 밸드로드들에 배치되어 있으며, 상기 밸브로드들은 그 밖의 피스톤-실린더 유닛들을 수단으로 하여 세로 방향으로 이동될 수 있다. 진공밸브로서의 구현형태 이외에 이 장치는 도어 (door) 로서 형성될 수도 있으며, 상기 도어에 있어서 밸브 플레이트는 진공챔버의 벽 안의 개구부를 폐쇄하고, 그리고 이 경우 진공영역의 외부에 놓여 있을 수 있다. 지지 유닛을 지니고 있는 밸브로드들의 세로 방향 이동을 위한, 그리고 지지 유닛에 대한 밸브 플레이트의 가로 방향 이동을 위한 피스톤-실린더 유닛들을 가진 유사한 진공밸브가 US 6,899,316 A 로부터 공지되어 있다.
밸브 플레이트가 밸브로드에 부착되어 있는 L 밸브가 US 2008/0017822 A1 으로부터 공지되어 있으며, 상기 밸브로드는 세로 방향으로 이동 가능하게 안내되어 있고, 그리고 피스톤-실린더 유닛을 수단으로 하여 세로 방향으로 이동될 수 있다. 일 실시형태에서 리니어 가이드 (linear guide) 에 의해 형성된 가이드 장치를 수단으로 하여 이 피스톤-실린더 유닛은 가로 방향으로 이동 가능하게 안내되어 있으며, 이로 인해 피스톤 로드 (piston rod) 도 밸브 개구부를 구비한 벽에 대해 가로 방향으로 이동될 수 있다. 밸브 플레이트를 그의 중간 위치로부터 그의 폐쇄 위치로 이동시키기 위해, 밸브 플레이트 안에 통합된 피스톤-실린더 유닛이 사용된다. 다른 실시형태에서, 가로-드라이브 장치는 진공영역의 외부에 배치된 피스톤-실린더 유닛에 의해 형성되며, 상기 피스톤-실린더 유닛은 세로-드라이브 장치의 피스톤-실린더 유닛의 실린더에 작용한다. 이러한 설계는 특히 밸브 플레이트가 상기 밸브 플레이트를 밸브시트에 내리누르는 차이압력 (differential pressure) 에 의해서만 가압되고 있는 적용 (applications) 을 위해 적합하다. 이 경우, 밸브 플레이트를 밸브시트에 내리누르는 큰 폐쇄력이 가해질 필요가 없다. 보다 큰 폐쇄력의 전달은 이 문헌으로부터 유래하는 가로 가이드 (transverse guide) 를 갖고는 문제가 있을 것이다.
본 발명의 목적은, 두 방향으로 밸브 플레이트에 작용하는 차이압력들이 발생할 수 있는 적용을 위해서도 적합한, 도입부에 언급된 유형의 개선된 진공밸브를 제공하는 것이다.
이는 본 발명에 따르면 청구항 제 1 항의 특징들을 가진 진공밸브를 통해 달성된다.
본 발명의 진공밸브에 있어서, 밸브로드는 한편으로는 세로 방향으로 (상기 세로 방향은 그의 세로축에 대해 평행으로 놓여 있다), 밸브 개구부를 구비한 벽에 대해 이동될 수 있으며, 그리고 다른 한편으로는 상기 세로축에 대해 직각으로 있는 가로 방향으로, 밸브 개구부를 구비한 벽에 대해 평행으로 이동될 수 있다. 밸브로드를 위한 세로-드라이브 장치와 가로-드라이브 장치는 진공밸브의 진공영역의 외부에 놓여 있다. 이 이외에, 진공밸브의 진공영역의 외부에는 베어링 유닛 (bearing unit) 이 배치되어 있다. 이것에 의해 가이드 유닛 (guide unit) (상기 가이드 유닛은 밸브로드를 세로 방향으로 이동 가능하게 안내한다) 은 가로 방향으로 이동 가능하게 안내되어 있으며, 그러므로 상기 가이드 유닛은 마찬가지로 진공밸브의 진공영역의 외부에 놓여 있다. 상기 가이드 유닛은 전체로서, 즉 그의 전체 연장부에 걸쳐 가로 방향으로 상기 베어링 유닛에 대해 이동될 수 있다.
진공영역의 외부에 배치된, 벽과 단단히 연결된 베어링 유닛 (상기 베어링 유닛은 상기 가이드 유닛을 이동 가능하게 안내한다) 을 수단으로 하여, 밸브 플레이트로의 개선된 힘전달이 달성될 수 있으며, 이로 인해 두 방향으로 밸브 플레이트에 작용하는 차이압력들을 흡수하기 위한 이러한 유형의 L 밸브 (상기 L 밸브에 있어서 세로-드라이브 장치 뿐만 아니라 가로-드라이브 장치가 진공영역의 외부에 놓여 있다) 의 설계가 가능해진다. 진공영역의 외부에 세로-드라이브 장치 뿐만 아니라 가로-드라이브 장치를 배치함으로써, 바람직한, 그리고 정비가 편한 구성이 달성될 수 있다.
바람직하게는, 상기 가이드 유닛을 직선으로 가로 방향으로 이동 가능하게 지지하는 상기 베어링 유닛은 세로 방향과 관련하여 상기 가이드 유닛의 양쪽에 놓여 있는 섹션들 (sections) 을 구비한다. 이 경우, 상기 가이드 유닛은 바람직하게는 적어도 부분적으로, 바람직하게는 완전히, 상기 베어링 유닛의 수용 공간 안에 배치되어 있으며, 그리고 이것 안에서, 베어링 유닛에 의해 이동 가능하게 안내되어 있다. 상기 가이드 유닛은 상기 베어링 유닛에 의해 직선으로 가로 방향으로 안내된 활대 (滑臺)이다.
바람직하게는, 상기 세로-드라이브 장치는 적어도 하나의 피스톤-실린더 유닛을 구비한다. 그 안에 피스톤이 배치되어 있는 실린더 리세스 (cylinder recess) 는 바람직하게는 상기 가이드 유닛 안에 형성되어 있을 수 있다. 하지만 가이드 유닛과 단단히 연결된 실린더를 제공하는 것도 가능하다. 상기 피스톤-실린더 유닛의 피스톤의 밸브로드는 직접 진공영역 안으로 안내된, 그리고 밸브 플레이트를 지니고 있는 밸브로드를 형성할 수 있으며, 또는 밸브로드와 연결된 별도의 피스톤 로드가 제공되어 있을 수 있다.
상기 가로-드라이브 장치에 의해 밸브로드를 가로 방향으로 이동시키기 위해 가해져야 하는 힘은 바람직하게는 상기 가이드 유닛을 통해 밸브로드에 작용하며, 이때 이 작용은 직접 또는 적어도 하나의 기어부재 (gear member) 를 통해 수행될 수 있다. 상기 가로-드라이브 장치는 예컨대 적어도 하나의 피스톤-실린더 유닛의 형태로 형성되어 있을 수 있다.
본 발명의 가능한 실시형태에서, 상기 가로-드라이브 장치는 세로 방향으로 이동 가능한 적어도 하나의 드라이브 부품 (drive part) 을 구비하며, 상기 드라이브 부품은 적어도 하나의 기어부재를 통해 상기 가이드 유닛과 연결되어 있고, 상기 기어부재는 세로 방향으로 수행되는 상기 드라이브 부품의 운동을 가로 방향으로의 가이드 유닛의 운동으로 전환시킨다. 이 경우, 상기 드라이브 부품 또는 각각의 드라이브 부품은, 가로 방향으로 가이드 유닛을 평행으로 이동시키는 것을 달성하기 위해, 세로 방향과 관련하여 상기 드라이브 부품의 마주보고 놓여 있는 쪽들에서 각각의 기어부재를 통해 가이드 유닛과 연결되어 있는 것이 바람직하다. 다른 말로 하자면, 상기 기어부재들은 상기 가이드 유닛을 위한 평행 가이드를 형성한다. 이 경우, 상기 기어부재들은 예컨대 선회 가능하게 상기 드라이브 부품과 연결된, 또한 상기 가이드 유닛과 연결된 링크 (link) 들일 수 있으며, 상기 링크들은 함께 평행 사변형 가이드를 형성한다. 이 경우, 드라이브 부품들을 구비한 가로-드라이브 장치의 액추에이터들은 전체로서 세로 방향으로 이동 가능하게 지지 유닛에 대해 안내되어 있을 수 있으며, 그리고 예컨대 베어링 유닛에 대해 이동 가능한 플레이트 모양의 슬라이딩 부품을 수단으로 하여 상기 지지 유닛에 지지될 수 있고, 상기 슬라이딩 부품에는 상기 액추에이터들이 부착되어 있다. 이러한 방식으로, 밸브 플레이트를 그의 폐쇄 방향으로 상응하는 높은 폐쇄력을 갖고 밸브시트에 내리누르기 위해, 세로 방향과 마주한 밸브로드의 틸팅 (tilting) 에 대한 큰 힘이 가해질 수 있다.
본 발명의 바람직한 실시형태에 따르면, 밸브로드는 개방 위치에서 뿐만 아니라 중간 위치에서도, 그리고 폐쇄 위치에서도, 밸브 플레이트로부터 멀리 향하는 쪽에서 가이드 유닛 밖으로 돌출하며, 그리고 밸브 플레이트의 폐쇄 위치에서 가로 스톱 (transverse stop) 에 지지되고, 상기 가로 스톱은 베어링 유닛에 배치되어 있으며, 그리고 가로 방향으로의 밸브로드의 이동을 저지한다. 이때, 밸브로드 또는 이것과 연결된 부품은 가로 방향과 관련하여 밸브로드의 또는 이 부품의 보다 가까이 밸브시트에 놓여 있는 쪽에서 가로 스톱에 지지된다. 그러므로, 베어링 유닛의 가로 스톱, 및 그것에 밸브 플레이트가 밀착해 있는 밸브시트는 밸브 플레이트의 폐쇄 위치에서, 밸브로드와 밸브 플레이트로 형성된 유닛을 위한 2 개의 지지체를 형성한다. 이로써, 밸브 플레이트의 폐쇄 방향에서의 밸브로드의 정렬을 세로 방향에 대해 평행으로 유지하는 힘은 밸브로드로부터 베어링 유닛을 통해, 밸브 개구부를 구비한 벽으로 전달될 수 있다.
이하, 본 발명의 그 밖의 장점들 및 상세 내용을 첨부된 도면을 참조로 설명한다.
도 1 은 밸브 플레이트의 개방 위치에서, 본 발명의 제 1 실시형태에 따른 진공밸브를 나타낸 도면;
도 2 내지 도 4 는 도 1 의 선 (AA, BB 및 CC) 을 따른 단면들;
도 5 는 도 1 과 일치하는, 하지만 밸브 플레이트의 중간 위치에서의 도면;
도 6 내지 도 8 은 도 5 의 선 (AA, BB 및 CC) 을 따른 단면들;
도 9 는 도 1 과 일치하는, 하지만 밸브 플레이트의 폐쇄 위치에서의 도면;
도 10 내지 도 12 는 도 9 의 선 (AA, BB 및 CC) 을 따른 단면들;
도 13 은 본 발명의 제 1 실시형태에 상응하여 밸브로드 및 이것에 부착된 밸브 플레이트를 가진 진공밸브의 드라이브를 기울여 나타낸 도면;
도 14 는 도 13 과 일치하는, 기울여 나타낸 도면이며, 밸브의 부품들이 분해되어 도시되어 있다;
도 15 는 본 발명의 제 1 실시형태와 일치하는, 진공밸브의 그 밖의 실시변형을 나타낸 도면;
도 16 은 도 15 의 선 (AA) 을 따른 단면;
도 17 은 밸브 플레이트의 개방 위치에서, 본 발명의 제 2 실시형태에 따른 진공밸브를 나타낸 도면 (밸브로드의 벨로스 피드쓰루 (bellows feed-through) 의 벨로스는 생략되어 있다);
도 18 내지 도 20 은 도 17 의 선 (AA, BB 및 CC) 을 따른 단면들 (밸브로드의 벨로스 피드쓰루의 벨로스와 함께);
도 21 은 밸브 플레이트의 중간 위치에서 도 17 의 밸브를 나타낸 도면;
도 22 내지 도 24 는 도 21 의 선 (AA, BB 및 CC) 을 따른 단면들;
도 25 는 밸브 플레이트의 폐쇄 위치에서 도 17 의 밸브를 나타낸 도면;
도 26 내지 도 28 은 도 25 의 선 (AA, BB 및 CC) 을 따른 단면들;
도 29 는 제 2 실시형태에 따른 진공밸브의 저면도;
도 30 은 제 2 실시형태에 따른 진공밸브를 기울여 나타낸 도면;
도 31 은 도 30 과 일치하는 시선 방향으로부터 기울여 나타낸 도면이며, 진공밸브의 부품들이 분해되어 도시되어 있다;
도 32 는 도 31 과 일치하는, 하지만 다른 시각 방향에서 바라본 도면;
도 33 은 밸브로드를 가진 밸브 드라이브를 나타낸 도면이며 (벨로스 또는 벨로스 피드쓰루 없이), 부분적으로 잘라내져 있다;
도 34 는 진공챔버 안에 장착된 상태에서의 밸브의 개략적인 도면이다.
도면들은 부분적으로 간략하게 도시되어 있다. 즉, 특히 연결 플랜지들은 간략하게 도시되어 있으며, 예컨대 부분적으로 나사 구멍들이 생략되어 있고, 그리고 연결나사들이 부분적으로 도시되어 있지 않다.
이하, 본 발명의 제 1 실시형태는 도 1 내지 도 14 를 참조로 설명된다. 진공밸브는 밸브 개구부 (valve opening, 2) 를 가진 벽 (1) 을 포함하며, 상기 밸브 개구부는 축 (3) 을 구비하고, 밸브시트 (valve seat, 4) 에 의해 둘러싸여 있으며, 상기 밸브시트는 실시예에서 밀봉면에 의해 형성되어 있다. 진공밸브의 닫힌 상태에서 (도 9 내지 도 12 참조) 밸브 개구부 (2) 를 진공 밀봉적으로 (vacuum-tight) 폐쇄하기 위해 밸브 플레이트 (5) 가 제공되어 있다. 진공밸브의 열린 상태에서 (도 1 내지 도 4 참조) 밸브 플레이트 (5) 는 밸브 개구부 (2) 를 개방시키며, 이때 상기 밸브 플레이트는 바람직하게는 밸브 개구부 (2) 의 축 (3) 의 방향과 관련하여 완전히 밸브 개구부 (2) 옆에 배치되어 있다. 밸브 플레이트 (5) 의 이 개방 위치로부터 출발하여, 밸브 플레이트 (5) 는 진공밸브를 닫기 위해, 상기 밸브 플레이트가 밸브 개구부 (2) 를 덮고 있으나 (축 (3) 의 방향으로 볼 때) 아직 밸브시트 (4) 로부터 들어내져 있을 때까지 우선 세로 방향 (6) 으로 이동될 수 있다. 밸브 플레이트 (5) 의 이 중간 위치는 도 5 내지 도 8 에 도시되어 있다. 그의 개방 위치로부터 그의 중간 위치로의 밸브 플레이트의 이동은 전체 이동경로에 걸쳐 직선으로 세로 방향 (6) 으로 수행된다. 이 이외에, 밸브 플레이트는 그의 중간 위치로부터 출발하여 세로 방향에 대해 직각으로 있는 가로 방향 (7) 으로 (상기 가로 방향은 축 (3) 에 대해 평행으로 놓여 있다) 밸브시트 (4) 방향으로 이동되며, 그리고 밸브 개구부 (2) 를 밀봉하기 위해 밸브시트 (4) 에 내리눌려진다. 밸브 플레이트의 폐쇄 위치에서 (도 9 내지 도 12 참조) 진공밸브는 닫혀져 있다. 상기 중간 위치로부터 상기 폐쇄 위치로의 이동은 전체 이동경로에 걸쳐 직선으로 가로 방향 (7) 으로 수행된다.
상기 폐쇄 위치에서, 밸브 플레이트 (5) 에 배치되어 있는 탄성적인 실링링 (sealing ring) 은 밸브시트 (4) 를 형성하는 밀봉면에 내리눌려져 있다. 진공밸브의 개방은 정반대의 순서로 수행되며, 즉 밸브 플레이트의 폐쇄 위치로부터 그의 중간 위치로 수행되고, 그리고 계속해서 그의 개방 위치로 수행된다.
진공밸브의 진공영역 (=진공화된 영역) 에 배치된 밸브 플레이트 (5) 는 밸브로드 (valve rod, 12) 에 부착되어 있으며, 상기 밸브로드는 벨로스 피드쓰루를 수단으로 하여 진공밸브의 진공영역 밖으로 안내되어 있고, 즉 밸브 플레이트 (5) 가 부착되어 있는 밸브로드의 섹션 (section) 은 진공영역에 위치하며, 밸브로드 (12) 의 다른 섹션은 진공영역의 외부에 위치한다. 벨로스 피드쓰루는 도면들에 개략적으로 도시되어 있는 벨로스 (13), 예컨대 폴딩 벨로스 (folding bellows) 또는 멤브레인 벨로스 (membrane bellows) 에 의해 형성되며, 상기 벨로스는 한편으로는 밸브로드 (12) 와 진공 밀봉적으로 연결되어 있고 다른 한편으로는 벽 (46) 과 진공 밀봉적으로 연결되어 있으며, 상기 벽은 벽 (1) 과 단단히 연결되어 있고, 그리고 벽 (1) 에 대해 각이 지게, 바람직하게는 직각으로 있으며, 보다 정확히 말하면 벽 (46) 을 통한 개구부의 영역에 있고, 상기 개구부를 통해 밸브로드 (12) 가 돌출한다. 밸브 플레이트 (5) 는 도시되어 있는 바와 같이 밸브로드 (12) 와 단단히 연결될 수 있으며, 또는 이 연결은 밸브 플레이트 (5) 의 폐쇄 위치에서의 밸브시트 (4) 에의 밸브 플레이트 (5) 의 일종의 적응을 가능하게 하기 위해 탄성을 가질 수 있다. 밸브 플레이트 (5) 와 밸브로드 (4) 간의 이러한 유형의 탄성적인 연결은 공지되어 있다.
밸브로드 (12) 의 세로축 (14) 은 세로 방향 (6) 에 대해 평행으로 놓여 있다. 그의 개방 위치와 그의 중간 위치 사이에서 밸브 플레이트 (5) 를 이동시키기 위해, 밸브로드 (12) 는 세로 방향 (6) 으로 벽 (1) 에 대해 이동될 수 있다. 그의 폐쇄 위치와 그의 중간 위치 사이에서 밸브 플레이트 (5) 를 이동시키기 위해, 밸브로드 (12) 는 가로 방향 (7) 으로 벽 (1) 에 대해 평행으로 이동될 수 있다. 밸브로드를 진공영역 밖으로 안내하기 위한 벨로스 피드쓰루 대신 슬라이딩 피드쓰루 (sliding feed-through) 가 제공될 수도 있다. 상기 슬라이딩 피드쓰루는 슬라이딩 부품을 구비할 수 있으며, 상기 슬라이딩 부품은 관통 개구부를 구비하고, 상기 관통 개구부를 통해 밸브로드는 실 (seal) 을 수단으로 밀봉되어 안내되어 있다. 그러므로, 밸브로드 (12) 는 세로 방향 (6) 으로 이 슬라이딩 부품에 대해 이동될 수 있다. 상기 슬라이딩 부품 자체는 벽 (46) 에 대해 가로 방향 (7) 으로 이동 가능하게 지지되어 있으며, 이때 상기 슬라이딩 부품은 실을 수단으로 하여 벽 (46) 에 대해 밀봉되어 있다. 즉, 상기 슬라이딩 부품은 벽 (46) 에 대해 밀봉된, 그리고 가로 방향 (7) 으로 이동 가능한 일종의 활대를 형성한다. 특히 서로 직각으로 있는 2 개의 방향으로의 이동 가능성을 가능하게 하는 이러한 유형의 슬라이딩 피드쓰루는 공지되어 있다.
진공밸브를 개방 및 폐쇄하기 위해 밸브 드라이브 (valve drive) 가 쓰이며, 상기 밸브 드라이브는 진공영역의 외부에 배치된 세로-드라이브 장치 (15), 및 마찬가지로 진공영역의 외부에 배치된 가로-드라이브 장치 (16) 를 구비하고, 상기 세로-드라이브 장치에 의해 밸브로드 (12) 는 세로 방향으로 이동될 수 있고, 상기 가로-드라이브 장치에 의해 밸브로드는 가로 방향으로 이동될 수 있다.
도시되어 있는 실시예에서, 벽 (1) 은 밸브 하우징 (8) 의 일부를 형성하며, 상기 밸브 하우징은 그 밖의 개구부 (10) 를 가진, 실시예에서 벽 (1) 과 마주보고 있는 벽 (9) 을 구비한다. 밸브 개구부 (2) 와 개구부 (10) 는 밸브의 열린 상태에서 개방되어 있는, 밸브 하우징 (8) 을 통한 관통 채널의 부품이며, 상기 관통 채널은 실시예에서 직선으로 뻗어 있다. 밸브 플레이트 (5) 는 밸브 하우징 (8) 의 내부 공간 (11) 안에 수용되어 있으며, 상기 내부 공간은 진공밸브의 진공영역이다.
그 대신 벽 (1) 이 진공챔버의 부품일 수도 있다 (하기에서 도 15 및 도 16 을 참조로 설명되는 바와 같이). 이 이외에, 진공밸브는 일종의 인서트 (insert) 를 형성할 수 있으며, 상기 인서트에 있어서 벽 (1) 은 진공챔버의 진공영역 안으로 삽입된다. 하기에서 제 2 실시예와 관련하여 설명되는 도 34 의 개략적인 도면을 참조하도록 한다.
이제 세로-드라이브 장치 (15) 및 가로-드라이브 장치 (16) 의 세로 방향 (6) 및 가로 방향 (7) 으로의 밸브로드 (12) 의 이동 가능한 지지에 관한 보다 상세한 설명이 뒤따른다.
진공밸브의 진공영역의 외부에서 베어링 유닛 (17) 은 벽 (1) 과 단단히 연결되어 있다. 베어링 유닛 (17) 은 도시되어 있는 실시예에서 벽 (1) 과, 또는 벽 (1) 을 구비한 밸브 하우징 (8) 과 단단히 연결되어 있는 드라이브 하우징 (18) 을 포함하며, 상기 드라이브 하우징은 수용 공간 (19) 을 구비하고 있다. 수용 공간 (19) 안에는 가이드 유닛 (20) 이 배치되어 있으며, 상기 가이드 유닛은 수용 공간 (19) 안에서, 가로 방향 (7) 으로 직선으로 이동 가능하게 안내되어 있다. 가이드 유닛 (20) 에 의해 밸브로드 (12) 는 세로 방향 (6) 으로 이동 가능하게 안내되어 있다. 이 경우, 가이드 유닛 (20) 의 기본 바디 (base body, 23) 는 밸브로드 (12) 에 의해 관통된 관통 채널을 구비하며, 상기 관통 채널 안에서, 밸브로드 (12) 는 가이드 부시 (21, 22) 를 수단으로 하여 세로 방향 (6) 으로 이동 가능하게 안내되어 있다. 베어링 유닛 (17) 에 대한 가이드 유닛 (20) 의 이동 가능한 안내는 하기에서 보다 상세히 설명된다.
세로-드라이브 장치 (15) 는 도시되어 있는 실시예에서 액추에이터로서 2 개의 피스톤 (25) 을 포함하며, 상기 피스톤들은 각각 가이드 유닛 (20) 의 기본 바디 (23) 안의 실린더 리세스 (cylinder recess, 26) 안에 배치되어 있다. 실린더 리세스 (26) 들은 가이드 유닛 (20) 의 실린더 커버 (24) 에 의해 폐쇄되며, 상기 실린더 커버는 피스톤 (25) 에 부착된 피스톤 로드 (piston rod, 27) 들에 의해 관통된다. 피스톤 로드 (27) 들은 요크 (yoke, 28) 를 통해 밸브로드 (12) 와 단단히 연결되어 있으며, 따라서 실린더 리세스 (26) 들 안에서의 피스톤 (25) 의 이동시 압력매체 (pressure medium), 바람직하게는 압축공기를 수단으로 하여 밸브로드 (12) 는 세로 방향 (6) 으로 동반된다. 요크 (28) 는 밸브로드 (12) 와의 연결을 위해 예컨대 상기 밸브로드에 나사결합되어 있다.
가로-드라이브 장치 (16) 는 액추에이터로서, 실 (seal, 36) 들을 가진 2 개의 피스톤 (29) 을 포함하며, 상기 피스톤들은 실린더 리세스 (30) 들 안에 배치되어 있고, 상기 실린더 리세스들은 가이드 유닛 (20) 의 기본 바디 (23) 안에 형성되어 있다. 피스톤 (29) 들은 피스톤 로드 (31) 들에 고정되어 있으며, 상기 피스톤 로드들은 도시되어 있는 실시예에서 베어링 유닛 (17) 의 드라이브 하우징 (18) 과 일체로 형성되어 있다. 이 의미에서 피스톤 (29) 들은 베어링 유닛 (17) 의 부품들이다. 피스톤 로드 (31) 들은 베어링 유닛 (17) 의 별도의 부품들에 의해 형성될 수도 있으며, 상기 부품들은 베어링 유닛 (17) 의 드라이브 하우징 (18) 과 단단히 연결되어 있다.
피스톤 (29) 들은 도시되어 있는 실시예에서 단동식 피스톤으로서 형성되어 있다. 피스톤 로드 (31) 쪽에서 피스톤 (29) 과 드라이브 하우징 (18) 사이에 놓여 있는 공간을 가압함으로써, 가이드 유닛 (20) 과 밸브로드 (12) 는 밸브 플레이트 (5) 가 그의 폐쇄 위치로부터 그의 중간 위치로 이동되도록 베어링 유닛 (17) 에 대해 가로 방향 (7) 으로 이동될 수 있다. 가이드 유닛 (20) 과 밸브로드 (12) 와 밸브 플레이트 (5) 를 정반대 방향으로 이동시키기 위해, 도시되어 있는 실시예에서 우선 한 번 스프링 장치가 쓰인다. 이것은 가이드 유닛 (20) 과 드라이브 하우징 (18) 사이에서 작용하는 코일 스프링 (32) 을 포함한다. 코일 스프링 (32) 은 각각의 피스톤 로드 (31) 를 둘러싸는 영역 상에 배치되어 있다 (도 14 에서 코일 스프링들은 편의상 피스톤 로드 (31) 에서만 도시되어 있다). 코일 스프링 (32) 들의 다른 배열 및/또는 이러한 스프링 장치를 형성하기 위한 다른 스프링들의 이용도 가능하다.
밸브 플레이트 (5) 의 폐쇄 위치에서, 밸브시트 (4) 로부터 밸브 플레이트 (5) 를 밀쳐내기의 의미에서 작용하는 보다 큰 차이압력이 밸브 플레이트 (5) 에 작용하지 않으면, 스프링 장치에 의해 밸브 플레이트 (5) 에 가해진, 밸브시트 (4) 에의 누름력은 밸브 개구부 (2) 를 밀봉하기에 충분하다. 이는 예컨대 진공밸브가 2 개의 진공챔버 사이를 밀봉하기 위해 제공되어 있고, 그리고 챔버들 중 하나 안에서 예컨대 반도체 산업을 위한 진공 공정이 실행되면 그러하다.
밸브 플레이트 (5) 를 밸브시트 (4) 로부터 멀리 가압하는 보다 큰 차이압력의 경우 밸브시트 (4) 에의 밸브 플레이트 (5) 의 보다 큰 누름력이 필요해지면, 추가적으로 가이드 유닛의 기본 바디 (23) 와 베어링 유닛 (17) 의 드라이브 하우징 (18) 사이에 제공된 압력공간 (33), 또는 도시되어 있는 실시예에서 2 개의 이러한 압력공간 (33) 들은 압력매체, 특히 압축공기를 갖고 가압될 수 있다. 압력공간 (33) 들은 실 (34, 35) 들에 의해 밀봉된다.
밸브 플레이트 (5) 를 밸브시트 (4) 쪽으로 또는 상기 밸브시트로부터 멀리 가압하는 보다 높은 차이압력은 예컨대 진공밸브를 통해 연결된 두 진공챔버 중 하나의 플러딩 (flooding) 의 경우 예컨대 정비를 목적으로 발생할 수 있다.
코일 스프링 (32) 들 또는 달리 형성된 스프링들은 생략될 수도 있다. 스프링들 및/또는 압력공간 (33) 들 대신 복동식 피스톤 (29) 들이 제공되어 있을 수도 있다.
베어링 유닛 (17) 에 대해 가이드 유닛 (20) 을 안내하기 위해, 피스톤 로드 (31) 들과 가이드 유닛 (20) 들의 기본 바디 (23) 들 사이에 배치된 가이드 부시 (37) 들이 사용된다 (도 4, 도 8 및 도 12 참조). 실 (34) 및/또는 실 (35) 및/또는 실 (36) 도 안내 기능을 맡도록 형성되어 있을 수 있다. 이 경우, 가이드 부시 (37) 들은 생략될 수도 있다.
가이드 유닛 (20) 의 밸브 플레이트 (5) 로부터 멀리 향하는 쪽에서 가이드 유닛 (20) 밖으로 돌출하는 밸브로드 (12) 는, 밸브 플레이트 (5) 의 폐쇄 위치에서 가이드 유닛 (20) 밖으로 돌출하는 이 섹션에서, 베어링 유닛 (17) 의 드라이브 하우징 (18) 에 배치된 가로 스톱 (transverse stop, 38) 과 바람직하게는 도시되어 있는 바와 같이 밸브로드 (12) 의 단부영역에서 상호 작용한다. 밸브 플레이트 (5) 의 개방 위치에서, 그리고 밸브 플레이트 (5) 의 중간 위치에서, 밸브로드 (12) 는 가로 스톱 (38) 으로부터 간격을 두고 있다. 중간 위치로부터 폐쇄 위치로의 밸브 플레이트 (5) 의 이동시, 밸브로드 (12) 는 바람직하게는 밸브시트 (4) 에 밸브 플레이트 (5) 가 부딪침과 동시에 가로 스톱 (38) 에 부딪친다. 그러므로, 밸브로드 (12) 는 가로-드라이브 장치 (16)) 의 맞물림 요소의 양쪽에서 밸브로드 (12) 에 (이 맞물림 영역은 가이드 유닛 (20) 에 대한 밸브로드 (12) 의 이동 가능한 지지 영역에 놓여 있다), 벽 (1) 또는 상기 벽 (1) 과 단단히 연결된 부품에 지지되어 있다. 이로 인해, 밸브로드 (12) 의 세로방향 안내에 의해, 그리고 가이드 유닛 (20) 의 가로방향 안내에 의해 큰 틸팅력 (tilting forces) 이 흡수 가능할 필요 없이 간단한 방식으로 밸브시트 (4) 에의 밸브 플레이트 (5) 의 필요한 누름력이 전달될 수 있다.
세로-드라이브 장치 (15) 및/또는 가로-드라이브 장치 (16) 는 도시되어 있는 두 피스톤 (25 또는 29) 보다 많거나 또는 더 적은 피스톤을 구비할 수도 있다. 가이드 유닛 (20) 의 기본 바디 (23) 안의 리세스들로서 세로 드라이브 장치 (15) 의 피스톤 (25) 들을 위한 및/또는 가로-드라이브 장치 (16) 의 피스톤 (29) 들을 위한 실린더 리세스 (26) 들 대신에, 별도의 실린더들이 제공되어 있을 수 있으며, 상기 실린더들은 상기 가이드 유닛과 단단히 연결되어 있다. 실린더들과 피스톤들의 정반대의 배열도 가능하다. 즉, 세로-드라이브 장치 (15) 의 피스톤들은 가이드 유닛 (20) 과 단단히 연결될 수 있으며, 이 피스톤들의 실린더들은 밸브로드 (12) 와 연결되어 있을 수 있고 및/또는 가로-드라이브 장치 (16) 의 피스톤 (29) 들은 가이드 유닛 (20) 과 단단히 연결되어 있을 수 있고, 그리고 이 피스톤들을 위한 실린더들은 베어링 유닛 (17) 과 단단히 연결되어 있을 수 있으며 또는 실린더 리세스의 형태로 베어링 유닛 (17) 안에 형성되어 있을 수 있다.
도 15 및 도 16 에 도시되어 있는 실시 변형에서, 밸브 드라이브, 밸브로드 (12), 및 이것과 연결된 밸브 플레이트 (5) 는 도 1 내지 도 14 에 도시되어 있는 바와 동일하게 형성되어 있다. 이 실시 변형의 차이는, 밸브 개구부 (2) 를 구비하는 진공밸브의 벽 (1) 이 여기에서는 도 15 및 도 16 에 부분적으로만, 그리고 개략적으로 도시되어 있는 진공챔버 (39) 의 부품이라는 것뿐이다. 밸브 플레이트 (5) 는 진공챔버 (39) 의 내부에 놓여 있으며, 상기 진공챔버는 상기 진공챔버가 펌프 아웃되면 (pumped out) 밸브의 진공영역이다. 그의 개구부를 통해 밸브로드 (12) 가 진공챔버 (39) 의 진공영역 밖으로 안내되어 있는 벽 (46) 은 도 15 및 도 16 에 별도의 부품으로서 도시되어 있으며, 상기 부품은 보다 정확히 말하면 진공챔버 (39) 의 개구부 둘레의 영역에서 플랜지 연결 (flange connection) 을 통해 진공챔버 (39) 와 연결되어 있다. 그러므로, 이 플랜지 연결의 개방을 통해, 벽 (46) 은 그것에 부착되어 있는 밸브 드라이브와 함께, 그리고 밸브로드 및 밸브 플레이트 (5) 와 함께 떼어내질 수 있다.
이하, 본 발명의 제 2 실시형태는 도 17 내지 도 34 를 참조로 설명된다.
본 발명의 제 1 실시형태의 설명부의 제 1 다섯 개의 단락에서 (즉, 도면들의 간략한 설명 뒤에 "본 발명의 제 1 실시형태는..." 라고 시작되는) 제 1 실시형태에 관해 기술했던 모든 것들은 제 2 실시형태에 동일하게 적용되며, 동일한 부품은 동일한 참조부호로 표시되어 있다.
제 1 실시형태에 대한 차이는 우선 벽 (1) 이 여기에서는 - 개구부들은 제외하고 - 닫힌 밸브 하우징의 부품이 아니라는 것이다. 진공밸브는 도 34 에 개략적으로 도시되어 있는 바와 같이 오히려 진공챔버 (42) 안으로 삽입하기 위한 인서트 (insert) 로서 형성되어 있다. 그러므로, 벽 (1) 은, 진공챔버 (42) 의 개구부 (43) 를 둘러싸는 영역에서 진공챔버의 벽 (41) 에 대해 벽 (1) 을 밀봉하기 위해, 밸브시트 (4) 와 마주하고 있는 쪽에서 밸브 개구부 (2) 를 둘러싸는 탄성적인 실 (seal, 40) 을 구비한다. 벽 (1) 에 대해 직각으로 있는 벽 (46) 은 (그의 개구부를 통해 밸브로드 (12) 가 나가며, 이때 밸브로드 (12) 는 벨로스 (13) 를 포함하고 있는 벨로스 피드쓰루를 수단으로 하여 진공 밀봉적으로 진공밸브의 진공영역 밖으로 안내되어 있다) 이 개구부를 둘러싸는 탄성적인 실 (47) 을 구비하는데, 상기 실은 벽 (44) 의 개구부 (45) 및 개구부 (60) 를 둘러싸는 영역에서 진공챔버의 벽 (44) 에 대해 벽 (46) 을 밀봉하기 위한 것이다. 진공챔버 (42) 에 고정하기 위한 볼트 (61) 가 개략적으로 도시되어 있다.
진공챔버 (42) 안으로 삽입될 수 있는 인서트의 형태로 진공밸브를 형성하는 대신 진공밸브는 밸브 하우징을 구비할 수 있으며, 상기 밸브 하우징은 도 1 내지 도 14 을 참조로 설명한 바와 동일한 형태로 형성되어 있다. 또한, 벽 (1) 은 도 15 및 도 16 에 도시되어 있는 바와 같이, 또한 이 도면들을 참조로 설명한 바와 같이 진공챔버의 부품일 수 있다.
이제 세로-드라이브 장치 (15) 와 가로-드라이브 장치 (16) 의 세로 방향 (6) 및 가로 방향 (7) 으로의 밸브로드 (12) 의 이동 가능한 지지에 관한 보다 상세한 설명이 뒤따른다.
벽 (1) 과 단단히 연결된, 진공영역의 외부에 배치된 베어링 유닛 (17') 은 수용 공간 (19') 을 가진 드라이브 하우징 (18') 을 구비한다. 밸브로드 (12) 를 세로 방향 (6) 으로 이동 가능하게 지지하는 가이드 유닛 (20') 은 수용 공간 (19') 안에 배치되어 있으며, 그리고 이것 안에서, 베어링 유닛 (17') 으로부터 가로 방향 (7) 으로 직선으로 이동 가능하게 안내되어 있다. 이동 가능한 안내를 위해 가이드 부시 (48) 들이 사용되며, 상기 가이드 부시들은 드라이브 하우징 (18') 의 부시 수용부 (49) 들 안에 수용되어 있고, 상기 가이드 부시들 안으로 가이드 핀 (50) 들이 돌출하고, 상기 가이드 핀들은 가이드 유닛 (20') 의 기본 바디 (23') 에 단단히 부착되어 있으며, 또는 기본 바디 (23') 와 일체로 형성되어 있다. 가이드 핀 (50) 들과, 가이드 부시 (48) 들의 축들은 가로 방향에 대해 평행으로 놓여 있다. 도시되어 있는 실시예에서, 가로 방향에 대해 직각으로, 그리고 세로 방향에 대해 직각으로 있는 방향으로 간격을 둔, 부시 수용부 (49) 들 안에 수용된 2 개의 가이드 부시 (48) 가 존재하며, 상기 가이드 부시들 안에는 각각 하나의 가이드 핀 (50) 이 이동 가능하게 안내되어 있다. 도 31 에는 가이드 핀 (50) 에 의해 아래로 당겨진, 그리고 가이드 부시 (48) 밖으로 당겨진 상태에서의 가이드 부시 (48) 들 중 하나가 도시되어 있다. 다른 가이드 부시는 도 32 에서 가이드 핀 (50) 위에 배치된 상태에서 눈에 띄인다. 가이드 부시 (48) 안에서 이동 가능한 단 하나의 가이드 핀 (50), 또는 2 개 이상의 이러한 이동 가능하게 안내된 가이드 핀 (5) 들이 제공되어 있을 수도 있다.
세로-드라이브 장치 (15') 는 액추에이터로서 피스톤 (25') 을 구비하며, 상기 피스톤은 가이드 유닛 (20') 의 기본 바디 (23') 안에 형성되어 있는 실린더 리세스 (26') 안에 배치되어 있다. 피스톤 (25') 은 밸브로드 (12) 위에 배치되어 있으며, 그러므로 상기 밸브로드는 세로-드라이브 장치 (15') 의 피스톤-실린더 유닛의 피스톤 로드를 형성한다. 실린더 리세스 (26') 는 밸브로드 (12) 의 밸브 플레이트쪽 단부로부터 멀리 향하는 쪽에서 실린더 커버 (24') 에 의해 닫혀져 있으며, 상기 실린더 커버는 여기에서는 부시 유형으로 형성되어 있고, 그리고 밸브로드 (12) 를 이동 가능하게 안내한다. 피스톤 (25') 의 다른 쪽에서, 밸브로드 (12) 는, 상기 밸브로드가 가이드 유닛 (20') 의 기본 바디 (23') 의 개구부를 관통하는 그의 영역에서 마찬가지로 가이드 유닛 (20') 에 의해 세로 방향 (6) 으로 이동 가능하게 지지되어 있으며, 이로 인해 밸브로드 (12) 의 세로축 (14) 은 세로 방향 (6) 에 대해 평행으로 유지된다.
가로-드라이브 장치 (16') 는 세로 방향 (6) 으로 이동 가능한 2 개의 드라이브 부품 (51) 을 포함하며, 상기 드라이브 부품들은 도시되어 있는 실시예에서 각각의 피스톤-실린더 유닛의 피스톤의 형태로 형성되어 있고, 상기 피스톤-실린더 유닛들은 가로-드라이브 장치 (16') 의 액추에이터들을 형성한다. 예컨대 액추에이터로서 전자기식으로 작용하는 적어도 하나의 리니어 드라이브 (linear drive) 가 제공될 수도 있다. 피스톤의 형태로 형성된 드라이브 부품 (51) 들은 실린더 리세스 (30') 들 안에 배치되어 있으며, 상기 실린더 리세스들은 베어링 유닛 (17') 의 드라이브 하우징 (18') 안에 배치되어 있다. 실린더 리세스 (30') 들은 양쪽에서 실린더 커버 (52, 53) 에 의해 닫혀져 있다. 드라이브 하우징 (18') 안에 실린더 리세스 (30') 를 형성하는 대신 베어링 유닛 (17') 의 별도의 실린더들이 제공될 수도 있으며, 상기 실린더들은 드라이브 하우징 (18') 과 단단히 연결되어 있다. 피스톤 로드 (31') 는 양쪽에서 각각의 드라이브 부품 (51) 보다 돌출하며, 그리고 양쪽에서 실린더 리세스 (30') 밖으로 돌출한다. 실린더 리세스 (30') 밖으로 돌출하는 두 단부에서, 피스톤 로드 (31') 는 각각 기어부재 (54, 55) 를 통해 가이드 유닛 (20') 과 연결되어 있다. 상기 기어부재는 한편으로는 선회 가능하게 피스톤 로드 (31') 와 연결된, 다른 한편으로는 선회 가능하게 베어링 유닛 (17') 과 연결된 링크들이며, 이때 이 선회축들은 가로 방향 (7) 에 대해 직각으로, 그리고 세로 방향 (6) 에 대해 직각으로 정렬되어 있다. 가이드 유닛 (20') 과의 선회 가능한 연결을 위해, 도시되어 있는 바와 같이 선회 볼트 (56, 57) 들이 제공되어 있을 수 있으며, 상기 선회 볼트들은 베어링 리세스들을 관통하고, 상기 베어링 리세스들 안으로 기어부재 (54, 55) 들이 돌출한다.
밸브 플레이트 (5) 의 개방 위치 및 중간 위치에서, 기어부재 (54, 55) 들은 가로 방향 (7) 에 대해 각이 지게 위치해 있다. 실린러 리세스 (30') 의 상응하는 실린더 공간을 압력매체, 바람직하게는 압축공기로 가압하여 드라이브 부품 (51) 들을 세로 방향으로 이동시킴으로써 가로 방향 (7) 에 대한 각도는 적어도 감소되며, 이로 인해 가이드 유닛 (20') 은 베어링 유닛 (17') 에 대해 가로 방향 (7) 으로 이동된다.
밸브시트 (4) 에 밸브 플레이트 (5) 를 내리누르기 위해 밸브 플레이트 (5) 의 폐쇄 위치에서 가로-드라이브 장치에 의해 가해질 수 있는 힘을 베어링 유닛 (17') 에 전달하기 위해서는, 이동 가능하게 베어링 유닛 (17') 에 접해 있는 슬라이딩 부품 (sliding part, 58) 이 사용된다. 상기 슬라이딩 부품은 예컨대 도시되어 있는 바와 같이 각각의 기어부재 (54, 55) 와 피스톤 로드 (31') 의 연결 영역에서, 각각의 피스톤 로드 (31') 와 드라이브 부품 (51) 의 양쪽에서 연결되어 있다. 그러므로, 슬라이딩 부품 (58) 은 베어링 유닛 (17') 에 대한 드라이브 부품 (51) 의 세로 방향 이동에 있어서 함께 이동된다. 베어링 유닛 (17') 에 대한 슬라이딩 부품 (58) 의 이동 가능한 안내를 위해 롤링 베어링 (59) 들이 제공되어 있다. 미끄럼 베어링의 이용도 또한 가능하다. 그러므로, 슬라이딩 부품 (58) 의 위로, 피스톤 로드 (31') 는 밸브 플레이트 (5) 의 폐쇄 위치에서의 베어링 유닛 (17') 에 대해 지지된다. 그러므로, 힘전달은 피스톤 로드 (31') 의 이동 가능한 안내를 통해 수행될 필요가 없다. 하지만, 슬라이딩 부품 (58) 이 생략되고, 그리고 피스톤 로드 (31') 로부터 베어링 유닛 (17') 으로의 힘전달이 피스톤 로드의 이동 가능한 지지를 통해 수행되는 것도 가능하다.
피스톤의 형태로 형성된 드라이브 부품 (51) 들을 실린더 리세스 (26) 들 (상기 실린더 리세스들은 베어링 유닛 (17') 의 드라이브 하우징 (18') 안에 형성되어 있다) 안에 수용하는 대신, 드라이브 하우징 (18') 과 단단히 연결된 별도의 실린더들을 제공하는 것도 가능하다.
가로-드라이브 장치 (16') 를 위한 2 개의 드라이브 부품 (51) 보다 많거나 또는 더 적은 드라이브 부품들이 제공되는 것도 가능하다.
기어부재 (54, 55) 들은 선회 가능한 레버 형태와는 달리 형성될 수도 있으며, 예컨대 베어링 유닛 (17') 에 대한 가이드 유닛 (20') 의 평행 이동을 위해 쐐기 요소들이 가능하다.
드라이브 부품 (51) 들을 한 방향으로 가압하는 스프링 장치도 가능하다.
세로-드라이브 장치 (15') 는 액추에이터로서 하나 또는 다수의 별도의 피스톤-실린더 유닛을 구비할 수 있으며, 그의 피스톤들은 밸브로드 (12) 와 연결되어 있다.
제 2 실시예에서도, 밸브로드 (12) 는 밸브 플레이트 (5) 의 폐쇄 위치에서 밸브 플레이트 (5) 로부터 멀리 향하는 쪽에서 가이드 유닛 (20') 밖으로 위치해 있는 섹션에서 베어링 유닛 (17') 의 가로 스톱 (transverse stop) 에 밀착하는 것이 가능하다. 이로 인해, 세로 방향 (6) 에 대해 평행한 그의 정렬로부터의 밸브로드 (12) 의 틸팅에 맞선 세로 방향 및 가로 방향 안내에 의해 가해질 수 있는 힘들이 감소될 수 있다.
제 1 실시형태에서는, 언급한 바와 같이 인서트로서 형성된 밸브바디 (valve body) 가 제공될 수 있으며, 상기 밸브바디는 밸브 개구부 (2) 를 구비한 벽 (1) 을 포함한다. 도 34 에 대해 언급된 것은 이러한 실시 변형에 동일하게 적용될 수 있다.
제 1 실시형태 (도 1 내지 도 16) 에서 뿐만 아니라 제 2 실시형태 (도 17 내지 도 34) 에서도 특히 다음과 같은 수정이 가능하다:
탄성적인 실은 밸브시트 (4) 에 부착될 수도 있으며, 그리고 밸브 플레이트 (5) 에는 상기 탄성적인 실과 상호 작용하는 밀봉면이 제공되어 있을 수 있다.
밸브 플레이트 (5) 를 지니고 있는 두 개 이상의 밸브로드 (12) 가 제공되어 있을 수도 있다.
하나 또는 다수의 밸브로드 (12) 를 지니고 있는 두 개 이상의 밸브 플레이트 (5) 가 제공되어 있을 수도 있다.
기술되어 있는 여러 가지의, 바람직하게는 공압식 피스톤-실린더 유닛들을 위한 압력매체 라인들이 상세히 설명되어 있지 않다. 부분적으로, 압력매체를 위한 채널들을 형성하는 보어 (bore) 들을 단면도들에서 알아볼 수 있다. 피스톤-실린더 유닛들과는 다른 액추에이터들도 진공밸브의 세로 및/또는 가로 드라이브를 위해 이용 가능하다.
1 : 벽 2 : 밸브 개구부
3 : 축 4 : 밸브시트
5 : 밸브 플레이트 6 : 세로 방향
7 : 가로 방향 8 : 밸브 하우징
9 : 벽 10 : 개구부
11 : 내부 공간 12 : 밸브로드
13 : 벨로스 14 : 세로축
15, 15' : 세로-드라이브 장치 16, 16' : 가로-드라이브 장치
17, 17' : 베어링 유닛 18, 18' : 드라이브 하우징
19, 19' : 수용 공간 20, 20' : 가이드 유닛
21 : 가이드 부시 22 : 가이드 부시
23, 23' : 기본 바디 24, 24' : 실린더 커버
25, 25' : 피스톤 26, 26' : 실린더 리세스
27 : 피스톤 로드 28 : 요크
29 : 피스톤 30, 30' : 실린더 리세스
31, 31' : 피스톤 로드 32 : 코일 스프링
33 : 압력공간 34 : 실
35 : 실 36 : 실
37 : 가이드 부시 38 : 가로 스톱
39 : 진공챔버 40 : 실
41 : 벽 42 : 진공챔버
43 : 개구부 44 : 벽
45 : 개구부 46 : 벽
47 : 실 48 : 가이드 부시
49 : 부시 수용부 50 : 가이드 핀
51 : 드라이브 부품 52 : 실린더 커버
53 : 실린더 커버 54 : 기어부재
55 : 기어부재 56 : 선회 볼트
57 : 선회 볼트 58 : 슬라이딩 부품
59 : 롤링 베어링 60 : 개구부
61 : 볼트

Claims (14)

  1. 진공밸브로서, 상기 진공밸브는 밸브시트 (4) 에 의해 둘러싸인 밸브 개구부 (2) 를 가진 벽 (1), 진공밸브의 진공영역에 배치된 적어도 하나의 밸브 플레이트 (5), 밸브 플레이트 (5) 를 지니고 있는 적어도 하나의 밸브로드 (12), 진공밸브의 진공영역의 외부에 배치된 세로-드라이브 장치 (15, 15'), 및 진공밸브의 진공영역의 외부에 배치된 가로-드라이브 장치 (16, 16') 를 포함하는 진공밸브에 있어서,
    상기 밸브 플레이트는 밸브 플레이트가 밸브 개구부 (2) 를 개방하는 개방 위치로부터 세로 방향 (6) 으로 이동하면, 밸브 플레이트는 밸브 개구부 (2) 를 덮고 있지만 밸브시트 (4) 로부터 들어내져 있는 중간 위치로 이동될 수 있고, 밸브 플레이트의 중간 위치로부터, 세로 방향 (6) 에 대해 직각으로 있는 가로 방향 (7) 으로 이동하면, 밸브 플레이트는 밸브시트에 내리눌려져서 밸브 개구부 (2) 를 폐쇄하는 폐쇄 위치로 이동될 수 있고,
    상기 밸브로드는 진공밸브의 진공영역 밖으로 안내되어 있으며, 그리고 벽 (1) 에 대해, 밸브로드 (12) 의 세로축 (14) 에 대해 평행으로 놓여 있는, 세로 방향 (6) 으로 이동될 수 있고, 또한 상기 벽에 대해 가로 방향 (7) 으로 평행으로 이동될 수 있고,
    상기 세로-드라이브 장치에 의해 밸브로드 (12) 는 밸브 플레이트 (5) 를 그의 개방 위치와 그의 중간 위치 사이에서 이동시키기 위해 세로 방향 (6) 으로 이동될 수 있고,
    상기 가로-드라이브 장치에 의해 밸브로드 (12) 는 밸브 플레이트 (5) 를 그의 중간 위치와 그의 폐쇄 위치 사이에서 이동시키기 위해 가로 방향 (7) 으로 평행으로 이동될 수 있고,
    가이드 유닛 (20, 20') 은 진공밸브의 진공영역의 외부에 위치해 있고, 밸브로드 (12) 를 세로방향 (6) 으로 이동 가능하게 안내하고, 베어링 유닛 (17, 17') 은 진공밸브의 진공영역 밖에 위치해 있고, 벽 (1) 에 단단히 연결되어 있고, 베어링 유닛 (17, 17') 은 가이드 유닛 (20, 20') 을 가로 방향 (7) 으로 이동 가능하게 안내하고,
    가로-드라이브 장치 (16, 16') 에 의해 밸브로드 (12) 를 가로 방향 (7) 으로 이동시키기 위해 가해진 힘은 가이드 유닛 (20, 20') 을 통해 밸브로드 (12) 에 작용하며, 그리고 이 경우 가이드 유닛 (20, 20') 은 밸브로드 (12) 와 함께 가로 방향 (7) 으로 베어링 유닛 (17, 17') 에 대해 이동시키는 것을 특징으로 하는 진공밸브.
  2. 제 1 항에 있어서, 베어링 유닛 (17, 17') 은 세로 방향 (6) 과 관련하여 가이드 유닛 (20, 20') 의 양쪽으로 연장되어 있는 것을 특징으로 하는 진공밸브.
  3. 제 1 항에 있어서, 가이드 유닛 (20, 20') 은 베어링 유닛 (17, 17') 의 수용 공간 (19, 19') 안에 배치되어 있으며, 그리고 이것 안에서, 가로 방향 (7) 으로 이동 가능하게 안내되어 있는 것을 특징으로 하는 진공밸브.
  4. 제 1 항에 있어서, 세로-드라이브 장치 (15, 15') 는 밸브로드 (12) 와 연결되어 있는 적어도 하나의 피스톤 (25, 25') 을 구비하며, 상기 피스톤은 실린더 리세스 (26, 26') 안에 배치되어 있는 것을 특징으로 하는 진공밸브.
  5. 제 4 항에 있어서, 실린더 리세스 (26, 26') 는 가이드 유닛 (20, 20') 안에 형성되어 있는 것을 특징으로 하는 진공밸브.
  6. 삭제
  7. 제 1 항에 있어서, 가로-드라이브 장치 (16') 는 세로 방향 (6) 으로 이동 가능한 적어도 하나의 드라이브 부품 (51) 을 구비하며, 상기 드라이브 부품에 구비된 피스톤 로드 (31') 의 양단부가 적어도 하나의 기어부재 (54, 55) 를 통해 가이드 유닛 (20') 과 연결되어 있고, 기어부재와 가이드 유닛은 선회 볼트 (57) 에 의해 고정되는 것을 특징으로 하는 진공밸브.
  8. 제 7 항에 있어서, 가로 방향 (7) 으로 가이드 유닛 (20') 을 평행으로 이동시키기 위해, 적어도 하나의 드라이브 부품 (51) 은, 상기 드라이브 부품 (51) 에서 세로방향 (6) 으로 떨어져 있는 두 개의 단부에 있어서, 첫 번째 단부는 첫 번째 기어부재 (54) 를 통해 상기 가이드 유닛 (20') 과 연결되고, 두 번째 단부는 두 번째 기어부재 (55) 를 통해 상기 가이드 유닛 (20') 과 연결되어 있는 것을 특징으로 하는 진공밸브.
  9. 제 8 항에 있어서, 기어부재 (54, 55) 들은 선회 가능하게 가이드 유닛 (20') 과 연결된, 또한 선회 가능하게 드라이브 부품 (51) 과 또는 이것과 단단히 연결된 부품 (31') 과 연결된 링크들에 의해 형성되는 것을 특징으로 하는 진공밸브.
  10. 제 1 항에 있어서, 가로-드라이브 장치 (16) 는 적어도 하나의 피스톤 (29) 을 구비하며, 상기 피스톤은 실린더 리세스 (30) 안에 배치되어 있고, 상기 실린더 리세스는 가이드 유닛 (20) 안에 형성되어 있는 것을 특징으로 하는 진공밸브.
  11. 제 10 항에 있어서, 가이드 유닛 (20) 과 베어링 유닛 (17) 사이에는, 압력공간 (33) 안으로 도입된 압력매체를 수단으로 하여 가이드 유닛 (20) 을 가로 방향 (7) 을 가압하기 위한 압력공간 (33) 이 제공되어 있는 것을 특징으로 하는 진공밸브.
  12. 제 1 항 내지 제 5 항, 제 10 항 및 제 11 항 중 어느 한 항에 있어서, 가이드 유닛 (20) 과 베어링 유닛 (17) 사이에는, 가이드 유닛 (20) 을 가로 방향으로 가압하기 위한 스프링 장치 (32) 가 제공되어 있는 것을 특징으로 하는 진공밸브.
  13. 제 1 항 내지 제 5 항, 제 7 항 내지 제 11 항 중 어느 한 항에 있어서, 밸브 플레이트 (5) 의 폐쇄 위치에서, 밸브 플레이트 (5) 로부터 멀리 향하는 쪽에서 가이드 유닛 (20, 20') 밖으로 돌출하는 밸브로드 (12) 의 섹션은 가로 스톱 (38) 에 지지되어 있는 것을 특징으로 하는 진공밸브.
  14. 제 4 항, 제 5 항, 제 7 항 내지 제 9 항 중 어느 한 항에 있어서, 세로-드라이브 장치 (15') 의 피스톤 (25') 은 밸브로드 (12) 의 양 옆에 배열되어 있고,
    세로-드라이브 장치 (15') 의 대향하는 피스톤 (25') 사이의 영역 안에 놓여있는 밸브로드 (12) 로서, 상기 밸브로드 (12) 는 상기 영역 안에서 가이드 유닛 (20') 에 의해 이동 가능하게 안내되는 것을 특징으로 하는 진공밸브.
KR1020117006802A 2008-09-29 2009-09-21 진공밸브 KR101726452B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102008049353A DE102008049353A1 (de) 2008-09-29 2008-09-29 Vakuumventil
DE102008049353.8 2008-09-29
PCT/AT2009/000366 WO2010034046A1 (de) 2008-09-29 2009-09-21 Vakuumventil

Publications (2)

Publication Number Publication Date
KR20110073476A KR20110073476A (ko) 2011-06-29
KR101726452B1 true KR101726452B1 (ko) 2017-04-12

Family

ID=41401544

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117006802A KR101726452B1 (ko) 2008-09-29 2009-09-21 진공밸브

Country Status (7)

Country Link
US (1) US8672293B2 (ko)
JP (1) JP5490124B2 (ko)
KR (1) KR101726452B1 (ko)
CN (1) CN102165232B (ko)
DE (1) DE102008049353A1 (ko)
TW (1) TWI479097B (ko)
WO (1) WO2010034046A1 (ko)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008061315B4 (de) 2008-12-11 2012-11-15 Vat Holding Ag Aufhängung einer Ventilplatte an einer Ventilstange
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8833383B2 (en) 2011-07-20 2014-09-16 Ferrotec (Usa) Corporation Multi-vane throttle valve
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102425541B (zh) * 2011-12-20 2013-12-04 无锡威孚精密机械制造有限责任公司 恒功率阀
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101375280B1 (ko) * 2012-04-06 2014-03-17 프리시스 주식회사 게이트 밸브
US20130276905A1 (en) * 2012-04-19 2013-10-24 Vat Holding Ag Valve housing for a vacuum valve
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8960641B2 (en) * 2012-11-14 2015-02-24 Vat Holding Ag Vacuum valve
EP2740979A1 (de) 2012-12-05 2014-06-11 VAT Holding AG Vakuumventil
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP2749798B1 (de) * 2012-12-27 2016-03-02 VAT Holding AG Vakuumschieberventil
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP2781813A1 (de) * 2013-03-21 2014-09-24 VAT Holding AG Vakuumventil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20170009892A1 (en) 2014-03-18 2017-01-12 Vat Holding Ag Valve plunger
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) * 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI656293B (zh) * 2014-04-25 2019-04-11 瑞士商Vat控股股份有限公司
JP6584829B2 (ja) * 2014-07-04 2019-10-02 バット ホールディング アーゲー バルブ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN107110402B (zh) 2014-12-19 2019-10-25 Vat控股公司 用于对真空室的室壁中的室开口进行封闭的门
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6677738B2 (ja) 2015-03-09 2020-04-08 バット ホールディング アーゲー 真空バルブ
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6778206B2 (ja) 2015-03-27 2020-10-28 バット ホールディング アーゲー 真空バルブ
KR101725251B1 (ko) * 2015-05-04 2017-04-11 프리시스 주식회사 진공밸브
WO2016206966A1 (de) 2015-06-22 2016-12-29 Vat Holding Ag Steuerungsvorrichtung für eine pneumatische kolben-zylinder-einheit zum verstellen eines verschlussgliedes eines vakuumventils
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR102595398B1 (ko) 2015-08-10 2023-10-27 배트 홀딩 아게 공압식 밸브 드라이브
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR101784839B1 (ko) * 2015-09-25 2017-11-06 프리시스 주식회사 양방향 게이트밸브
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI705212B (zh) 2016-01-19 2020-09-21 瑞士商Vat控股股份有限公司 用於對壁中開口進行真空密封的密封裝置
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI740981B (zh) 2016-08-22 2021-10-01 瑞士商Vat控股股份有限公司 真空閥
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP7069140B2 (ja) 2016-10-24 2022-05-17 バット ホールディング アーゲー 閉塞装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
JP6774302B2 (ja) 2016-10-28 2020-10-21 株式会社キッツエスシーティー 真空用ゲートバルブ
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106499873B (zh) * 2017-01-12 2019-03-12 京东方科技集团股份有限公司 一种真空阀门及真空设备
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129344A1 (de) 2019-10-30 2021-05-06 Vat Holding Ag Vakuumventil
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003097736A (ja) 2001-09-26 2003-04-03 Fec:Kk ゲートバルブの閉鎖方法および装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6220973A (ja) 1985-07-19 1987-01-29 Hitachi Ltd 真空バルブ
US4721282A (en) * 1986-12-16 1988-01-26 Lam Research Corporation Vacuum chamber gate valve
JPH01172167A (ja) * 1987-12-25 1989-07-07 Matsushita Graphic Commun Syst Inc 記録紙の残量検出装置
JPH0353678A (ja) 1989-07-21 1991-03-07 Mitsubishi Electric Corp 撮像装置
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
JPH0353678U (ko) * 1989-09-30 1991-05-23
JPH03234979A (ja) * 1990-02-09 1991-10-18 Canon Inc 仕切り弁
JP2613171B2 (ja) * 1993-07-22 1997-05-21 株式会社岸川特殊バルブ ゲートバルブ
JPH07158767A (ja) * 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
DE4418019A1 (de) * 1994-05-24 1995-11-30 Vse Vakuumtechn Gmbh Ventilmechanik für ein Vakuumventil
US5934646A (en) * 1997-04-04 1999-08-10 Nok Corporation Gate valve and cylinder apparatus
JPH1172167A (ja) * 1997-08-29 1999-03-16 Hitachi Ltd 無摺動真空仕切弁
DE19746241C2 (de) * 1997-10-20 2000-05-31 Vat Holding Ag Haag Einrichtung zum Verschließen einer Öffnung
US6056267A (en) * 1998-05-19 2000-05-02 Applied Materials, Inc. Isolation valve with extended seal life
JPH11351419A (ja) 1998-06-08 1999-12-24 Irie Koken Kk 無摺動ゲート弁
JP2000028013A (ja) * 1998-07-13 2000-01-25 Ckd Corp ゲート式真空遮断弁
US6095741A (en) 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
WO2000075542A1 (fr) * 1999-06-02 2000-12-14 Tokyo Electron Limited Soupape obturatrice pour systeme de traitement de semi-conducteurs
US6237892B1 (en) 2000-02-18 2001-05-29 V Tex Corporation Gate valve
US6913243B1 (en) 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
JP3674768B2 (ja) 2000-09-07 2005-07-20 Smc株式会社 ゲートバルブ
US6421518B1 (en) * 2000-11-28 2002-07-16 Xerox Corporation Toner loading system
US7021882B2 (en) * 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
US6431518B1 (en) 2001-01-11 2002-08-13 Vat Holding Ag Vacuum valve
US6416037B1 (en) 2001-01-11 2002-07-09 Vat Holding Ag Vacuum pipe
US6899316B2 (en) 2003-04-16 2005-05-31 Vat Holding Ag Closure device for vacuum closure of at least one opening in a wall
US7100892B2 (en) * 2003-08-26 2006-09-05 Kitz Sct Corporation Non-rubbing gate valve for semiconductor fabrication apparatus
JP3912604B2 (ja) 2003-11-04 2007-05-09 入江工研株式会社 ゲート弁
CN2675978Y (zh) * 2003-12-11 2005-02-02 广州市东山南方阀门有限公司 平行式双闸板闸阀撑杆式关闭装置
JP2006038121A (ja) 2004-07-28 2006-02-09 Ono Beroo Kogyo Kk ゲート弁及び真空ゲート弁
US7011294B1 (en) 2004-09-08 2006-03-14 Vat Holding Ag Vacuum valve
KR20070113122A (ko) 2006-05-24 2007-11-28 배트 홀딩 아게 벽 내의 개구부의 진공 밀봉 폐쇄를 위한 폐쇄장치
DE102007030006B4 (de) 2006-07-19 2009-12-17 Vat Holding Ag Vakuumventil

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003097736A (ja) 2001-09-26 2003-04-03 Fec:Kk ゲートバルブの閉鎖方法および装置

Also Published As

Publication number Publication date
US20110175011A1 (en) 2011-07-21
JP5490124B2 (ja) 2014-05-14
TW201020437A (en) 2010-06-01
CN102165232B (zh) 2014-06-25
CN102165232A (zh) 2011-08-24
TWI479097B (zh) 2015-04-01
US8672293B2 (en) 2014-03-18
KR20110073476A (ko) 2011-06-29
JP2012504212A (ja) 2012-02-16
WO2010034046A1 (de) 2010-04-01
DE102008049353A1 (de) 2010-04-08

Similar Documents

Publication Publication Date Title
KR101726452B1 (ko) 진공밸브
KR101762984B1 (ko) 개구부를 폐쇄하기 위한 도어
KR102136907B1 (ko) 진공밸브
US8348234B2 (en) Vacuum valve
US8800956B2 (en) Non-sliding gate valve
KR102313923B1 (ko) 밸브
KR102274973B1 (ko) 진공밸브
KR101693409B1 (ko) 가구가동부용 댐핑장치
KR20170087033A (ko) 벽 안의 개구부의 진공 밀봉 폐쇄를 위한 폐쇄장치
US8474791B2 (en) Gate valve
TWI679365B (zh) 真空閥
KR101426081B1 (ko) 진공 밸브
KR102376946B1 (ko) 진공용 게이트 밸브
US20100300920A1 (en) Gate valve for a vacuum chamber and vacuum chamber
KR20110102328A (ko) 밸브 로드 상에의 밸브 플레이트의 설치
JP2004316916A (ja) 少なくとも一つの開口を有する真空閉塞体の閉塞装置
JP6853812B2 (ja) 空気圧式バルブアクチュエータ
JP2000074258A (ja) 真空ゲ―ト弁
KR20220164436A (ko) 진공 밸브
KR20220085780A (ko) 진공밸브
JP2022144982A (ja) ぶれ防止機構付きゲートバルブ
EP4269736A1 (en) Door actuator
JP5823071B1 (ja) ゲートバルブ
JP2000257727A (ja) 真空ゲート弁
KR20190114865A (ko) 메인 스풀 및 2 개의 제어 스풀을 구비한 밸브 어셈블리

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant