TWI359459B - Low wet etch rate silicon nitride film - Google Patents
Low wet etch rate silicon nitride film Download PDFInfo
- Publication number
- TWI359459B TWI359459B TW097149781A TW97149781A TWI359459B TW I359459 B TWI359459 B TW I359459B TW 097149781 A TW097149781 A TW 097149781A TW 97149781 A TW97149781 A TW 97149781A TW I359459 B TWI359459 B TW I359459B
- Authority
- TW
- Taiwan
- Prior art keywords
- flow rate
- gas
- substrate
- plasma
- ratio
- Prior art date
Links
- 229910052581 Si3N4 Inorganic materials 0.000 title 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 title 1
- 239000007789 gas Substances 0.000 claims description 106
- 238000000034 method Methods 0.000 claims description 83
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 65
- 238000000151 deposition Methods 0.000 claims description 55
- 239000000758 substrate Substances 0.000 claims description 55
- 230000008021 deposition Effects 0.000 claims description 48
- 230000008569 process Effects 0.000 claims description 44
- 229910052757 nitrogen Inorganic materials 0.000 claims description 34
- 238000012545 processing Methods 0.000 claims description 33
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 28
- 238000004544 sputter deposition Methods 0.000 claims description 17
- 150000002500 ions Chemical class 0.000 claims description 15
- 239000000203 mixture Substances 0.000 claims description 14
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical group [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 11
- 230000015572 biosynthetic process Effects 0.000 claims description 11
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 10
- 229910052734 helium Inorganic materials 0.000 claims description 9
- 238000005229 chemical vapour deposition Methods 0.000 claims description 7
- 239000000243 solution Substances 0.000 claims description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 6
- 150000004767 nitrides Chemical class 0.000 claims description 6
- 125000004433 nitrogen atom Chemical group N* 0.000 claims description 6
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 5
- 239000001307 helium Substances 0.000 claims description 5
- 229910052707 ruthenium Inorganic materials 0.000 claims description 5
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 4
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 claims description 3
- 229910014329 N(SiH3)3 Inorganic materials 0.000 claims description 3
- 230000005611 electricity Effects 0.000 claims description 3
- 239000007864 aqueous solution Substances 0.000 claims description 2
- -1 nitride nitride Chemical class 0.000 claims description 2
- ABVVEAHYODGCLZ-UHFFFAOYSA-N tridecan-1-amine Chemical compound CCCCCCCCCCCCCN ABVVEAHYODGCLZ-UHFFFAOYSA-N 0.000 claims description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims 1
- ZSROFLZOIXCIIR-UHFFFAOYSA-N [N].F Chemical compound [N].F ZSROFLZOIXCIIR-UHFFFAOYSA-N 0.000 claims 1
- 239000000460 chlorine Substances 0.000 claims 1
- 229910052801 chlorine Inorganic materials 0.000 claims 1
- 238000005336 cracking Methods 0.000 claims 1
- NBTOZLQBSIZIKS-UHFFFAOYSA-N methoxide Chemical compound [O-]C NBTOZLQBSIZIKS-UHFFFAOYSA-N 0.000 claims 1
- 239000002002 slurry Substances 0.000 claims 1
- 238000007740 vapor deposition Methods 0.000 claims 1
- 239000010408 film Substances 0.000 description 52
- 239000001257 hydrogen Substances 0.000 description 26
- 229910052739 hydrogen Inorganic materials 0.000 description 26
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 22
- 239000002243 precursor Substances 0.000 description 19
- 239000010410 layer Substances 0.000 description 14
- 238000006243 chemical reaction Methods 0.000 description 12
- 239000000463 material Substances 0.000 description 11
- 238000004140 cleaning Methods 0.000 description 10
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 239000003990 capacitor Substances 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 238000001678 elastic recoil detection analysis Methods 0.000 description 7
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 230000007423 decrease Effects 0.000 description 6
- 238000005086 pumping Methods 0.000 description 6
- 241000894007 species Species 0.000 description 6
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 238000004458 analytical method Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 150000002431 hydrogen Chemical group 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000005192 partition Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- SIKJAQJRHWYJAI-UHFFFAOYSA-N Indole Chemical compound C1=CC=C2NC=CC2=C1 SIKJAQJRHWYJAI-UHFFFAOYSA-N 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000002309 gasification Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 239000010445 mica Substances 0.000 description 2
- 229910052618 mica group Inorganic materials 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910007264 Si2H6 Inorganic materials 0.000 description 1
- 241000270708 Testudinidae Species 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000002457 bidirectional effect Effects 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 239000011888 foil Substances 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000000446 fuel Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- PZOUSPYUWWUPPK-UHFFFAOYSA-N indole Natural products CC1=CC=CC2=C1C=CN2 PZOUSPYUWWUPPK-UHFFFAOYSA-N 0.000 description 1
- RKJUIXBNRJVNHR-UHFFFAOYSA-N indolenine Natural products C1=CC=C2CC=NC2=C1 RKJUIXBNRJVNHR-UHFFFAOYSA-N 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical group O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000006213 oxygenation reaction Methods 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 239000004575 stone Substances 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-O sulfonium Chemical compound [SH3+] RWSOTUBLDIXVET-UHFFFAOYSA-O 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 150000003648 triterpenes Chemical class 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Weting (AREA)
Description
1359459 六、發明說明: 【發明所屬之技術領域】 本發明是關於奈米製造技術解決方案,包括用於沉 積、圖案化及處理薄膜與塗層的設備、製程和材料,代 表性實例應用包括(但不以此為限):半導體與介電材料 和裝置、矽基晶圓、平面顯示器(如TFT)、遮罩和濾光 片、能量轉換與儲存器(如光伏特電池、燃料電池)、固 態照明設備(如LED和OLED)、磁光儲存器、微機電系 統(MEMS)與奈米機電系統(NEMS)、微光學與光電裝 置、建築與汽車玻璃、用於金屬與聚合物箔及封裝的金 屬化系統、和微成型與奈米成型。較特別地,本發明是 關於將薄臈鋪塗於表面。更特別地,本發明是關於利用 化學氣相沉積(CVD)形成高密度薄膜的方法。 【先前技術】 傳統熱CVD製程供應反應氣體至基材表面,在此表面 的熱引發化學反應而成膜。使用電漿源促進化學反應可 改善沉積速率和膜性質。電漿輔助化學氣相沉積(pECVD) 技術藉由施予基材表面附近的反應區射頻(RF)能量而產 生電漿,藉以促使反應氣體激發、解離及離子化。電漿 中的向反應性物種會減低活化化學反應所需的能量。相 較於傳統熱CVD製程,此有效降低了 pECVD製程所需 的基材溫度。降低基材溫度是有益的,因其可減少發生 3 導致製造製程產率降低之擴散或其他質傳作用的機會。 利用高密度電漿(hdp)cvd技術可進一步加以改善, 其申密集電槳在低真空壓力下形成,故電漿物種更具反 應性。HDP-CVD能採用較低的反應氣體分壓,同時維持 问離子濃度。HDP-CVD亦能無關離子化能量而個別控制 加逮能量。除了與圖案化晶圓處理相關的特點外,利用 高密度電漿沉積之膜還有一些材料變化。以HDp_CVD 法礼積之薄膜的密度比其他CVD法高。較密集薄膜展現 更佳的均勻性,並更適合做為蝕刻或研磨终止層。 積體電路製造常用的材料為氮化矽。氮化矽膜一般用 於積體電路前端處理製程的兩種應用包括形成間隔物結 構於精密積體裝置周圍及形成接觸蝕刻終止層(如阻障 層)於前金屬介電層與半導體基材之間。當作為蝕刻終止 層時,在氧化矽蝕刻製程期間,此膜具低溼蝕刻速率 (WER)。 氮化矽膜内存有雜質常常造成高蝕刻速率而減低做為 蝕刻終止的效用。易併入生成之氮化矽膜的雜質為氫。 以傳統熱CVD法形成氮化矽已成功地減少其氫含量至 1〇0/❶以下,然此化學氣相沉積的基材溫度達 700°C-1000°C。高溫會降低、甚至破壞材料性質和先前處 理步驟形成的裝置。 因此,此技藝仍需在低沉積溫度下沉積低WER氮化矽 膜至基材上的方法。 【發明内容】 本發明是關於利用聊-CVD製程,在低沉積溫度下 -積高品質氮化破膜至基材上的方法。在—些實施例 :’平均基材溫度低於或約為6G(rc ;在其他實施例中, 平均基材溫度低於500°C或450°C » 根據本發明之一實施例,利用HDP-CVD製程,沉積 氮切膜至處理腔室内之基材上的方法包含將含氮㈣ 的處理氣體混合物流入處理腔室中’同時維持氮原子流 率與矽原子流率之平均比率為約5〇: t或以上、維 理腔室内的平均壓力為約4〇毫牦耳或以下以及維持平 均基材溫度為約60(TC或以下。高密度電漿由處理氣體產 用X /儿積氮化石夕膜至基材上。在其他實施例中氮 原子流率與矽原子流率之平均比率大於或等於60: i或 90:1,處理腔室内的平均壓力為25毫托耳或以下、15 毫托耳或以下、10毫托耳或以下、或5毫托耳或以下。 處理氣體混合物包括雙原子氮⑽和甲M(sm小然也 可使用二矽烷(si^6)和三矽胺(N(SiH3)3; tsa)、或其他 適合之氣體混合物》本發明製造方法可以大於約5〇〇埃/ 分鐘(A/min)之速率來沉積氮化矽膜,使用氫氟酸溶液 (1%HF水溶液)處理時,沉積膜的溼蝕刻速率小於 5A/min。 相較於傳統HDP溝填沉積製程,本發明之一些實施例 於沉積時施加微小或不施加RF偏壓功率在不同實施例 中’成膜期間的沉積盥瀹射 顇興濺射比率大於或等於50: 1、75: 1 或 100 : 1 〇 i 去,士 lL _ 产 一二實施例中,沉積期間引進氬 氣至處理腔室中,— 促進同岔度電漿形成。氮原子流率 /、風原子流比率維持為 。 1芏15. 2,施加來產生高 狁度電漿的RF功率為5-15瓦/平方公分。 本發明之上述和其他實施例將進一步詳述於後附說明 書和圖式。 【實施方式】 本發明是關於利用高密度電聚CVD錢沉積高品質 氮化矽膜的方法。當二者接觸同樣的蝕刻劑時,高品質 膜的WER比氧化梦低。藉由減少氫含量可獲得低wER。 在不同實施例中,氮化矽膜的氫含量少於1〇%或少於 7% ^根據本發明技術可沉積氫含量少的氮化矽膜同時 於沉積時維持基材溫度呈相當低溫(<6〇〇。〇)。 許多應用受惠利用本發明實施例製得的氮化矽膜,其 具咼密度、低溼(或乾)氧化物蝕刻速率.、高介電常數、 尚光學折射率和其他相關物性。 以先前CVD技術製得之氮化矽膜中的主要雜質為 氫’其通常是處理氣體混合物中的氫氣留下所致。減少 留在氮化矽膜的氫可增加膜密度及提高氧化物蝕刻的氧 化物/氮化物選擇性。換言之,密度增加可提升氮化矽膜 的抗鞋能力一段時間’期間無露出氮化矽的區域遭連續 侵飯。 舉例來說,高密声士 採用電容器來儲二隨機存取記憶體(DRAM)的製造 存貢訊。電容器需有一定量的電容,以 於各更新循環間儲存 θ 電荷。裝置縮小對保持臨限量而言 疋 大挑戰。一雷t ^ . ^ 構涉及製造更高的圓柱,以補 償其在縮小裝置φ α β也丨 、須製作得更薄。此種電容器形狀易 產生側向偏移而降低產率。 金屬連接製作在電容圓柱底下時,此製程稱為電容器 覆位το線(CQB)結構。氮切層可從上面支撐做為稱作實 際無限同之機械增強儲存節點(mesh)的結構。氮化矽 MESH用來防止側向位移。第1圖顯示此製造方法。移 除氧化矽區域108 電容器104未遭溼氧化物蝕刻。 氮化珍層114的银刻速率期遠比氧化石夕區4 108慢,使 氮化物層彳寸以防止溼氧化物蝕刻劑侵姓構成電容器的介 電層。故本發明之方法可用於製造採用第1圖結構的 DRAM裳置。 本發明之方法和技術不限於製造Dram。其也可應用 到其他蝕刻終止層(ESL),包括置於閘極材料(如多晶矽) 或前金屬介電質(PMD)上的蝕刻終止層。更廣泛地說, 本發明實施例將有益於任何受惠低基材沉積溫度和高抗 氧化物餘刻性的應用》此外,光波導藉由使用高折射率 之密集膜而增大侷限。因所述沉積技術能採取較低基材 溫度’倘若與晶片上電子裝置整合,則特別適合光學應 用。 在實施例中’電漿激發為高密度電漿,其可透過誘導 耦合產生。其他類型的電漿源也可單獨使用或與誘導電 襞結合。使用高密度電漿在選擇處理氣體方面有較大的 彈性。其可使用非常惰性的氣體,例如氮氣做為HDP製 程的氮(N)源,氨氣(NH3)較常做為低密度pecvd製程的 氮源。 在此,高密度電漿製程為電漿CVD製程,其採用電漿 的離子密度達1011離子數/立方公分或以上 '離子化比例 (離子/中性比)達10_4或以上。HDp_CVD製程一般包括同 時沉積及濺射組成'本發明採行之HDP_CVD製程與傳 統適合溝填的HDP-CVD製程略有不同。在一些實施例 中’施加實質微小或不施加偏壓功率来形成高密度氣化 石夕膜如此減射程度比施加顯著偏壓功率以促進濺射的 HDP_CVD製程少。儘管此背離傳統HDP-CVD製程參 數’然滅射與沉積速率#關特徵仍是有幫助的,故此將 定義於後。 高密度電漿沉積和濺射特性的相對結合量視氣體混合 物的氣流流率、施加來維持電漿的源功率大小施加基 材的偏壓功率大小蓉而金士 干八』等而疋。廷些因素可適當量化成「沉
積/藏射比率|,右味圭-I 」^ D/S表不來描述製程特徵。 £ g 2^積速率+毯覆濺射祙率 S 毯覆賤射速率 ’且隨踐射增加而降 是指同時發生沉積及 沉積/濺射比率隨沉積增加而提高 低。在D/S定義中,「淨沉積速率」 濺射時測量的沉積速率。「毯覆濺射速率」是在無沉積氣 體的清况下進行製程配方時測量的藏射速率;處理腔室 内的壓力調整成沉積時的壓力,並測量毯覆熱氧化物上 的濺射速率。 如同熟諳此技藝者所熟知,其他類似測量也可用來定 量HDP製程中沉積及濺射的相對貢獻度。另一常用比例 為「姓刻/沉積比率」。 五-僅有來源之沉積速率-淨沉積速率 D 僅有來源之沉積速率 其隨濺射增加而提高,且隨沉積增加而降低。在e/d 疋義中’「淨沉積速率」是指同時發生沉積及濺射時測量 的沉積速率。「僅有來源之沉積速率」是指無濺射的情況 下進行製程配方時測量的沉積速率。本發明實施例在此 是採用D/S比率。雖然D/S和E/D並非恰為倒數,但熟 諸此技藝者當理解二者具反轉關係。 典型的HDP-CVD製程適合進行溝填。在此製程中, 偏壓功率用來加速離子往生成表面前進而形成窄化接近 軌跡。窄化和濺射作用造成部分間隙尚未填充前即封閉 通孔頂部轉角而形成空隙。溝填應用的D/s比率通常為 3-10,一些奇特應用的d/S比率甚至超過25。本發明之 一實施例涉及利用HDP-CVD製程並施加微小或不施加 偏壓功率來形成尚密度氛化梦膜。這些條件下的毯覆賤 射速率很低且難以測量,然D/S比率通常預期會大於約 100 〇 1359459 為助於理解本發明,請參照第2圖,其為根據本發明 一實施例,形成高密度且高抗氧化物蝕刻性之氮化矽膜 的步驟流程圖。這些步驟將詳述於後,在此先概略說明。 如第2圖所示,將基材傳送到由真空幫浦排空的處理腔 至(V驟204)*»在流入氣體前、後或期間(步驟Μ〗、216), 施加功率至電漿源(步驟2〇8),並且持續開啟直到停止流 入氣體前、後或期間(步驟226、23〇)。在步驟212中, 輸送前驅氣體和流動氣體(第2圖未繪示)至反應區,以 •形成處理氣體混合物。處理氣體混合物提供氮與矽源, 以形成氮化矽膜至基材上(步驟22〇)β前驅氣體包括含矽 氣體(如甲矽烷(SiH〇)和含氮(Ν)氣體(如氮分子(Ν2))β其 他氣體也可使用。可採用包含石夕減之分子做為一或多 個前驅氣體。 一般而言,矽源和氮源經由不同的輸送通道引入,使 其在反應區或附近混合。流動氣體亦可引用來協助處理 • 氣體混合物的其他組成產生離子物種。例如,氬氣比Ν2 更易被離子化’在-實施例中’其提供電漿電于而促進 Ν2解離及離子化。此作用提高了化學反應的可能性和沉 積速率”*動氣體經由與⑦源或氮源相同或不同的輸送 通道引入。 本發明之實施例維持高氮(Ν)與石夕(Si)原子流比率,藉 以降低氮切料氫濃度。實質上,H原子有助於清^ 避免氫生成於氮化矽網絡。在-實施例中,氣流比率為 25 : 1 至 40 : n . e.tr、 (N2 . SiH4) ’或更廣泛地說’原子流比率 10 為50: 1至80: 1(N: si)時,從刪的直接測量結果和 職的間接測量結果可發現氫含量減少。在不同實施例 中’本發明採用之N2 : SiH4比率為25 : j、3〇 : ι、45 : 1或以上(或相當於N : Si比率為50 : 1、6〇 : i、9〇 : i 或以上),用以減少氫併入。 原子流比率(N : Si)是從各前驅氣體的流率和每一分子 中各原子所佔總數計算而得。在前驅物為%和Si^的 實施例中,每一氮分子包括二個氮原子,每一甲矽烷只 包括一個矽原子。利用質量流量控制器維持氣流比率如 大於30: i時,原子流比率將大於6〇: i。在另一實施 例中,前驅氣體包括至少一含氮與矽之氣體。計算原子 流比率時,所有原子流率的貢獻皆已涵蓋。 除了 N: Si原子流比率外,氫減少尚取決於反應前驅 物的其他性質。氮氣(乂)和三矽胺(N(SiH3)3 ; tsa)是適 合的前驅物,因二分子中的氮(N)未直接與氫原子鍵結。 前驅氣體昇N_H鍵會妨礙氮原子將氫移出生成膜。故氨 氣(NH3)不是適當氮源。含氧之氮源(如n2〇)會將氧併入 網絡而惡化氮化矽膜性質,此亦將提高溼蝕刻速率。 保持反應區呈低壓還有助於維持低氫含量。增加壓力 會縮短平均自由徑,進而改變離子化比例和氣相動力學 及妨礙氫移出氮化石夕網絡。在不同實施财,反應區的 廢力可為40毫托耳或以下' 25毫托耳或以下、15毫托 耳或以下、10毫托耳或以下、或5毫托耳或以下。 在高流率比下維持低壓需使用設有節流閥的大型幫 1359459 浦,節流閥為完全或幾乎完全打開以達到最小wer時的 適當生成速率。幫浦的抽吸能力視腔室體積與結構和氣 流比等因素而定。若本發明用於應用材料公司製造的 UltmaHDP室和300毫米(mm)晶圓,則抽吸速度大於或 等於2800升/秒可造成600埃/分鐘的最佳成膜速率。本 發明實施例採用美國專利證書號7,183,227、名稱為「Use of Enhanced Turbomolecular Pump for Gapfill Deposition
Using High Flows of Low-Mass Fluent Gas」之申請案所 述的抽吸構造,此一併引述於此供作參考。採取較快的 抽吸速度或使WER大於根據本發明實施例最佳化的 值,可獲得較快的生成速率。 在不同實施例中’基材溫度維持為約6〇〇。(:或以下、 5〇〇°C或以下、或45(TC或以下。施加至處理腔室頂部和 側邊的RF功率於反應區產生電漿,其將詳述於後。頂部 RF功率一般小於側邊rf功率,就300mm晶圓來說,總 體RF功率介於4000瓦與10000瓦之間5瓦/平方公 分)。在不同實施例中,總體RF功率為約5-15瓦/平方 公分或約5.5-10瓦/平方公分。在一實施例中,用來加速 離子往晶圓前進的偏壓RF功率為0或近似〇。然在不同 實施例中,若開啟偏壓功率且相較於其他RF功率總和維 持低或最小功率使沉積與濺射比率木於約50、75或 100 ’則本發明實施例的許多優勢仍在。 在本發明之一實施例中,將基材傳送到沉積腔室(步驟 2〇4)。步驟2〇8開始產生電漿而步驟212流入含氮前驅 12 1359459 物。進行步驟208、212後,流入含矽前驅物(步驟216) 及開始成膜(步驟220)。接著在流入含氮前驅物(步騾23〇) 及中止電漿(步驟238)前,停止流入含矽前驅物(步驟 226)。在一些實施例中,樂見停止流入含氮前驅物前先 停止流入含矽前驅物,使得N: Si原子流比率至少維持 像成膜(步驟220)時一樣高。如此維持高N : Si原子流比 率可避免生成製程終了時形成高氫含量和低WER的氮 化碎薄層。樂見在執行特徵描述步驟時僅探測外面數個 單層。若最後數個單層具有不同物性,則塊體性質的推 測可能有誤。又,樂見整個膜保持低溼蝕刻速率,以增 進膜如做為蝕刻終止的利用性。在一實施例中,當存有 含矽前驅物時,確保電槳含有充足的氮可獲得實質均勻 的低溼蝕刻速率。 在另一實施例中,開始產生電漿前先流入氮。同樣地, 在流入含氮前驅物前,中止電漿。流入含氮前驅物及中 止電漿後,將晶圓移出沉積腔室(步驟244)。也可進行第 2圖未繪示的其他步驟,包括流入及終止流入流動氣體 來提高電漿密度,但不以此為限。在又一實施例中,開 始流入惰性物種及增加流量。步驟226與步驟23〇間可 減少氮流量》 熟諳此技藝者所熟知的氫正向散射光譜儀(HFS)和傅 立葉轉換紅外線光譜儀(FTIR)用來定量膜的氫含量。hfs 對低濃度氫的靈敏度相當高。實驗條件列於表j和第3 圖。HFS定量债測測試沉積膜的散射氫(H),並與得自白 13 1359459 雲母樣品的特徵結果相比。接著以百分比表示氫含量。 多個He + +氦劑用來確保氫濃度於測試期間不會下降。也 可採用傅立葉轉換紅外線光譜儀(FTIR)之傳統技術,但 靈敏度較低。 表I :氫正向散射光譜儀(HFS)的實驗條件 HFS分析條件 He + +離子束能量 2.275MeV 正射偵測器角度 160° 掠射偵測器角度 〜30〇 離子束與樣品法線的夾角 75° 為證實本發明一些實施例的優點,實驗比較根據所述 技術沉積之HDP-CVD氮化矽膜和以PECVD與LPCVD 法沉積之氮化矽膜的WER與氫含量。在裝配300mm基 材的Ultima HDP室内處理基材,氣化石夕膜生成時的抽吸 速度為2800升/秒。HDP-CVD沉積期間的基材溫度維持 為約450°C。施加至頂部和側邊的電漿RF功率為2000 瓦和3 0 0 0瓦。生成期間不施加偏壓功率。石夕烧氣流流率 為40sccm,氮氣流率為1500sccm,氬氣流率為300sccm。 除了直接從HFS測定殘餘氫含量外,還可測定WER 來測量膜的抗化性。分別於氫氟酸(1 % HF水溶液)银刻 前後,測量氮化矽膜的厚度。在25°C下持續進行溼蝕刻 14 丄 製程一段時間後,計篡 π的HnP4p 蝕剡速率。膜的分析結果列於表
Dp攔。先前技術社 旳、-°果列於其他欄以供比較。1〇/〇 (A/min) 0 ”、成氧切的速率為36埃/分鐘 $ II :膜特糌 vs.沉積技術 — HDP ---— _ PECVD LPCVD 基材處理溫磨 450。。 5 5 0〇C 7 ς n°r 1% HF 的 WER 2.1A/分 20-40A/分 1 2 -1 4 A / 公 FTIR t 6j__Si-H 未偵測 偵測 未偵測 hfs 5% 13% 6-9% 第4圖顯示在siH4流率固定為4〇sccm的情況下,隨 A氣流流率變化的溼蝕刻速率。沁氣流流率較低時,溼 银刻速率會先下降’此乃因製程的氮源受限。在此區域 中,添加氮至電漿中能有效移除生成膜的氫。溼蝕刻速 率接著達到最小值,然後因腔室壓力增加而開始上升。 膜製備期間的抽吸速度保持不變。壓力升高時,電漿中 的氣體動力學改變,導致降低氮移除氫的能力。製程顯 示畲N2 : SiH4流率比率為約25 : 1時,WER明顯改善, 流率比率為25 : 1至40 : 1之間達到最小值,然後因抽 吸限制開始上升。 不同抽吸速度會改變WER急遽上升408的位置及改變 15 1359459 WER的最小值418。假設有效抽吸速度從2800升/秒提 高成4000升/秒,則第4圖的WER急遽上升位置將從 50 : 1移到70 : 1附近。此可改善膜生成速率及/或WER。 按一定氣流比率增加氣流流率可提高膜生成速率。同樣 地,按一定矽烷氣流流率增加N2 : SiH4流率比率可降低 WER。 第5圖顯示在N2(1500sccm)和SiH4(40sccm)流率固定 的情況下,隨腔室壓力變化的溼蝕刻速率。藉由改變節 流閥位置,進而改變有效抽吸速度,可改變壓力。隨著 腔室壓力上升,膜品質顯然會降低(溼蝕刻速率提高), 原因如同第4圖所述。提高抽吸速度可改善膜品質。 示例之基材處理系统 本發明利用位於美國加州聖克拉拉之應用材料公司 (Applied Materials, Inc.)製造的 ULTIMAtm 系統來實踐 本發明實施例,其概.述於共同讓渡之美國專利證書號 6,170,428、名稱「SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR」、西元 1996 年 7 月 15 曰申請、Fred C. Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan ' Shijian Li、 Brian Lue、Robert Steger、Yaxin Wang、Manus Wong 和 Ashok Sinha提出之申請案,其全文一併引述於此供作參 考。系統將配合第6A及6B圖概述於下》第6A圖為 HDP-CVD系統610之一實施例的結構示意圖。系統610 包括腔室613、真空系統670、源電漿系統680A、偏壓 16 電聚系統680B、氣體輸送系統633和遠端電漿清潔系統 650 〇 腔室613的上部包括圓頂614,其由陶瓷介電材料構 成’例如氧化銘或氮化鋁。圓頂614界定電漿處理區616 的上邊界。電漿處理區616的底部以基材617之上表面 和基材支撐構件618為界。 加熱板623和冷卻板624裝在圓頂614上且熱耦接圓 頂614。加熱板623和冷卻板624能控制圓頂溫度介於 約1〇0。(:-20〇。〇±1〇。(:之間。如此可最佳化圓頂溫度以進 行不同裝程。例如,樂見清潔或姓刻製程的圓頂溫度大 於沉積製程》準確控制圓頂溫度還能減少腔室内的剝落 薄片或微粒數及改善沉積層與基材間的附著性。 腔室613的下部包括主體構件622,其連結腔室和真 空系統。基材支撐構件618的基部621裝在主體構件622 上,並且一起構成連續的内表面。機械葉片(未繪示)經 由腔室613侧邊的插入/移出開口(未繪示)傳送基材進出 腔室613。舉升銷(未繪示)在馬達(未繪示)的控制下升起 及下降,藉以將機械葉片上的基材從裝載位置657移到 較低處理位置656,在此基材放置到基材支撐構件618 的基材接收部61 9。基材接收部619包括靜電吸座62〇, 以於基材處理期間讓基材固定於基材支撐構件618。在 一較佳實施例中,基材支撐構件618由氧化銘或紹陶究 材料構成。 真空系統670包括用來容納雙葉片節流閥626的節流 17 1359459 主體625,並且連接閘閥627和渦輪分子幫浦628。應注 意節流主體625不會阻礙氣流且容許對稱抽吸。閘閥627 隔開幫浦628和節流主體625,也可藉由限制節流間心 完全打開時的排氣流量來控制腔室壓力。配設節流閥、 閘閥和渦輪分子幫浦可精確及穩定控制腔室壓力高達約 1毫托耳至約2托耳。
源電漿系統680A包括設於圓頂614的頂部線圈629 和側邊線圏630。對稱接地屏蔽(未繪示)可減少線圈間的 電性耦合。頂部線圈629由頂部源射頻(SRF)產生器631八 供電,側邊線圈630由側邊SRF產生器631B供電,如 此各線圈有個別獨立的功率大小和操作頻率。雙線圏系 統可控制腔室613内的徑向離子密度,進而改善電漿均 勻度。側邊線圈630和頂部線圈629 一般為感應驅動, 其不需使用互補電極。在一特定實施例中,頂部源RF 產生器63 1A以額定之2MHz供應高達250〇瓦的rf功 率,側邊源RF產生器631B以額定之2MHz供應高達5〇〇〇 瓦的RF功率。頂部和側邊RF產生器的操作頻率可偏離 額定操作頻率(如分別為1.7-1.9MHz和1.9-2.1MHz),藉 以改善電漿產生效率。 偏壓電漿系統680B包括偏壓rf(BRF)產生器63 1C和 偏壓匹配網路632C。偏壓電漿系統68〇B電容耦合基材 617和主體構件622,其當作互補電極。偏壓電漿系統 680B用來加強輸送源電漿系統68〇A產生的電漿物種(如 離子)至基材表面。在一特定實施例中,偏壓RF產生器 18 1359459 以小於5MHz之頻率供應高達1 〇〇〇〇瓦的rf功率,此將 進一步說明於後。 RF產生器631A、631B包括數位控制合成器且操作頻 率介於約1.8-2.1 MHz之間。如同熟諳此技藝者所理解, 每一產生器包括RF控制電路(未繪示),用以測量從腔室 和線圈反射回產生器的功率’及調整操作頻率而得最小 反射功率。RF產生器一般設計操作成特徵阻抗為5〇歐 姆的負載。RF功率可從具不同特徵阻抗的負載反射回產 生器。如此可減少傳送到負載的功率。此外,從負載反 射回產生器的功率可能超載而破壞產生器。由於電聚的 阻抗可小於5歐姆至大於900歐姆,此視電漿離子密度 等因素而定,又反射功率為頻率函數,因此依據反射功 率調整產生器頻率可增加從RF產生器傳送到電襞的功 率及保護產生器。另一減少反射功率及提高效率的方式 為利用匹配網路》 匹配網路632A、632B匹配產生器631A、631B之輸出 阻抗和其對應線圈629、630 RF控制電路藉由改變匹配 網路内的電容值來調整二匹配網路,使產生器隨負載變 化而與負載匹配。當從負載反射回產生器的功率超過特 定極限時’RF控制電路可調整匹配網路。提供固定匹配 及有效使RF控制電路失去調整匹配網路之能力的方式 為設定反射功率極限大於任一反射功率預定值。保持匹 配網路固定處於其最新條件有助於在一些條件下穩定電 漿。 19 其他測量亦有助於穩定電漿。例如,RF控制電路可用 來測定傳送到負載(電漿)的功 功率,及提高或降低產生器 輪出功率’以於沉積層期間維持傳送功率實質不變。 虱體輸送系、统633經由氣體輪送管線638(僅繪示部分) 提供來自數源634A-634E的氣體给腔室來處理基材。如 同熟諳此技藝者所熟知’實際用於源634a 634e和實際 連接輸送管線638與腔室613的元件視腔室613所施二 的沉積和清潔製程而卜氣體經由氣環637及/或頂部喷 嘴645引進腔室613。第仙圖為腔室613的簡化局部截 面圖’其繪示氣環637的細部。 在一實施例中,第一與第二氣源634Α、634β和第一 與第一氣流控制器635A’、635B,經由氣體輸送管線 638(僅繪示部分)供應氣體至氣環637的環形氣室636 〇 氣環637設有複數個來源氣體喷嘴639(僅繪示部分以便 於說明),用以提供均勻氣流遍及基材。改變喷嘴長度和 噴嘴角度,可調整個別腔室内的均勻度分佈輪廓和特定 製程的氣體刹用率。在一較佳實施例中,氣環637設有 12個由氧化鋁陶瓷構成的來源氣體喷嘴。 氣環637還設有複數個氧化氣體噴嘴64〇(僅繪示其 一)’在一較佳實施例中,其與來源氣體喷嘴639呈共平 面且比來源氣體喷嘴639短,在一實施例中,其接收來 自主體氣室641的氣體。在一些實施例中,氣體注入腔 室013前’不先混合來源氣體和氧化氣體。在其他實施 例中,氣體注入腔室613前,先利用主體氣室641與氣 20 1359459 環氣室636間的孔洞(未繪示)混合氧化氣體和來源氣 體。在一實施例中,第三、第四與第五氣源634C、634D、 634D’和第三與第四氣流控制器635C、635D,經由氣體輪 送管線638供應氣體至主體氣室。附加閥(如閥643B, 其他閥未繪示)可關閉氣體從控制器流向腔室。在本發明 之一些實施例中’源634A包含甲矽烷(SiH4)源,源634b 包含氮分子(NO源’源634C包含TSA源,源634D包含 氬(Ar)源,源634D,包含二矽烷(Si2H6)源。 在使用易燃、有毒或腐鞋性氣體之實施例中,樂見在 沉積後清除殘留在氣體輸送管線的氣體。達成方式例如 為利用三向閥(如閥643B)來隔開腔室613和輸送管線 638A,及排放輸送管線038A至真空前段管線644。如第 6A圖所示’其他類似閥(如閥643A、643C)可設於其他 氣體輸送管線。三向閥宜盡量設置靠近腔室613,以減 少未排放的氣體輸送管線體積(位於三向閥與腔室之 間)。此外,雙向(開·關)閥(未繪示)可設在流量控制器 (MFC)與腔室之間、或氣源與MFC之間。
再次參照第6A圖,腔室613還設有頂部喷嘴645和頂 部排氣孔646。頂部喷嘴645和頂部排氣孔能個別 控制頂部和側邊的氣流以改善膜的均勻度,及微調膜的 沉積和摻雜參數。頂部排氣孔646為圍繞頂部喷嘴645 的環狀開口。在-實施例中,第—氣源6嫩供給來源氣 體喷嘴639和頂部喷嘴645。來源喷嘴MFC 635,控制輸 送到來源氣體喷嘴639的氣體量,頂部喷嘴MFc 635A 21 控制輸送到頂部喷冑645的氣體量。同樣地’二mfc 635B、635B’用來控制自單一氧氣源(如源034B)流向頂 部排氣孔646和氧化氣體嘴64G的氧氣量。在-些實 施例中’不冑#一側邊喷嘴供給腔室氧氣。#應頂部喷 嘴645和頂部排氣孔646的氣體在流入腔室613前可保 持分開,或者氣體流入腔室613前可先於頂部氣室648 混合。獨立的相同氣源也可用來供給腔室的不同區域。 遠端微波產生電漿清潔系統650用來定期清潔腔室組 件的沉積殘餘物。清潔系統包括遠端微波產生器65 i, 其自清潔氣源634E(如氟分子、三氟化氮、其他碳氟化 合物或均等物)於反應器腔體65 3内產生電漿。電漿產生 的反應物種透過器具管655經由清潔氣體入口 654輸送 到腔室613。用來容納清潔電漿的材料(如腔體653和器 具管655)必須能抵抗電漿侵蝕。反應器腔體653與入口 654間的距離宜盡量短’因電漿物種濃度會隨著遠離反 應器腔體653而降低。在遠端腔體中產生清潔電漿允許 使用高效率微波產生器,且腔室組件不會受溫度、輻射 或電漿中原位形成之輝光放電撞擊的影響。因此,不像 原位電漿清潔製程’如靜電吸座620等較敏感的組件不 需蓋上仿製(dummy)晶圓或以其他方式保護。在第6A圖 中’電漿清潔系統650位於腔室613上方,然也可設在 其他位置。 隔板661設置鄰接頂部喷嘴,用以引導頂部喷嘴供應 的來源氣體流向腔室及引導遠端產生電漿流動。頂部喷 22 1359459 嘴645供應的來源氣體經由中央通道662導向腔室,清 潔氣體入口 654供應的遠端產生電漿物種由隔板661引 至腔室613旁。 熟諳此技藝者將能理解,處理參數會因處理腔室和處 理條件不同而不同,不同前驅物也可使用,此並不悖離 本發明之精神。熟諳此技藝者亦可明白其他變化例。這 些均等例和替代例涵蓋在本發明之保護範圍内。故本發 明之保護範圍不庳以實施例為限,而是視後附之申請專 利範圍所界定者為準》 【圖式簡單說明】 第1圖為部分完成之積體電路的局部截面圖,裝置製 造受益於使用根據本發明一實施例生成的低溼蝕刻速率 (WER)氣化矽膜; 第2圖為根據本發明一實施例,形成高密度且高抗氧 化物钱刻性之氮化矽膜的步驟流程圖; 第3圖為RBS-HFS材料分析系統的示意圖,用於測定 氫含量; 第4圖為根據實施例改變: 8出4氣流比率所形成之 氛化石夕膜的渔姓刻速率曲線圖; 第5圖為根據實施例改變處理腔室壓力所形成之氤化 矽膜的溼蝕刻速率曲線圖; 第6A圖為可施行本發明方法之高密度電漿化學氣相 23 1359459 沉積系統實施例的簡化示意圖; 第6B圖為可用於第6A圖處理系統之氣環的簡化截面 圖。 【主要元件符號說明】
104 電容器 108 氧化物區域 114 204 ' 氮化矽層 208 ' 212 ' 216、220、 226 ' 230 ' 238 ' 244 408 急遽上升 418 最小值 610 HDP-CVD 系統 613 腔室 614 圓頂 616 處理區 617 基材 618 支撐構件 619 接收部 620 靜電吸座 621 基部 622 主體構件 623、 624 板 625 主體 626、 627 、 643A-C 閥 628 幫浦 629 ' 630 線圈 631A-C > 651 產生器 632A-C 匹配網路 633 氣體輸送系統 634A-E、634D’ 源 635A-C、635A’-B,、635D, 控制器 636、 641、648 氣室 637 氣環 638、 638A、644 管線 639、640、645 喷嘴 24 1359459 646 排氣孔 650 清潔 653 腔體 654 入口 655 管 656、 657 661 隔板 662 通道 糸統 位置 680A-B 電漿系統 25
Claims (1)
1359459
七、申請專利範圍: Λ 種利用一问在度電襞化學氣相沉積(HDPCVD)製 程沉積-氮化矽膜至-處理腔室中之一基材上的方法, 該方法包含: 將—包含氮與矽的處理氣體混合物流入該處理腔室 中,同時維持該氮原子流率與該矽原子流率之一平均比 率為約50: i或以上,維持該處理腔室中的一平均壓力 為約40毫托耳或以下,以及維持一平均基材溫度為 6〇〇°C或以下;以及 自該處理氣體混合物形成一高密度電漿以沉積該氮 化^夕膜至該基材上,其中該高密度電漿的離子密度達 1〇離子數/立方公分或以上,且該高密度電漿的離子化 比例達10.1或以上。 2.如申請專利範圍第i項所述之方法,其中該氮原子流 率與該矽原子流率之平均比率為約60 : 1或以上。 3·如申請專利範圍第i項所述之方法,其中該氮原子流 率與該梦原子流率之平均比率為約9〇: 1或以上。 26 1 .如申請專利範圍第1項所述之方法,其中該平均基材 溫度維持在500。(:或以下。 1359459 5. 如申請專利範圍第i項所述之方法,其中該處理腔室 中的該平均壓力為約15毫托耳或以下。 6. 如申請專利範圍第丨項所述之方法,其中使用一氫氟 酸冷液(1 /。HF 溶液)處理沉積之氮化石夕膜的—渔钮刻 速率小於5埃/分鐘(A/min)。 7.如申請專利範圍第i項所述之方法,其中沉積之氣化 矽膜的一生成速率大於約500埃/分鐘(A/min)。 8.如申請專利範圍第i項所述之方法,更包含將氯氣流 入該處理腔室中,以及維持該氮原子流率與該氬原子流 率之一比率為15: 1至15: 2之間。 9.如申請專利範圍第i項所述之方法,其中該高密度電 • E的一 RF功率為5至15瓦/平方公分(基材面積)之間。 Π).如f請專利範圍第i項所述之方法,其中該高密度 電聚的- RF功率為5.5至10瓦/平方公分(基材面積)之 間。 U•如f請專利範圍第i項所述之方法,其中形成該高 密度電裂之步驟於沉積該氛化石夕膜期間不偏壓該電Μ 該基材。 27 1359459 12.如申請專利範圍第1項所述之方法,其中該 HDP-CVD製程的一沉積與濺射比率大於約50 : i。 - 13.如申請專利範圍第1項所述之方法,其中該處理氣 • 體混合物包含雙原子氮(N2)和至少一選自甲矽燒 (SiH4)、二矽烷(Si2ii6)和三矽胺(N(SiH3)3 ; TSA)組成之 群組的氣體。 14·—種利用一高密度電漿化學氣相沉積(HDP-CVD)製 程沉積一氮化矽膜至一處理腔室中之一基材上的方法, 該方法包含: 將具一第一氣流流率之雙原子氮(NO和具一第二氣 流流率之曱矽烷(SiH4)流入該處理腔室中,同時維持該 第一氣流流率與該第二氣流流率之一平均比率為約 _ 25.1或以上,維持該處理腔室中的一平均壓力為約 毫粍耳或以下,以及維持一平均基材溫度為6〇〇,c或以 下;以及 自該處理氣體混合物形成一高密度電漿以沉積該氮 化矽膜至該基材上,其中該高密度電漿的離子密度達 1〇11離子數/立方公分或以上,且該高密度電漿的離子化 比例達1〇-4或以上。 15.如申請專利範圍第丨4項所述之方法,其中該第—氣 28 1359459 I 流流率與該第二氣流流率之平均比率為約3。或以上β 16·如申請專利範圍第14項所述之方法, 流流率與該第二氣流流率之平均比率為約40或以上。 #法,其中該平均基 17·如申請專利範圍第14項所述之 材溫度維持在5〇(TC或以下。 二如申請專利範圍第17項所述之方法,其中該處理腔 至中的該平均壓力為約15毫托耳或以下。 19.如申請專利範圍帛18項所述之方法,其中使用一氮 氟酸溶液(1% HF水溶液)處理沉積之氣切膜的一㈣ 刻速率小於5埃/分鐘(A/min)。 20·如申請專利範圍第18項所述之方法,其中沉積之氮 化矽膜的一生成速率大於約5〇〇埃/分鐘(A/min)。 21. 如申請專利範圍第18項所述之方法,更包含將具— 第三氣流流率之氬氣流入該處理腔室中,同時維持該第 氣流流率與該第二氣流流率之一比率為15: 2至15: 4之間。 22. 如申請專利範圍第18項所述之方法,其中該高密度 29 1659459 電漿的一 RF功率為5至〗5瓦/平 方公分(基材面積)之間。 23.如申請專利範圍第18 電漿的一 RF功率為5.5至 間。 項所述之方法,其中該高密度 1〇瓦/平方公分(基材面積)之 2:.如申請專利範圍第18項所述之方法,其中形成該高
在度電裝之步驟於沉積該氮化硬膜期間不偏;1該電漿至 該基材。 25.如申請專利範 HDP-CVD製程的一 圍第18項所述之方法,其中該 沉積與濺射比率大於約50。
30
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/962,674 US7678715B2 (en) | 2007-12-21 | 2007-12-21 | Low wet etch rate silicon nitride film |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200943419A TW200943419A (en) | 2009-10-16 |
TWI359459B true TWI359459B (en) | 2012-03-01 |
Family
ID=40789179
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW097149781A TWI359459B (en) | 2007-12-21 | 2008-12-19 | Low wet etch rate silicon nitride film |
Country Status (7)
Country | Link |
---|---|
US (1) | US7678715B2 (zh) |
EP (1) | EP2238277A4 (zh) |
JP (1) | JP5269093B2 (zh) |
KR (1) | KR20100108398A (zh) |
CN (1) | CN101981225A (zh) |
TW (1) | TWI359459B (zh) |
WO (1) | WO2009085974A2 (zh) |
Families Citing this family (390)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8741788B2 (en) * | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5610850B2 (ja) * | 2010-05-28 | 2014-10-22 | 三菱重工業株式会社 | 窒化珪素膜の製造方法及び装置 |
JP5842173B2 (ja) * | 2011-03-28 | 2016-01-13 | パナソニックIpマネジメント株式会社 | 光電変換装置及び光電変換装置の製造方法 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP6088178B2 (ja) | 2011-10-07 | 2017-03-01 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
KR102068956B1 (ko) * | 2012-02-15 | 2020-01-23 | 엘지디스플레이 주식회사 | 박막트랜지스터, 박막트랜지스터 어레이 기판 및 이의 제조방법 |
CN104094418A (zh) * | 2012-02-17 | 2014-10-08 | 应用材料公司 | 硅基太阳能电池的钝化薄膜堆叠 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
JP2013219198A (ja) * | 2012-04-09 | 2013-10-24 | Nissin Electric Co Ltd | 薄膜製造方法 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20140186544A1 (en) * | 2013-01-02 | 2014-07-03 | Applied Materials, Inc. | Metal processing using high density plasma |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9576790B2 (en) | 2013-10-16 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US9543140B2 (en) | 2013-10-16 | 2017-01-10 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9401273B2 (en) | 2013-12-11 | 2016-07-26 | Asm Ip Holding B.V. | Atomic layer deposition of silicon carbon nitride based materials |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10354860B2 (en) * | 2015-01-29 | 2019-07-16 | Versum Materials Us, Llc | Method and precursors for manufacturing 3D devices |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
WO2017048596A1 (en) * | 2015-09-18 | 2017-03-23 | Applied Materials, Inc. | Low temperature conformal deposition of silicon nitride on high aspect ratio structures |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US20170178899A1 (en) | 2015-12-18 | 2017-06-22 | Lam Research Corporation | Directional deposition on patterned structures |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
WO2017154202A1 (ja) | 2016-03-11 | 2017-09-14 | 大陽日酸株式会社 | シリコン窒化膜の製造方法及びシリコン窒化膜 |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10157736B2 (en) | 2016-05-06 | 2018-12-18 | Lam Research Corporation | Methods of encapsulation |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) * | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP7404217B2 (ja) * | 2017-04-28 | 2023-12-25 | アプライド マテリアルズ インコーポレイテッド | Oledデバイスの製造に使用される真空システムを洗浄するための方法、oledデバイスを製造するための基板の上での真空堆積のための方法、及びoledデバイスを製造するための基板の上での真空堆積のための装置 |
CN109154077A (zh) | 2017-04-28 | 2019-01-04 | 应用材料公司 | 用于清洁在制造oled装置中使用的真空系统的方法、用于在基板上真空沉积来制造oled装置的方法及用于在基板上真空沉积来制造oled装置的设备 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
CN107235470A (zh) * | 2017-05-26 | 2017-10-10 | 中国计量大学 | 一种湿法腐蚀过程中芯片正面金属及多晶硅材料的保护技术 |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US11056353B2 (en) | 2017-06-01 | 2021-07-06 | Asm Ip Holding B.V. | Method and structure for wet etch utilizing etch protection layer comprising boron and carbon |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
WO2019022929A1 (en) * | 2017-07-25 | 2019-01-31 | Applied Materials, Inc. | ENHANCED THIN FILM ENCAPSULATION |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11037780B2 (en) * | 2017-12-12 | 2021-06-15 | Asm Ip Holding B.V. | Method for manufacturing semiconductor device with helium-containing gas |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10580645B2 (en) | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
TWI830751B (zh) * | 2018-07-19 | 2024-02-01 | 美商應用材料股份有限公司 | 低溫高品質的介電膜及其形成方法 |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11239420B2 (en) | 2018-08-24 | 2022-02-01 | Lam Research Corporation | Conformal damage-free encapsulation of chalcogenide materials |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US12040180B2 (en) | 2018-11-08 | 2024-07-16 | Lam Research Corporation | Nitride films with improved etch selectivity for 3D NAND integration |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
CN111229339B (zh) * | 2020-01-17 | 2021-11-30 | 上海新微技术研发中心有限公司 | 光栅波导微流体芯片的制造方法 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
KR20220081905A (ko) | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 증착용 실리콘 전구체 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (147)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4737379A (en) | 1982-09-24 | 1988-04-12 | Energy Conversion Devices, Inc. | Plasma deposited coatings, and low temperature plasma method of making same |
DE3429899A1 (de) | 1983-08-16 | 1985-03-07 | Canon K.K., Tokio/Tokyo | Verfahren zur bildung eines abscheidungsfilms |
KR890004881B1 (ko) | 1983-10-19 | 1989-11-30 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마 처리 방법 및 그 장치 |
US4572841A (en) | 1984-12-28 | 1986-02-25 | Rca Corporation | Low temperature method of deposition silicon dioxide |
US6673722B1 (en) | 1985-10-14 | 2004-01-06 | Semiconductor Energy Laboratory Co., Ltd. | Microwave enhanced CVD system under magnetic field |
US6230650B1 (en) | 1985-10-14 | 2001-05-15 | Semiconductor Energy Laboratory Co., Ltd. | Microwave enhanced CVD system under magnetic field |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
KR900005118B1 (ko) | 1986-07-14 | 1990-07-19 | 미쓰비시전기주식회사 | 박막 형성장치 |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
KR910006164B1 (ko) | 1987-03-18 | 1991-08-16 | 가부시키가이샤 도시바 | 박막형성방법과 그 장치 |
US5874350A (en) | 1987-03-20 | 1999-02-23 | Canon Kabushiki Kaisha | Process for preparing a functional thin film by way of the chemical reaction among active species |
US4878994A (en) | 1987-07-16 | 1989-11-07 | Texas Instruments Incorporated | Method for etching titanium nitride local interconnects |
DE69033663T2 (de) | 1989-08-28 | 2001-06-21 | Hitachi, Ltd. | Verfahren zur Behandlung eines Aluminium enthaltenden Musters |
JP2775345B2 (ja) | 1989-12-15 | 1998-07-16 | キヤノン株式会社 | プラズマ処理法及びプラズマ処理装置 |
JP2960466B2 (ja) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
JP2640174B2 (ja) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
WO1992012535A1 (en) | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
JP3670277B2 (ja) | 1991-05-17 | 2005-07-13 | ラム リサーチ コーポレーション | 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法 |
US5525550A (en) | 1991-05-21 | 1996-06-11 | Fujitsu Limited | Process for forming thin films by plasma CVD for use in the production of semiconductor devices |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5507881A (en) | 1991-09-30 | 1996-04-16 | Fuji Electric Co., Ltd. | Thin-film solar cell and method of manufacturing same |
JP3231096B2 (ja) | 1991-10-15 | 2001-11-19 | キヤノン株式会社 | 液体噴射記録ヘッド用基体、その製造方法および液体噴射記録ヘッドならびに液体噴射記録装置 |
GB2267291B (en) | 1992-05-27 | 1995-02-01 | Northern Telecom Ltd | Plasma deposition process |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
JP2684942B2 (ja) | 1992-11-30 | 1997-12-03 | 日本電気株式会社 | 化学気相成長法と化学気相成長装置および多層配線の製造方法 |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5665167A (en) | 1993-02-16 | 1997-09-09 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus having a workpiece-side electrode grounding circuit |
US5401350A (en) | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
US5365057A (en) | 1993-07-02 | 1994-11-15 | Litton Systems, Inc. | Light-weight night vision device |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
EP0660449A3 (en) | 1993-12-09 | 1997-01-08 | Santa Barbara Res Center | Electrical leadthrough and its manufacture, as well as device that uses the leadthrough. |
US5525159A (en) | 1993-12-17 | 1996-06-11 | Tokyo Electron Limited | Plasma process apparatus |
WO1995018460A1 (en) | 1993-12-27 | 1995-07-06 | Kabushiki Kaisha Toshiba | Thin film formation method |
EP0661731B1 (en) | 1993-12-28 | 2000-05-31 | Applied Materials, Inc. | A single chamber CVD process for thin film transistors |
US5403434A (en) | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
EP0668608A1 (en) | 1994-02-22 | 1995-08-23 | Applied Materials, Inc. | Electrostatic chuck with erosion-resistant electrode connection |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5494854A (en) | 1994-08-17 | 1996-02-27 | Texas Instruments Incorporated | Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films |
JP3247270B2 (ja) | 1994-08-25 | 2002-01-15 | 東京エレクトロン株式会社 | 処理装置及びドライクリーニング方法 |
US5753044A (en) | 1995-02-15 | 1998-05-19 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
JPH08167605A (ja) | 1994-12-15 | 1996-06-25 | Mitsubishi Electric Corp | シリコン窒化膜の製造方法 |
JPH08225947A (ja) | 1994-12-16 | 1996-09-03 | Canon Inc | プラズマ処理方法及びプラズマ処理装置 |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US5688357A (en) | 1995-02-15 | 1997-11-18 | Applied Materials, Inc. | Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
FR2734402B1 (fr) | 1995-05-15 | 1997-07-18 | Brouquet Pierre | Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant |
TW283250B (en) | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5756400A (en) | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5767628A (en) | 1995-12-20 | 1998-06-16 | International Business Machines Corporation | Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel |
US5679606A (en) | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
EP0870327B1 (en) | 1995-12-27 | 2002-09-11 | Lam Research Corporation | Method for filling trenches in a semiconductor wafer |
KR100267418B1 (ko) | 1995-12-28 | 2000-10-16 | 엔도 마코토 | 플라스마처리방법및플라스마처리장치 |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US6200412B1 (en) | 1996-02-16 | 2001-03-13 | Novellus Systems, Inc. | Chemical vapor deposition system including dedicated cleaning gas injection |
US6042901A (en) | 1996-02-20 | 2000-03-28 | Lam Research Corporation | Method for depositing fluorine doped silicon dioxide films |
US5669975A (en) | 1996-03-27 | 1997-09-23 | Sony Corporation | Plasma producing method and apparatus including an inductively-coupled plasma source |
US6106678A (en) | 1996-03-29 | 2000-08-22 | Lam Research Corporation | Method of high density plasma CVD gap-filling |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US6070551A (en) | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
TW388096B (en) | 1996-06-10 | 2000-04-21 | Texas Instruments Inc | Integrated circuit insulator and method |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5807785A (en) | 1996-08-02 | 1998-09-15 | Applied Materials, Inc. | Low dielectric constant silicon dioxide sandwich layer |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
FR2756663B1 (fr) | 1996-12-04 | 1999-02-26 | Berenguer Marc | Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US6184158B1 (en) | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
US5913140A (en) | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
JPH10242142A (ja) | 1997-02-21 | 1998-09-11 | Nippon Asm Kk | 半導体素子とその製造方法 |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
KR100226751B1 (ko) | 1997-04-10 | 1999-10-15 | 구본준 | 반도체 소자의 금속 배선 형성방법 |
US6077786A (en) | 1997-05-08 | 2000-06-20 | International Business Machines Corporation | Methods and apparatus for filling high aspect ratio structures with silicate glass |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5869149A (en) | 1997-06-30 | 1999-02-09 | Lam Research Corporation | Method for preparing nitrogen surface treated fluorine doped silicon dioxide films |
US6027601A (en) | 1997-07-01 | 2000-02-22 | Applied Materials, Inc | Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor |
US6531193B2 (en) | 1997-07-07 | 2003-03-11 | The Penn State Research Foundation | Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications |
US6074959A (en) | 1997-09-19 | 2000-06-13 | Applied Materials, Inc. | Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US5903106A (en) | 1997-11-17 | 1999-05-11 | Wj Semiconductor Equipment Group, Inc. | Plasma generating apparatus having an electrostatic shield |
JP3141827B2 (ja) | 1997-11-20 | 2001-03-07 | 日本電気株式会社 | 半導体装置の製造方法 |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6071573A (en) | 1997-12-30 | 2000-06-06 | Lam Research Corporation | Process for precoating plasma CVD reactors |
EP0928015A3 (en) * | 1997-12-31 | 2003-07-02 | Texas Instruments Incorporated | Method of preventing boron penetration |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
DE69923436T2 (de) | 1998-03-06 | 2006-01-05 | Asm America Inc., Phoenix | Verfahren zum beschichten von silizium mit hoher kantenabdeckung |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6171917B1 (en) | 1998-03-25 | 2001-01-09 | Advanced Micro Devices, Inc. | Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6413871B2 (en) | 1999-06-22 | 2002-07-02 | Applied Materials, Inc. | Nitrogen treatment of polished halogen-doped silicon glass |
US6465044B1 (en) | 1999-07-09 | 2002-10-15 | Silicon Valley Group, Thermal Systems Llp | Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone |
US6174808B1 (en) | 1999-08-04 | 2001-01-16 | Taiwan Semiconductor Manufacturing Company | Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6399489B1 (en) | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD |
KR100343286B1 (ko) | 1999-11-05 | 2002-07-15 | 윤종용 | 웨이퍼 가장자리의 결함 요인 처리 방법 |
US6372291B1 (en) | 1999-12-23 | 2002-04-16 | Applied Materials, Inc. | In situ deposition and integration of silicon nitride in a high density plasma reactor |
US20020192396A1 (en) | 2000-05-11 | 2002-12-19 | Shulin Wang | Method of titanium/titanium nitride integration |
US6559026B1 (en) | 2000-05-25 | 2003-05-06 | Applied Materials, Inc | Trench fill with HDP-CVD process including coupled high power density plasma deposition |
TW584902B (en) * | 2000-06-19 | 2004-04-21 | Applied Materials Inc | Method of plasma processing silicon nitride using argon, nitrogen and silane gases |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
KR20030074591A (ko) | 2000-08-28 | 2003-09-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 유리 기판의 예비 폴리코팅 |
US6596653B2 (en) | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US6626188B2 (en) | 2001-06-28 | 2003-09-30 | International Business Machines Corporation | Method for cleaning and preconditioning a chemical vapor deposition chamber dome |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
JP3961247B2 (ja) * | 2001-08-17 | 2007-08-22 | 株式会社東芝 | プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法 |
US6812153B2 (en) | 2002-04-30 | 2004-11-02 | Applied Materials Inc. | Method for high aspect ratio HDP CVD gapfill |
US6653203B1 (en) | 2002-05-23 | 2003-11-25 | Taiwan Semiconductor Manufacturing Company | Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches |
DE10223954A1 (de) | 2002-05-29 | 2003-12-11 | Infineon Technologies Ag | Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung |
US6589611B1 (en) | 2002-08-22 | 2003-07-08 | Micron Technology, Inc. | Deposition and chamber treatment methods |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US6924241B2 (en) | 2003-02-24 | 2005-08-02 | Promos Technologies, Inc. | Method of making a silicon nitride film that is transmissive to ultraviolet light |
US7274038B2 (en) | 2003-06-30 | 2007-09-25 | Semiconductor Energy Laboratory Co., Ltd. | Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film |
US7183227B1 (en) | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
JP2006120992A (ja) * | 2004-10-25 | 2006-05-11 | C Bui Res:Kk | シリコン窒化膜の製造方法及びその製造装置 |
US7271110B2 (en) * | 2005-01-05 | 2007-09-18 | Chartered Semiconductor Manufacturing, Ltd. | High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability |
JP4455381B2 (ja) * | 2005-03-28 | 2010-04-21 | 住友電工デバイス・イノベーション株式会社 | 半導体装置およびその製造方法、容量素子およびその製造方法、並びにmis型半導体装置およびその製造方法。 |
US7524750B2 (en) * | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
WO2008117798A1 (ja) * | 2007-03-26 | 2008-10-02 | Tokyo Electron Limited | 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置 |
-
2007
- 2007-12-21 US US11/962,674 patent/US7678715B2/en not_active Expired - Fee Related
-
2008
- 2008-12-18 WO PCT/US2008/087465 patent/WO2009085974A2/en active Application Filing
- 2008-12-18 CN CN2008801214068A patent/CN101981225A/zh active Pending
- 2008-12-18 KR KR1020107016360A patent/KR20100108398A/ko not_active Application Discontinuation
- 2008-12-18 JP JP2010539811A patent/JP5269093B2/ja not_active Expired - Fee Related
- 2008-12-18 EP EP08867320A patent/EP2238277A4/en not_active Withdrawn
- 2008-12-19 TW TW097149781A patent/TWI359459B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
JP2011508434A (ja) | 2011-03-10 |
JP5269093B2 (ja) | 2013-08-21 |
EP2238277A4 (en) | 2013-03-06 |
WO2009085974A2 (en) | 2009-07-09 |
US7678715B2 (en) | 2010-03-16 |
KR20100108398A (ko) | 2010-10-06 |
WO2009085974A3 (en) | 2009-09-24 |
US20090163041A1 (en) | 2009-06-25 |
CN101981225A (zh) | 2011-02-23 |
TW200943419A (en) | 2009-10-16 |
EP2238277A2 (en) | 2010-10-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI359459B (en) | Low wet etch rate silicon nitride film | |
KR102434504B1 (ko) | 토폴로지상 제한된 플라즈마-강화 순환 증착의 방법 | |
US20140186544A1 (en) | Metal processing using high density plasma | |
US7582555B1 (en) | CVD flowable gap fill | |
US7329586B2 (en) | Gapfill using deposition-etch sequence | |
US6846745B1 (en) | High-density plasma process for filling high aspect ratio structures | |
TWI328618B (en) | High-throughput hdp-cvd processes for advanced gapfill applications | |
US8450191B2 (en) | Polysilicon films by HDP-CVD | |
US20100184302A1 (en) | Method of Forming Conformal Dielectric Film Having Si-N Bonds by PECVD | |
TWI397960B (zh) | 用於減少高密度電漿製程中之蝕刻速率漂移的方法 | |
TW201411721A (zh) | 用於流動性膜之經改良的緻密化作用 | |
TWI749165B (zh) | 用於硬遮罩應用之硼摻雜碳化鎢 | |
JP2013515376A (ja) | 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理 | |
TW201327679A (zh) | 電漿活化之保形介電薄膜沉積 | |
TWI352390B (en) | Impurity control in hdp-cvd dep/etch/dep processes | |
US20080017315A1 (en) | Plasma processing apparatus | |
US10283324B1 (en) | Oxygen treatment for nitride etching | |
TW201308431A (zh) | Psg間隙填充所用之整合製程調整 | |
US10593543B2 (en) | Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth | |
CN102820219A (zh) | 低温二氧化硅薄膜的形成方法 | |
KR20090120228A (ko) | 저온 화학기상증착에 의한 산화막 증착 방법 | |
JP2004186210A (ja) | 窒素含有ケイ素化合物膜の形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |