TWI233638B - Apparatus and method for depositing thin film on wafer using remote plasma - Google Patents

Apparatus and method for depositing thin film on wafer using remote plasma Download PDF

Info

Publication number
TWI233638B
TWI233638B TW092108874A TW92108874A TWI233638B TW I233638 B TWI233638 B TW I233638B TW 092108874 A TW092108874 A TW 092108874A TW 92108874 A TW92108874 A TW 92108874A TW I233638 B TWI233638 B TW I233638B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
reactive gas
radical
gas
line
Prior art date
Application number
TW092108874A
Other languages
English (en)
Other versions
TW200307995A (en
Inventor
Young-Hoon Park
Hong-Joo Lim
Sang-Kyu Lee
Hyun-Soo Kyung
Jang-Ho Bae
Original Assignee
Ips Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ips Ltd filed Critical Ips Ltd
Publication of TW200307995A publication Critical patent/TW200307995A/zh
Application granted granted Critical
Publication of TWI233638B publication Critical patent/TWI233638B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

1233638 玖、發明說明: 【相關申請案交互參照】 本申請案根據2002年4月19日在韓國智慧財產局所 申請之韓國專利申請案第2〇〇2_21554號主張優先權,在此 係將该申請案之整體揭示併入於本文中以供參考。 【發明所屬之技術領域】 本發明有關一種用於沈積薄膜於諸如半導體基板之晶 圓上的ALD(原子膜沈積)裝置及ALD方法,且更特別地 有關一種用於利用遠距電漿以沈積薄膜在晶圓上之裝置及 方法。 【先前技術】 用於沈積薄膜之裝置係使用於藉供應反應性氣體於晶 圓而形成一預定薄膜於反應室中所裝載之晶圓上,此等裝 置係化學氣相沈積(CVD)裝置、ALD裝置及類似裝置,且 正應用於製造半導體裝置之不同技術中。 相較於ALD方法,該CVD方法使沈積速率更高;然 而,相較於CVD方法,該ALD方法具有較低的製程溫度 ,較佳的階梯覆蓋,及更高純度的薄膜之優點。迄今已^ 展出製造一種兼採CVD型及ALD型裝置兩者優點之沈積 薄膜的裝置。 【發明内容】 本發明提供一種用於利用遠距電漿以沈積薄膜之ald 裝置及ALD方法,藉其可以在低的製程溫度以高速率沈積 一具有良好階梯覆蓋及高純度的薄膜。 根據本發明之觀點,提供有一種遠距電漿Ald裝置, 1233638 包含:-反應t 1〇〇,其中裝載晶圓;一排氣管路2〇〇, 用於自該反應t _排出氣體;—卜反應性氣體供應單 元31〇;Λ於選擇性地供應一第一反應性氣體至該反應室 100或該排氣管線200 ; 一筮_ c 士 第一反應性氣體轉移管線32〇, 用於連接該第一反應性氣體供應單元3H)與該反應室100 ;一第一旁路管路330’用於連接該第—反應性氣體供應 管線训與該排氣管線自由基供應單A 340,用 於藉施加電漿於一第二/5座_ 一反應性乳體而產生相對應的自由基 ,且然後選擇性地供應該等自由基至該反應室⑽或該排 氣管線2〇〇; 一自由基轉移管線350,用於連接該自由基 供應單元340與該反應冑!⑼;_第二旁路管線36〇,用 於連接該自由基供應單$ 340與該排氣管線·;以及一 主清洗氣體供應單it 370,用於供應一主清洗氣體至該第 一反應性氣體轉移管線320及/或該自由基轉移管線350 在本發明中,該第一反應性氣體供應單元3丨〇包含: 源谷益;311,充填有一預定數量的液體第一反應劑,其 將為第一反應性氣體;一第一質量流控制器(下文中稱為 MFC1 ),用於控制供給至該源容器311内之惰性氣 體的机率,以及一第一路徑轉換單元3丨6,用於使該惰性 氣體或該第一反應性氣體能選擇性地流入該第一反應性氣 體轉換管線320或該第一旁路管線33〇之内。 在本發明中,該自由基供應單元34〇包含··一第二質 量流控制器(下文中稱為“MFC2” ),用於控制第二反 1233638 應性氣體之流率;一第三質量流控制器(下文中稱為“ MFC3” ),用於控制惰性氣體之流率;一遠距電滎產生 器341,該第二反應性氣體及/或惰性氣體係藉由該 MFC2及MFC3供給至其内,且用於藉施加電漿於第二反 應性氣體而產生相對應的自由基;以及一第二路徑轉換單 元346,用於使所產生之自由基能選擇性地流入於該自由 基轉移管線350及/或第二旁路管線36〇之内。較佳地, 該自由基供應單元340進一步包含一第三旁路管線, 用於使δ亥第一反應性氣體能選擇性地流過而進入該 第二旁路管線360之内。 在本發明中,該主清洗氣體供應單元37〇包含:一 MFC4(第四質量流控制器),用於控制該主清洗氣體之 流率;以及-第三路徑轉換單元376,詩使該主清洗氣 體能流入於第-反應性氣體轉移管線32q《自由基轉移管 線3 50之内。 根據本發明之另-觀點,提供有一種用於利用上述遠 距電漿ALD裝置沈積薄膜之方法。 根據本發明第一實施例,用於利用遠距電聚沈積薄膜 之方法包::形成-薄膜於-裝載於反應t 1〇〇中之基板 上盆其係糟由重複地執行一第—反應性氣體供給步驟⑻) ,其:該第-反應性氣體係供給至該反應t 1〇〇之内,以 、复也執行一第一反應性氣體清洗步驟盆体 =L室lor之該第一反應性氣體係被清洗:、其二 ’、:、、,疋位於反應室100與排氣管線200間之呂氏 1233638 閥(Luffing valve) 210維持開啟,且流過第一路徑轉換單 . 元316之内部點A及第二路徑轉換單元346之内部點B之 氣體持續流進該反應室1〇〇或旁路管線之内,及供給自由 基至反應室之内。 在本發明中’在沈積-薄膜之後,注入自由基及惰性 氣體於反應室100之内以熱處理該薄膜,該等自由基係由- 至少一選自含有0、>^、11、011及^1,以及其組合物之群 組所形成。 根據本發明第二實施例,用於利用遠距電漿沈積薄膜 籲 之方法包含:形成一薄膜於一裝載於反應室中之基板上, 其係藉由重複地執行一自由基供給步驟(S3),其中自由基 係供給至反應室100之内;一自由基清洗步驟,其中 係從反應室100清洗該等自由基;一第一反應性氣體供給 步驟(S1),其中該第一反應性氣體係供給至該反應室1〇〇 之内,以及一第一反應性氣體清洗步驟(S2),其中供給至 該反應室100内之該第一反應性氣體係被清洗,其所在之 狀態為,定位於反應室100與排氣管線200間之呂氏閥籲 (Luffing valve) 210維持開啟,且流過第一路徑轉換單元 316之内部點A、第二路徑轉換單元346之内部點b及第 三路徑轉換單元376之内部點C之氣體持續流進該反應室 100或旁路管線之内。 該自由基清洗步驟(S4)包含··藉由自由基轉移管線 350 /主入主清洗氣體於反應室1〇〇之内,其流率係由主清 洗氣體供應單元370之MFC4所控制。 12 1233638 在本發明中,於該第一反應性氣體清洗步驟(S2)期間 ’流過第一反應性氣體轉移管線320及自由基轉移管線 3 50之惰性氣體之流率總和係維持在一恆常的位準。 在本發明中,於沈積薄膜之後,將自由基及惰性氣體 注入於反應室1〇〇之内以熱處理該薄膜。該等自由基係由 至少一選自含有〇、:^、11、〇11及]^11,以及其組合物之群 組所形成。 根據本發明第三實施例,用於利用遠距電漿沈積薄膜 之方法包含:形成一薄膜於一裝載於反應室中之基板上, 痛 其係藉由重複地執行一自由基供給步驟(S3),其中自由基 係供給至反應室1〇〇之内;一自由基清洗步驟(S4,),其中 係從反應室100清洗該等自由基;一第一反應性氣體供給 步驟(S 1 ),其中一第一反應性氣體係供給至該反應室丨〇〇 之内,以及一第一反應性氣體清洗步驟(S2),其中係從該 反應室100清洗該第一反應性氣體,其所在之狀態係為, 定位於反應室1〇〇與排氣管線2〇〇間之呂氏閥(Luffing valve) 210維持開啟,且流過第一路徑轉換單元3 16之内 籲 部點A及該自由基供應單元34〇之内部點D之氣體會持續 流進該反應室1 〇〇或旁路管線之内。 自由基清洗步驟(S4’)包含:藉由自由基轉移管線35〇 僅注入惰性氣體(不含第二反應性氣體)於反應室1〇〇之 内’其流率係由自由基供應單元之MCF3所控制。 在本發明中,於該第一反應性氣體清洗步驟(S2)期間 ,流過弟一反應性氣體轉移管線320及自由基轉移管線 13 1233638 350之惰性氣體之流率總和係維持於一恆常的位準。 在本發明中,於沈積薄膜之後,自由基及惰性氣體係 被注入於反應室100之内以熱處處理該薄膜。該等自由基 係由至少一選自含有〇、N、Η、〇n及NH,以及其組合物 之群組所形成。 【實施方式】 本發明之上述及其他特性及優點將藉由詳細地說明較 佳貫施例並參考圖式而更易於了解。 在下文中’將更完整地參照顯示本發明較佳實施例之 圖式來加以說明本發明,然而,本發明可以以許多不同的 方式予以實施,而不應解讀為受限於此處所說明之該等實 施例中。 第1圖係根據本發明之遠距電漿ALD裝置之結構圖, 第2圖係第1圖Ald裝置中所使用之遠距電漿產生器之部 分立體圖。 參閱第1及2圖,根據本發明之遠距電漿ALD裝置包 含一反應室100,其中裝載及沈積晶圓W; 一排氣管線 2〇〇’用於自反應室1〇〇排放氣體;以及一氣體叢,用於 選擇性地供應一反應性氣體及/或一惰性氣體至該反應室 100或該排放管線200。 利用已知之蓮蓬頭式或流動式,該反應室100能使基 板上之薄膜沈積。 使用於自反應室100排放反應性氣體之排放管線200 係其中安裝有呂氏閥(Luffing valve) 210、節流闊200、及 1233638 排氣泵230。 » 該氣體叢包含一第一反應性氣體供應單元31〇,用於 選擇性地供應一第一反應性氣體至反應室1 〇〇或排放管、線 200 ; —第一反應性氣體轉移管線320,用於連接該第_ 應性氣體供應單元310與反應室1〇〇 ; 一第一旁路管線· 3 3 0 ’用於連接遠第一反應性氣體供應單元3 1 〇與排放总 線200 ; —自由基供應單元340,用於藉施加電漿於一第 二反應性氣體而產生相對應之自由基,且選擇性地供應該 等自由基至反應室1〇〇或排放管線200 ; —自由基轉移管 · 線3 50 ’用於連接該自由基供應單元340與反應室· 一第二旁路管線360,用於連接該自由基供應單元34〇與 排放管線200;以及一主清洗氣體供應單元37〇,用於供 應一主清洗氣體至該第一反應性氣體轉移管線320及/戈 該自由基轉移管線350。該氣體叢進一步包含一第三旁路 管線380,用於使該第二反應性氣體能藉由MFC2而選擇 性地流進該第二旁路管線360之内。 該第一反應性氣體供應單元31〇使該流率控制之第一 _ 反應性氣體能選擇性地流進反應室1〇〇或排放管線2〇〇。 该第一反應性氣體供應單元3 1 〇包含一源容器3丨丨,充填 有一將為第一反應性氣體之預定量的液體第一反應劑;一 MFC1 ’用於控制供給至源容器311内之惰性氣體的流率 乂及第路徑轉換單元3 1 6,用於使該惰性氣體或第 一反應性氣體能選擇性地流進第一反應性氣體轉移管線 320或第一旁路管線330之内。 15 1233638 „玄MFC 1使用於控制使液體第一反應劑起泡之惰性& 體的流率。此處,一篦一 〗於—灿 弟閥V1係安裝於該MFC1與該源 容器3 11之間,以控制該惰性氣體之流率。 該第一路徑轉換單元316包含一第二閥v2、一第三閥 V3、-第四閥V4及一第五閥V5,其係相互毗鄰。該第—. 路徑轉換單元316使流過該第二至第五閥V2、V3、V4及 V5交會處之内部·點a之惰性氣體或第一反應性氣體能選 擇性地流進第一反應性氣體轉移管線32〇或第一旁路管線 330。 、 · 在本實施例中,該第一反應性氣體供應單元31〇係建 構使得該第一反應性氣體能藉由起泡該液體第一反應劑而 產生。然而,亦可生產該第一反應性氣體供應單元3丨〇為 一液體傳遞系統(LDS)或直接液體注入(DLI)結構。 自由基供應皁元3 4 0係產生將供應至反應室1 〇 〇的自 由基之處。該自由基供應單元340包含:一 MFC2,用於 控制第二反應性氣體之流率;一 MFC3,用於控制惰性氣 體之流率;一遠距電漿產生器341,該第二反應性氣體及 _ /或惰性氣體利用MFC2及MFC3流進其之内,及用於藉 施加電漿於第二反應性氣體而產生相對應的自由基;以及 一第二路徑轉換單元346,用於使所產生之自由基能選擇 性地流進該自由基轉移管線350及/或第二旁路管線36〇 之内。此處,一第六閥V6係安裝於該MFC2與遠距電聚 產生器341之間,以及一第七閥V7係安裝於MFC3與遠 距電漿產生器341之間。 16 1233638 如第2圖中所示,該遠距電漿產生器341包含-第二 反應性氣體流過之陶質管341a及-纏繞於該陶質管341a 周圍之RF (射頻)線圈341b。13·56ΜΗΖ的RF功率施 力於RF線圈341b ’該rf功率使流過該陶質管341a的第 反應f生氣體游離且激勵之,藉此產生電漿顆粒,亦即, :由基。也就是說,該遠距電漿產生器、341使用於施加電 匕於i、至孩陶質官34丨a的第二反應性氣體及增加激勵之 能量。 可僅供應第二反應性氣體至遠距電漿產生器341。然 在本發明中,流率控制之第二反應性氣體及流率控制 之U丨生氣體兩者氣體之混合係供應至遠距電漿產生器34 i 以使製程窗口之寬度變寬。 第二路徑轉換單元346包含一第八閥V8及一第九閥 ^9,且使流過該第八閥與該第九閥交會處之内部點的惰性 氣體或自由基能選擇性地流進該自由基轉移管線35〇或第 二旁路管線360。該第八閥V8之開口的直徑必須足夠地大 ^若如此時,當第八閥V8開啟且自由基流過該第八閥V8 ΒτΓ,该等自由基之所激勵的能量可維持於恆常的位準。 該自由基轉移管線350係使用於轉移遠距電毁產生器 Ml中所產生之自由基至反應室100’該自由基轉移管線 MO必須建構使得其管路具有足夠的直徑以及長度盡量地 短’所以該等自由基之所激勵的能量可維持於恒常的位準 0 主清洗氣體供應單元370使主清洗氣體(例如惰性氣 17 1233638 體)能選擇性地流進第一反應性氣體轉移管線32〇或自由 、 基轉移管線350。在本實施例中’當第一反應性氣體或自 由基旁路至排氣管線200時’惰性氣體係供應至第一反應 性氣體轉移管線320或自由基轉移管線35〇。該主清洗氣 體供應單元370包含一第四質量流控制單元(下文中稱為· “MFC4” ),用於控制主清洗氣體之流率;一第三路徑 轉換單元3 7 6 ’用於使該主清洗氣體能選擇性地流進該第 一反應性氣體轉移管線320或自由基轉移管線35〇,以及 一第十閥V10,安裝於該MFC4與該第三路徑轉換單元 鲁 376之間。 該第三路徑轉換單元376包含一第十一閥νπ及一第 十二閥V12,以及使流過第十一閥VII與第十二閥Vl2交 會之内部點C之主清洗氣體能選擇性地流進第一反應性氣 體轉移管線320或自由基轉移管線350之内。 同時,一第十三閥V13係安裝於MFC3與第二旁路管 線360之間,以及一第十四閥V14係安裝於第三旁路管線 380之中。 _ 閥VI至V14係耦合於一控制器(未圖示)且由該控 制器所控制。 具有上述結構之遠距電漿ALD裝置可藉使用電能而改 善典型ALD裝置缺點之低沈積速率,以及降低製程溫度。 下文中,將簡明地說明第一反應性氣體供給步驟、第 一反應性氣體清洗步驟、自由基供給步驟、以及自由基清 洗步驟。 18 1233638 a) 第一反應性氣體供給步驟(S1) . 惰性氣體係藉MFC1予以流率控制,且透過第一閥Vl
供給至源容器3丨丨之内。該惰性氣體會起泡該源容器$ U :所儲存之液體第一反應源,以產生第一反應性氣體。該 第一反應性氣體與起泡氣體一起流過第三閥V3及第四閥 V4,且透過第一反應性氣體轉移管線32〇供給至反應室 100之内。 b) 第二反應性氣體清洗步驟(S2) 在藉MFC 1流率控制該惰性氣體之後,惰性氣體會流 _ 過第二閥V2及第四閥V4,且透過該第一反應性氣體轉移 官線320供給至反應室1〇〇之内。因為清洗氣體(例如惰 性氣體)並不會流過源容器311,故第一反應性氣體並不 會產生。所以僅清洗氣體注入於反應室丨〇〇之内且清洗該 反應室100中所含之第一反應性氣體。 c) 自由基供給步驟(S3) 第二反應性氣體及惰性氣體係分別地藉MFC2及 MFC3予以流率控制,且然後分別地透過開啟之第六閥φ 及第七閥V7注入於遠距電漿產生器341。該第二反應性氣 體及惰性氣體的氣體混合物會轉換為將為自由基之電漿氣 體,同時流過遠距電漿產生器341。在此步驟中,所產生 之自由基會流過第八閥V8且透過自由基轉移管線35〇而 注入於反應室100之内。 在本實施例中,第二反應性氣體與惰性氣體之氣體混 合物係供應至遠距電漿產生器34 1之中,以使製程窗口的 19 1233638 寬度變寬。然而,亦可僅供應第二反應性氣體。 d) 自由基清洗步驟(S4) 藉閉合第八閥V8及開啟第九閥V9,自由基並未注入 於反應室100内,而是流過第二旁路管線360進入排氣管 線200之排氣泵230,且由主清洗氣體供應單元370所供 應之主清洗氣體係流過自由基轉移管線350而進入反應室 100之内。也就是說,自由基不再供應至自由基轉移管線 350之内,而藉MFC4所流率控制之主清洗氣體會流過第 十閥V10、第十二閥V12、及自由基轉移管線350而進入 反應室100之内。 e) 自由基清洗步驟(S4’) 藉閉合第六閥V6及開啟第十四閥V14,第二反應性 氣體會流過第三旁路管線380而進入排氣管線200之排氣 泵230,以及藉MFC3所流率控制之惰性氣體則流過遠距 電漿產生器341及第八閥V8而進入反應室。也就是說, 因為第二反應性氣體係透過第三旁路管線380及第二旁路 管線3 6 0予以排氣’故第二反應性氣體並不會注入於遠距 電漿產生器341之内。所以僅流過MFC3之惰性氣體會供 給至反應室1〇〇之内’因而清洗來自反應室1〇〇之自由基 〇 下文中,將說明用於利用上述ALD裝置沈積薄膜的方 法之實施例。 第3圖係一圖形,用以解說根據本發明第一實施例之 用於利用第1圖之ALD裝置沈積薄膜的方法。在第一實施 1233638 在位於反應室100
薄膜係沈積於反應室100中所裴載之基板上。 例中,基板係裝載於反應室100之内。在位於 與排氣管線2 0 0間之呂氏閥21 〇保持開啟且自 給至反應室100之内的狀態中,第_及廉从$
接著,如時隔(b) —(c)中所示 所示’係執行第一反應性氣體 供給步驟(S1)。在自由基持續供給至反應室1〇〇内之狀態 中,藉注入由MFC1所流率控制的惰性氣體於源容器311 内且起泡该惰性氣體所獲得的第一反應性氣體會流過第三 閥V3及第四閥V4而進入反應室ι〇〇之内。 接著,如時隔(c)—(d)中所示,在自由基持續供給至反 應至100内之狀態中,將重複執行上述第一反應性氣體清 洗步驟(S2)及第一反應性氣體供給步驟(S1)。 籲 換言之’在自由基持續供給至反應室丨〇〇内之狀態中 ,第一反應性氣體清洗步驟(S2)及第一反應性氣體供給步 驟(S1)係重複一次或多次,藉此沈積薄膜於反應室1〇〇中 所裝載之基板上。 此時’流過第一路#轉換單元3 16之内部點A的氣體 會持續流入反應室100或第一旁路管線33〇内,而流過第 一路梭轉換單兀346之内部點b的氣體會持續流入反應室 21 1233638 100或第二旁路管線360内。 在本發明中,在自由基持續供給至反應室之内而未清 洗的狀態中,利用ALD裝置將薄膜沈積於基板之上。因此 在反應至1 〇〇中之製程壓力可維持於一恆常的位準,且 薄膜可均勻地形成。 同時,在沈積薄膜之後,自由基及惰性氣體係注入於 反應室1〇〇之0以熱處理該薄膜。該等自纟基可由至少一 仫自3 Ο、N、Η、OH及NH以及其組合物之群組所形成 為t、應忒等自由基,第二反應性氣體可為〇2、ο;、&、 ΝΗ3或Nr例如在使用TiCi4氣體沈積薄膜及使用札為第 二反應性氣體的情況中,若在沈積薄膜之後,注入含氯原 子的自由基於該反應室之内時,則可降低薄膜中所含雜質 離子(C1)的濃度,藉此改善薄膜的純度。選擇性地,當 Α1,〇3薄膜利用TMA氣體予以沈積時,則可使用〇2、h2〇 或〇3為第二反應性氣體;同時,為利用Ti、TiN、ai或 Cu來沈積金屬薄膜,可利用金屬有機氣體為第一反應性氣 體,且可使用%為第二反應性氣體。在該等例子中,第二 反應性氣體係注入薄膜之上,其係於熱處理期間以自由Z 狀態被沈積,以改善薄膜之純度。 下文中,將說明用於利用ALD裝置沈積薄膜之方法的 第二實施例。第4圖係一圖形,用以解說根據本發明第二 實施例之用於利用第丨圖人£1)裝置沈積薄臈之方法。一 在本實施例中,基板係裝載於反應室i 〇〇之内,在一 位於反應室100與排氣管線200間之呂氏閥21〇開啟的狀 22 1233638 態中’其中自由基係供給進入反應室丨〇〇内的自由基供給 步驟(S3)、其中從反應室1〇〇清洗自由基的自由基清洗步 驟(S4)、其中第一反應性氣體供給進入反應室1〇〇内的第 一反應性氣體供給步驟(S1)以及其中從反應室1〇〇清洗第 一反應性氣體之第一反應性氣體清洗步驟(S2)將重複地執 行。結果,薄膜將形成於反應室丨〇〇中所裝載之基板上。 如時隔(a)’一(b)’中所示,係執行自由基供給步驟(S3) ’其中產生於自由基供應單元340中之自由基係供給進入 反應室1〇〇内。此處,藉開啟第十閥V10及第十一閥V1 i ,則藉MFC4所流率控制的主清洗氣體(例如惰性氣體) 可流過反應性氣體轉移管線320而進入反應室1〇〇之内。 接著,如時隔(b)’一(c),中所示,係執行自由基清洗步 驟(S4)。在此步驟中,藉由閉合第十一閥V11及第十二閥 V12,由MFC4所流率控制的主清洗氣體可流過自由基轉 移管線350而進入反應室1〇〇之内。此處,藉由閉合第八 閥V8及開啟第九閥V9,產生於自由基供應單元34〇中之 自由基會流過第二旁路管線360而進入排氣管線2〇〇内, 不會流入反應室100之内。 接著,如時隔(C)’一(d),中所示,係執行第一反應性氣 體供給步驟(S1),其中第一反應性氣體係供給進入反應室 100内。如上述地,第一反應性氣體會與該起泡氣體一起 流過第三閥V3及第四閥V4而進入反應室1〇〇之内,該第 一反應性氣體係藉由供給由MFC1所流率控制的起泡氣體 進入源容器3 11内而獲得。此時,主清洗氣體藉由自由基 23 1233638 轉移管線350而持續供給至反應室丨〇〇之内。 接著,如時隔(d),—⑷,中所*,係執行第一反應q 體清洗步驟(S2)’其中係從反應冑丨⑼清洗第—反應性氣 體。此時,主清洗氣體藉由自由基轉移管線35〇而持續供 給至反應室100之内。 、” 也就是說,上述步驟係重複一次或多次,直到薄媒沈 積於反應t H)0中所裝載之基板上。此時,流過第一路= 轉換單元316之内部點A、第二路徑轉換單元346之内部 點B及第三㈣轉換單元376之内部,點c之氣體會持續^ 入反應室100或旁路管線之内。 根據本實施例,因為自由基供給步驟(S3)及自由美青 洗步驟(S4)係交互地重複著,故薄膜之純度可以比第一 ^ 施例之情況中更佳。然而,因為反應室1〇〇中之製程壓力 玎改變於一相當大的範圍内,故會使薄膜之均勻性劣化。 因此,為均勻地形成薄膜,注入於反應室中所裝栽之美板 上的氣體流率總和應維持於一恆常的位準, 广说认^ % 了在反應
性氣供給步驟(S1)期間之外,不應開啟/ σ s氏閥210 因此’為維持反應室1 〇〇中之製程壓力於一值常位準 ,MFC 1及MFC4係設定允許相同的流率。同時,供终至 反應室100内之第一反應性氣體或第二反應性氣體的^率 係調整為小於清洗氣體的流率。如第4圖中所示,^ : τη —— 反應性氣體及第二反應性氣體之流率呈較大時, 及 D2 之高度會呈較高。結果,反應室中之壓力會改變於大的範 24 1233638 圍之内供給至反應室ι00内之第一及第二反應性氣體的 流率必須考慮薄膜的均勻性、階梯覆蓋性、薄膜之純度等 ,而加以適當地調整。 在第二實施例中,在沈積薄膜之後,自由基及惰性氣 體係注入於反應室之内以熱處理該薄膜。該等自由基係由 至少一選自含〇、N、H、〇H及NH以及其組合物之群組 所形成。 下文中’將說明用於利用ALD裝置沈積薄膜之方法的 第三實施例。第5圖係一圖形,用於解說根據本發明第三 馨 實施例之用於利用第1圖ALD裝置沈積薄膜之方法。 在本實施例中,基板係裝載於反應室100之内。在位 於反應室100與排氣管線2〇〇間之呂氏閥21〇開啟的狀態 中,其中自由基係供給進入反應室1〇〇内的自由基供給步 驟(S3)、其中從反應室1〇〇清洗自由基的自由基清洗步驟 (S4’)、其中第一反應性氣體供給進入反應室1〇〇内的第一 反應性氣體供給步驟(S 1 )以及其中從反應室1 〇〇清洗第一 反應性氣體之第一反應性氣體清洗步驟(S2)將重複地執行 _ 。結果,薄膜係沈積於反應室100中所裝載之基板上。 如第5圖之時隔(a),,一(b)”中所示,係執行自由基供給 步驟(S3),其中產生於自由基供應單元34〇中之自由基係 供給於反應室100内。此處,藉由開啟第二閥V2及第四 閥V4,則由MFC1所流率控制的清洗氣體(例如惰性氣體 )係藉由反應性氣體轉移管線320而供給於反麻室1〇〇 内0 25 1233638 接著,如時隔(b),,_⑷,,中所示,係執行自由基清洗步 驟⑻’)。在此步驟中,藉閉合第六閱V6及開啟第十四間 VU,第二反應性氣體會流過第三旁路管線咖而進入排 =線2〇0之排氣泉謂。同時,由鞭3所流率控制之 ,丨“生氧體會流過遠距電毁產生器341及第八閱W而進入 反應室_之内。此處,因為第二反應性氣體係透過第三 旁料線及第二旁路管,線⑽而排出,且並不會縣 至退距電漿產生器341之内,故自由基不會產生“士果, 僅惰性氣體(不包括第二反應性氣體)流㉟贿…反 應室100,藉此清洗來自反應室1〇〇之自由基。 接著,如時隔⑷,,—⑷,,中所示,係執^第一反應性氣 體供給步驟(S1),丨中第—反應性氣體係供給進入反應室 U)〇内。如上述地,會流過第三閥V3及第四閥V4而進入 反應室100之内’該第一反應性氣體係藉供給由MFC1所 流率控制的起泡氣體於源容3 311内而獲得之。此處,流 =MFC3的起泡氣體(例如惰性氣體)會藉由自二二 管線350而持續供給至反應室ι〇〇之内。 接著’如時隔⑷”〜⑷”中所示,係執行第_反應性氣 體清洗步驟⑻),其中係從反應t i⑽清洗第—反應性氣 體。此處’流過M F C 3的、生、土名蝴a从 的^洗亂體會错由自由基轉移管線 350而持續供給至反應室ι〇〇之内。 也就是說,上述步驟俏會遴十 邓係重稷-人或多次,直到薄膜沈 積於反應冑1〇〇中所裝裁之基板上。此時,流過第一路徑 轉換單兀316之内部點Α以及自由基供應單元34〇之第三 26 1233638 旁路管線380肖MFC3交會點D的氣體會持續流入於反應 室100或第二旁路管線360之内。 本發明之第三實施例係第一實施例與第二實施例之結 合。當沈積薄膜時,第人閥V8保持開啟而第九閥v9保持 閉合’使得流過遠距電漿產生器341之氣體必須供給至反 應室100之内。此時’在流過第七閥V7 4惰性氣體必須 供給於該遠距電漿產生器341内的狀態中,當第六閥 及第十四閥V14交互地開啟及閉合時,將重複地執行自由 基供給步驟(S3)及自由基清洗步驟(S4)。也就是說,當第 六閥V6開啟及第十四閥V14閉合時’係執行自由基供給 步驟(S3) ’而虽第六閥V6閉合及第十四閥開啟時,因為第 二反應性氣體並未供給至反應室之内’故執行自由基清洗 步驟(S4)。 然後,在第一反應性氣體供給步驟(S1)及第一反應性 氣體α洗步驟(S2)期間,僅惰性氣體流過MFC3、第七閥 V7、遠距電漿產生器341及第八閥γ8而透過自由基轉移 官線350進入反應室1〇〇内。此處,D1及D2之說明係相 同於第二實施例中,且將省略於此。相似地,在本實施例 中’在沈積薄膜之後’自由基及惰性氣體可注入於反應室 之内以熱處理該薄膜。該等自由基可由至少一選自含〇、 N、Η、OH及NH以及其組合物之群組所形成。該熱處理 可改善薄膜的純度。 雖然本發明已參照較佳實施例而特定地表示及說明, 但該等熟習於本項技術之人士將理解的是,於不背離申請 27 1233638 專利祀圍所界定之本發明的精神及範4前提下,v進行形 式及細節之種種改變。 一根據如上述之本發明,可利用遠距電漿ALD裝置,以 同速度及低製程溫度沈積出具有良好階梯覆蓋性及高純度 之薄膜。 【圖式簡單說明】 (一) 圖式部分 第1圖係根據本發明之遠距電漿ALD裝置的結構圖;
押第2圖係使用於帛i圖之ALD裝置中之遠距電浆產生 器的部分立體圖; —第3圖係用於說明根據本發明第一實施例之用於利用 第1圖之ALD裝置沈積薄膜的方法; 第4圖係用於說明根據本發明第二實施例之用於利用 第1圖之ALD裝置沈積薄膜的方法;以及 ,第5目係用於說明根據本發明第三實施例之用於利用 第1圖之ALD裝置沈積薄膜的方法。
(二) 元件代表符號 MFC 質量流控制器
Vl,V2,V3,V4,V5,C6,V7,閥 V8,V9,V10,V11,V12,V13, V14 4lb 1〇〇 2〇〇 RF ( #頻)線圈 反應室 排氣管線 28 1233638 210 呂氏閥 220 節流閥 230 排氣泵 310 第一反應性氣體供應單元 311 源容器 316 第一路徑轉換單元 320 第一反應性氣體轉移管線 330 第一旁路管線 340 自由基供應單元 341 遠距電漿產生器 341a 陶質管 346 第二路徑轉換單元 350 自由基轉移管線 360 第二旁路管線 370 主純化清洗氣體供應單元 376 第三路徑轉換單元 第三旁路管線 380

Claims (1)

1233638 拾、申請專利範圍: 1一種遠距電漿原子臈沈積裝置,包含·· 一反應室,其中裝載晶圓; 一排氣管線,用於自該反應室排出氣體; 第反應性氣體供應單元,用於選擇性地供應一第 一反應性氣體至該反應室或該排氣管線; 第反應性氣體轉移管線,用於連接該第一反應性 氣體供應單元與該反應室; 一第一旁路管線,用於連接該第一反應性氣體供應管 線與該排氣管線; 一自由基供應單元,用於藉施加電漿於一第二反應性 氣體而產生相對應的自由基,且然後選擇性地供應該等自 由基至該反應室或該排氣管線; 一自由基轉移管線,用於連接該自由基供應單元與該 反應室; 一第二旁路管線,用於連接該自由基供應單元與該排 氣管線;以及 一主清洗氣體供應單元,用於供應一主清洗氣體至該 第一反應性氣體轉移管線及/或該自由基轉移管線。 2·如申請專利範圍第1項之裝置,其中該第一反應性 氣體供應單元包含: 一源容器,充填有一預定數量的液體第一反應劑,該 液體第一反應劑將為該第一反應性氣體; 一 MFC 1 (第一質量流控制器),用於控制供給至該 1233638 源各器内之惰性氣體的流率;以及 —第一路徑轉換單元,用於使該惰性氣體或該第一反 應眭氣體能選擇性地流入該第一反應性氣體轉移管線或該 第一旁路管線之内。 3·如申請專利範圍第1項之裝置,其中該自由基供應 單元包含: 一 MFC2 (第二質量流控制器),用於控制該第二反 應性氣體之流率; 一 MFC3 (第三質量流控制器),用於控制該惰性氣 體之流率; 一遠距電漿產生器,該第二反應性氣體及/或惰性氣 體係藉由該MFC2 (第二質量流控制器)及該MFC3 (第三 質量流控制器)供給至其内,且用於藉施加電漿於該第二 反應性氣體而產生相對應的自由基;以及 一第二路徑轉換單元,用於使所產生之自由基能選擇 性地流入該自由基轉移管線及/或該第二旁路管線之内。 4.如申請專利範圍第3項之裝置,其中該自由基供應 單元進一步包含一第三旁路管線,用於使該第二反應性氣 體能選擇地流過該MFC2 (第二質量流控制器)而進入該 第二旁路管線之内。 5·如申請專利範圍第1項之裝置,其中該主清洗氣體 供應單元包含: 一 MFC4 (第四質量流控制器),用於控制該主清洗 氣體之流率;以及 31 1233638 片 第二路徑轉換單元,用於使該主清洗氣體能流入該 第一反應性氣體轉移管線或該自由基轉移管線之内。 6.—種原子膜沈積方法,利用如申請專利範圍第1至 5項中任一項之遠距電聚原子膜沈積裝置,該方法包含: 1成一薄膜於一裝載於該反應室中之基板上,其係藉 由重複地執行一第一反應性氣體供給步驟,其中該第一反 應性氣體係供給至該反應室之内,以及重複地執行一第一 反應性氣體清洗步驟,其中供給至該反應室之該第一反應 減體係被清洗,其所在狀態為,定位於該反應室與排氣 管線,之呂氏閥(Luffing valve)維持開啟,且流過第一路徑 轉換單70之内部點A及第二路徑轉換單元之内部點B之氣 體持續流進該反應室或旁路管線之内,以及自由基係供應 至該反應室之内。 、7.如申請專利範圍帛6項之方法,在沈積-薄膜之後 ’進-步包含注入自由基及惰性氣體於該反應室之内以熱 處理該薄膜’其中該等自由基係由至少-選自含有〇、N 、h、oh及NH,以及其組合物之群組所形成。 8’ # # g沈積方法’利用如申請專利範圍第^至 5項中任$之退距電渡原子膜沈積裝置,該方法包含: 升:成一薄膜於一裝載於-反應室中之基板上,其係藉 由重複地執订一自由基供給步驟,其中該等自由基係供給 至反應室之内;一自由其、、主、、土止 ^ 目由基,月洗步驟,其中係從該反應室清 洗。玄等自由基,-第一反應性氣體供給步驟,其中該第一 反應性氣體係供給至該反應室之内;以及一第一反應性氣 32 Ϊ233638 體清洗步驟,纟中供給至該反庄應室内之該I反應性氣 體係被清洗,其所在狀態係為,定位於該反應室與排氣管 線間之呂氏mLUffingValve)維持開啟,且流過第—路徑轉 換單元之内部·點A、第二路徑轉換單元之内部點B、及第 三路徑轉換單元之内部‘點C之氣體持續流進該反應室或旁 路管線之内, ^其中該自由基清洗步驟包含藉由自由基轉移管線注入 "亥主清洗氣體於該反應室之内’其流率係由該主清洗氣體 供應單元之MFC4(第四質量流控制器)所控制。 9. 如申請專利範圍第8項之方法,其中在該第一反應 性氣體清洗步驟期間,流過該第一反應性氣體轉移管線^ 自由基轉移管線之惰性氣體之流率總和係維持於一恆常的 位準。 10, 如申請專利範圍第8項之方法,在沈積一薄膜之後 ,進一步包含注入自由基及惰性氣體於該反應室之内以熱 處理β亥薄臈,其中該等自由基係由至少一選自含有〇、N Η OH及ΝΗ,以及其組合物之群組所形成。 11 ·種原子膜沈積方法,利用如申請專利範圍第1至 5項中任一項之遠距電漿原子膜沈積裝置,該方法包含: 形成一薄膜於一裝載於該反應室中之基板上,其係藉 由重複地執行一自由基供給步驟,其中自由基係供給至反 應至之内’一自由基清洗步驟,其中從係該反應室清洗該 等自由基,一第一反應性氣體供給步驟,其中該第一反應 性氣體係供給至該反應室之内;以及一第一反應性氣體清 33 1233638 洗步驟,其中係從該反應室清洗該第一反應性氣體,其所 在之狀態係為,定位於該反應室與排氣管線間之呂氏閥 (Luffing valve)維持開啟,且流過第一路徑轉換單元之内部 點A及該自由基供應單元之内部點D之氣體持續流進該反 應室或旁路管線之内, 其中該自由基清洗步驟包含:藉由自由基轉移管線僅 注入惰性氣體(不含第二反應性氣體)於該反應室之内, 其流率係由該自由基供應單元之MFC3(第三質量流控制 器)所控制。 工 i2·如申請專利範圍第11項之方法,其中在該第一反 應性氣體清洗步驟期間,流過該第一反應性氣體轉移管線 及自由基轉移管線之惰性氣體之流率總和係維持於_ 1 的位準。 、恆常 13·如申請專利範圍第n項之方法,在沈積— 你,、公 止h /寻賜之 设進一步包含注入自由基及惰性氣體於該反應室之 熱處理該薄膜,其中該等自由基係由至少一選自含有〇以 N、0H、及NH,以及其組合物之群組所形成。 拾壹、圖式: 如次頁 34
TW092108874A 2002-04-19 2003-04-17 Apparatus and method for depositing thin film on wafer using remote plasma TWI233638B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0021554A KR100439948B1 (ko) 2002-04-19 2002-04-19 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법

Publications (2)

Publication Number Publication Date
TW200307995A TW200307995A (en) 2003-12-16
TWI233638B true TWI233638B (en) 2005-06-01

Family

ID=36501792

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092108874A TWI233638B (en) 2002-04-19 2003-04-17 Apparatus and method for depositing thin film on wafer using remote plasma

Country Status (6)

Country Link
US (1) US20050223982A1 (zh)
JP (1) JP4191617B2 (zh)
KR (1) KR100439948B1 (zh)
AU (1) AU2003223126A1 (zh)
TW (1) TWI233638B (zh)
WO (1) WO2003089683A1 (zh)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4399517B2 (ja) * 2004-01-05 2010-01-20 株式会社堀場製作所 成膜装置と成膜方法
KR100469132B1 (ko) * 2004-05-18 2005-01-29 주식회사 아이피에스 주기적 펄스 두 단계 플라즈마 원자층 증착장치 및 방법
KR100465118B1 (ko) * 2004-05-18 2005-01-13 주식회사 아이피에스 주기적 펄스 플라즈마에 의한 원자층 증착 방법
KR100632037B1 (ko) * 2004-09-09 2006-10-04 동부일렉트로닉스 주식회사 화학기상증착장비의 가스분배방법
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP2007211326A (ja) 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
KR101446185B1 (ko) * 2008-01-03 2014-10-01 최대규 고효율 유도 결합 플라즈마 반응기
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101206833B1 (ko) * 2010-09-01 2012-12-03 주식회사 케이씨텍 기판 증착 장치
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102329268B1 (ko) * 2013-07-25 2021-11-22 삼성디스플레이 주식회사 기상 증착 장치
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10502476B1 (en) * 2016-12-05 2019-12-10 Northrop Grumman Systems Corporation Restraint and protection of delicate systems subjected to potentially destructive forces
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR102014887B1 (ko) * 2017-10-27 2019-08-28 주식회사 뉴파워 프라즈마 선택적으로 라디칼을 공급하는 라디칼 발생기
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) * 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
DE102018120269A1 (de) * 2018-08-21 2020-02-27 Relyon Plasma Gmbh Anordnung und Verfahren zur Behandlung von Objekten
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111188026A (zh) * 2018-11-14 2020-05-22 北京北方华创微电子装备有限公司 气体处理系统、气体处理方法及原子层沉积设备
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN111218668B (zh) * 2018-11-27 2023-09-08 北京北方华创微电子装备有限公司 半导体处理设备及薄膜沉积方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109518164A (zh) * 2018-12-20 2019-03-26 北京北方华创微电子装备有限公司 原子层沉积设备及方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR102466189B1 (ko) * 2020-08-25 2022-11-10 주식회사 한화 수소 라디칼을 이용한 기판 처리장치
CN114107949A (zh) * 2020-08-25 2022-03-01 韩华株式会社 配备有自由基部的基板处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP7039085B1 (ja) * 2021-08-30 2022-03-22 株式会社クリエイティブコーティングス 成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102429541B1 (ko) 2022-03-17 2022-08-05 주식회사 에이아이티 리니어 소스를 사용하는 박막 증착 시스템
KR102429546B1 (ko) 2022-03-17 2022-08-05 주식회사 에이아이티 리니어 증발 소스를 구비한 박막 증착 시스템

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02122825A (ja) * 1988-10-31 1990-05-10 Denki Kagaku Kogyo Kk 薄膜製造原料供給装置
JPH04136165A (ja) * 1990-09-26 1992-05-11 Shimadzu Corp 反応性ガス導入型成膜装置
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04293775A (ja) * 1991-03-20 1992-10-19 Fujitsu Ltd 成膜方法及び成膜装置
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3563565B2 (ja) * 1997-06-09 2004-09-08 東京エレクトロン株式会社 排気装置および排気方法
JPH11251248A (ja) * 1998-02-27 1999-09-17 Canon Inc Si合金薄膜の製造方法
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
US7015415B2 (en) * 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma

Also Published As

Publication number Publication date
JP4191617B2 (ja) 2008-12-03
US20050223982A1 (en) 2005-10-13
KR20030083132A (ko) 2003-10-30
TW200307995A (en) 2003-12-16
JP2005523580A (ja) 2005-08-04
KR100439948B1 (ko) 2004-07-12
AU2003223126A1 (en) 2003-11-03
WO2003089683A1 (en) 2003-10-30

Similar Documents

Publication Publication Date Title
TWI233638B (en) Apparatus and method for depositing thin film on wafer using remote plasma
TW527224B (en) Apparatus and method for growing of a thin film
US9466524B2 (en) Method of depositing metals using high frequency plasma
JP5048476B2 (ja) 絶縁膜または金属膜を形成する方法
CN102625861A (zh) 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法
TWI392758B (zh) 三元薄膜的新穎沉積方法
US6602784B2 (en) Radical-assisted sequential CVD
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
US7745346B2 (en) Method for improving process control and film conformality of PECVD film
US20110275166A1 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR100800377B1 (ko) 화학기상증착설비
SG188656A1 (en) Method of mitigating substrate damage during deposition processes
WO2006023501A2 (en) Direct liquid injection system and method for forming multi-component dielectric films
JPWO2005071723A1 (ja) 半導体装置の製造方法および基板処理装置
JP2003517731A (ja) 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法
TW200949946A (en) Film formation method and apparatus for semiconductor process
CN101014730A (zh) 用于形成多组分介电膜的系统和方法
KR20050063807A (ko) 원자층 증착법(ald)으로 일반적 금속 전달 소스를제공하고 결합하기 위한 방법 및 장치
JP2009544842A (ja) 原子層堆積用の前駆体溶液を気化及び供給するための方法及び装置
TW201329277A (zh) 使用鉭前驅物的薄膜沉積
US7300890B1 (en) Method and apparatus for forming conformal SiNx films
Park et al. Stability of plasma posttreated TiN films prepared by alternating cyclic pulses of tetrakis-dimethylamido-titanium and ammonia
KR100390830B1 (ko) 원자층 박막 증착장치 및 방법
JPS62188782A (ja) 複数の電界を用いた化合物薄膜の製造方法と製造装置
KR20040006959A (ko) 반도체 소자용 산화막 제조방법

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent