TW201201277A - Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus - Google Patents

Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus Download PDF

Info

Publication number
TW201201277A
TW201201277A TW100111962A TW100111962A TW201201277A TW 201201277 A TW201201277 A TW 201201277A TW 100111962 A TW100111962 A TW 100111962A TW 100111962 A TW100111962 A TW 100111962A TW 201201277 A TW201201277 A TW 201201277A
Authority
TW
Taiwan
Prior art keywords
layer
gas
film
forming
substrate
Prior art date
Application number
TW100111962A
Other languages
English (en)
Other versions
TWI441259B (zh
Inventor
Yoshiro Hirose
Kenji Kanayama
Norikazu Mizuno
Yushin Takasawa
Yosuke Ota
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201201277A publication Critical patent/TW201201277A/zh
Application granted granted Critical
Publication of TWI441259B publication Critical patent/TWI441259B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Description

201201277 ~、發明說明: 【發明所屬之技術領域】 的半導體裝 本發明係關於含有於基板上形成薄膜之步馬 置之製造方法、基板處理方法及基板處理裝置 【先前技術】 於半導體裝置(device)之製造步驟中,包 晶圓上形成氧化賴(Si〇2)或氮化㈣⑶為)等於=曰圓等 的步驟。氧化侧、絕緣性、低介電性等優:,::=: 用作為絕緣膜或層間膜。又,氮化销係絕緣性性、 介電性、膜應力控舰等優越,而被廣泛使㈣為絕緣膜或 遮罩膜、電荷蓄制、應力控纏。作為形成方法,有化學 氣相堆積(CVD)法或原子騎積(ALD)法等之成膜方法。在 藉CVD法或ALD法形成石夕絕緣膜時,一般使用例如氣石夕烧 系原料或絲顿系㈣之任—者作騎料(例如參照專 利文獻1)。 [先前技術文獻] [專利文獻] (專利文獻1)日本專利特開2001_230248號公報 【發明内容】 (發明所欲解決之問題) 然而’在使用氣石夕烧系原料或胺基石夕烧系原料之任一者, 尤其是在m域職崎膜時,將魏形成料度較低之 100111962 201201277 絕緣膜等膜質降低的情形。又,在形成絕_之過程中,在 使矽堆積時使用3识4或si2H6時, 稽由凋整晶51溫度或處 至内壓力而進行薄難制,但_進行層狀堆積,故開# 期待錢表面反應進行之堆積方法。又,根據本發明者等人 的在㈣錢㈣_時,於戰訂難以依 效㈣祕途徑使料料積…在使用胺基石夕 燒糸原料單體時,於5 5 G t以下亦未確制⑦之堆積。 從而’本發明之目的在於提供一種於使用氯矽烷系原料或 月女基矽院系原料以形成絕緣膜的情況,可於低溫區域形成良 質之絕緣膜的半導體裴置之製造方法、基板處理方法及基板 處理裝置。 (解決問題之手段) 根據本發明之一態樣,係提供一種半導體裝置之製造方 法’其具有將下述步驟交互進行既定次數,而於基板上形成 既定組成及既定膜厚之絕緣膜的步驟: 對處理室内之基板,供給氣石夕烧系原料及胺基石夕烧系原料 中之一原料,其後,供給另一原料’藉此於上述基板上形成 含有矽、氮及碳之第1層的步驟;與 對上述處理室内之上述基板,供給與上述各原料相異之反 應氣體,藉此使上述第1層改質,而形成第2層的步驟。 根據本發明之其他態樣,係提供一種半導體裝置之製造方 法’其具有將下述步驟交互進行既定次數’而於基板上形成 100111962 4 201201277 既定組成及既定膜厚之絕緣膜的步驟: 對處理室内之基板’供給氣矽烷系原料及胺基矽烷系原 料’藉此於上述基板上形成含有矽、氮及碳之第1層的步 驟;與 . 對上述處理室内之上述基板,供給與上述各原料相異之反 應氣體,藉此使上述第丨層改質,而形成第2層的步驟。 根據本發明之其他態樣,係提供一種基板處理方法,其具 有將下述步驟交互進行既定次數,而於基板上形成既定組成 及既定膜厚之絕緣膜的步驟: 對處理室内之基板,供給氯矽烷系原料及胺基矽烷系原料 中之一原料,其後,供給另一原料,藉此於上述基板上形成 含有矽、氮及碳之第1層的步驟;與 對上述處理室内之上述基板,供給與上述各原料相異之反 應氣體,藉此使上述第1層改質,而形成第2層的步驟。 根據本發明之再其他態樣,係提供一種基板處理裝置,其 具有: ‘ 收容基板之處理室; - 對上述處理室内之基板供給氯矽烷系原料之第1原料供 給系統; 對上述處理室内之基板供給胺基矽烷系原料之第2原料 供給糸統; 對上述處理室内之基板供給與上述各原料相異之反應氣 100111962 c 201201277 體的反應氣體供給系統; =乂互進行既定次數之下述處理,藉此於上述基板上形成 =組成及既㈣厚之絕緣膜的方式,控制上述第ι原料供 m上述第2原料供給祕及上述反應氣體供給系統的 控制•對上述處理室内之基板’供給上述氣我系原料及 上述胺基錢系原料中之一原料,其後,供給另一原料,藉 此於上述基板上形成含树、氮及碳之第1層的處理;與對 上述處理室内之上述基板,供給上述反應氣體,藉此使上述 第1層改質,而形成第2層的處理。 (發明效果) 根據本發明,可提供一種在使用氣矽烷系原料或胺基矽烷 系原料形成絕緣膜時’能於低溫區域形成良質之絕緣膜的半 導體裝置之製造方法、基板處理方法及基板處理裝置。 【實施方式】 以下根據圖式說明本發明之實施形態。 圖1為本實施形態中適合使用之基板處理裝置之縱型處 理爐的概略構成圖,依縱剖面表示處理爐202部分,圖2 為本實施形態中適合使用之縱型處理爐的概略構成圖,依圖 1之A-A線剖面圖表示處理爐202部分。 如圖1所示,處理爐202具有作為加熱手段(加熱機構)之 加熱器207。加熱器207為圓筒形狀’由作為保持板之加熱 基底(未圖示)所支撐而垂直安裝。又,加熱器207係如後述 100111962 6 201201277 般亦具有藉由熱使氣體活性化之活性化機構的功能。 於加熱器207内側,將構成反應容器(處理容器)之反應管 2〇3配置成與加熱器2〇7呈同心圓狀。反應管2〇3係由例如 石英(Si〇2)或碳化矽(Sic)等之耐熱性材料所構成,形成為上 端閉塞而下端開口之圓筒形狀。於反應管2〇3之筒中空部形 成處理室201,構成為可依藉由舟217以水平姿勢並於垂直 方向上多段地排列作為基板之晶圓2〇〇的狀態進行收容。 處理室201内,係依貫通反應管2〇3下部之方式設置第i 喷嘴249a、第2噴嘴24%、第3喷嘴249c、第4喷嘴249d。 於第1喷嘴249a、第2噴嘴249b、第3喷嘴249c、第4喷 嘴249d,係分別連接著第】氣體供給管232a、第2氣體供 給g 232b、第3氣體供給管232c、第4氣體供給管232d。 又’於第1氣體供給管232a上連接著第5氣體供給管232i, 於第4軋體供給管232d上連接著第6氣體供給管232j。如 此’於反應管203係設有4根噴嘴249a、249b、249c、249d 與八根氟體供給管 232a、232b、232c、232d、232i、232j, 而構成為可對處理室201供給複數種類(於此為6種)之氣 體。 第1氣體供給管232a係由上游方向起依序設有屬於流量 控制器(流量控制部)之流量控制機(mass fl〇w controller, MFC)241a及屬於開關閥的閥(vaWe)243a。又,在第i氣體 供給管232a之較閥243a更下游側,係連接著第5氣體供給 100111962 7 201201277 管232i。於此第5氣體供給管加係由上游方向起依序設 有屬於流量控制器(流量控制部)之流量控制機24η及屬於 開關間之閥細°又,在第1氣體供給管232a之血第5氣 體供給管⑽之連接處的更下游側,連接著第ιι#性氣體 供給管232e。此第卜隋性氣體供給管取係由上游方向起 依序設有屬於流量控制器(流量控制部)之流量控制機池 及屬於開關閥之閥243e。又,在第丨氣體供給管232a之前 端部係連接著上述第1喷嘴24知。第丨喷嘴24知係設置成 在反應管203内壁與晶圓200之間的圓弧狀空間内,由反應 管203内壁之下部起沿著上部,朝晶圓2〇〇之積載方向上方 立起。第1喷。备249a係構成為L字型之長噴嘴。於第1喷 嘴249a側面没有供給氣體之氣體供給孔25〇a。氣體供給孔 250a係朝向反應管203中心進行開口。此氣體供給孔25〇a 係涵括反應管203之下部至上部而複數設置,分別具有相同 的開口面積’進而依相同開口間隙設置。主要由第1氣體供 給管232a、流量控制機241a、閥243a、第1噴嘴249a構成 第1氣體供給系統。又,主要由第5氣體供給管232i、流量 控制機241i、閥243i、第1喷嘴249a構成第5氣體供給系 統。又,主要由第1惰性氣體供給管232e、流量控制機241e、 閥243e構成第1惰性氣體供給系統。 第2氣體供給管232b係由上游方向起依序設有屬於流量 控制器(流量控制部)之流量控制機(MFC)241b及屬於開關 100111962 8 201201277 閥的閥243b。又,在第2氣體供給管232b之較閥243b更 下游側’係連接著第2惰性氣體供給管232f。於此第2惰 f生軋體供給管232f係由上游方向起依序設有屬於流量控制 益(抓量控制部)之流量控制機241f及屬於開關閥之閥 243f。又,在第2氣體供給管232b之前端部係連接著上述 第2喷嘴24%〇第2喷嘴249b係設置成在反應管203内壁 v、aa圓2〇〇之間的圓孤狀空間内’由反應管203内壁之下部 起沿著上部,朝晶圓200之積載方向上方立起。第2喷嘴 249b係構成為l字型之長噴嘴。於第2喷嘴24%側面設有 供給氣體之氣體供給孔250b。氣體供給孔250b係朝向反應 官203中心進行開口。此氣體供給孔25沘係涵括反應管2〇3 之下°卩至上部而複數設置,分別具有相同的開口面積,進而 依相同開口間隙設置。主要由第2氣體供給管232b、流量 控制機241b、閥243b、第2噴嘴24%構成第2氣體供給系 統。又,主要由第2惰性氣體供給管232f、流量控制機241f、 閥243f構成第2惰性氣體供給系統。 第3氣體供給管232c係由上游方向起依序設有屬於流量 控制益(流量控制部)之流量控制機(MFc)24)e及屬於開關閥 的閥243C。又,在第3氣體供給管232c之較閥243c更下 游侧,係連接著第3惰性氣體供給管232g。於此第3惰性 氣體供給管232g係由上游方向起依序設有屬於流量控制器 (流量控制部)之流量控制機24ig及屬㈣ 關閥之閥243g。 100111962 9 201201277 又,在第3氣體供給管232c之前端部係連接著上述第3噴 嘴249c。第3喷嘴249c係設置成在反應管203内壁與晶圓 200之間的圓弧狀空間内,由反應管203内壁之下部起沿著 上部’朝晶圓200之積載方向上方立起。第3喷嘴249c係 構成為L字型之長喷嘴。於第3喷嘴249c側面設有供給氣 體之氣體供給孔250c。氣體供給孔250c係朝向反應管203 中心進行開口。此氣體供給孔250c係涵括反應管203之下 部至上部而複數設置’分別具有相同的開口面積,進而依相 同開口間隙設置。主要由第3氣體供給管232c、流量控制 機241c、閥243c、第3喷嘴249c構成第3氣體供給系統。 又’主要由第3惰性氣體供給管232g、流量控制機241g、 閥243g構成第3惰性氣體供給系統。 第4氣體供給管232d係由上游方向起依序設有屬於流量 控制器(流量控制部)之流量控制機(MFC)241d及屬於開關 閥的閥243d。又,在第4氣體供給管232d之較閥243d更 下游側,係連接著第6氣體供給管232j。於此第ό氣體供給 管232j係由上游方向起依序設有屬於流量控制器(流量控制 部)之流量控制機241j及屬於開關閥之閥243j。又,在第4 氣體供給官232d之與第6氣體供給管232j之連接處的更下 游側’連接著第4惰性氣體供給管232h。此第4惰性氣體 供給官232h係由上游方向起依序設有屬於流量控制器(流 $控制部)之流量控制機鳩及屬於開關閥之閥243h。又, 100111962 201201277 在第4氣體供給管232d之前端部係連接著上述第4喷嘴 249d。第4喷嘴249d係設置於屬於氣體分散空間的缓衝室 237 内。 緩衝至237係在反應管203内壁與晶圓2〇〇之間的圓旅狀 空間内’由反應管203内壁之下部起到達上部之部分,沿著 晶圓200之積載方向所設置。在緩衝室237之與晶圓200 鄰接的壁的端部,設有供給氣體的氣體供給孔250e。氣體 供給孔250e係朝向反應管203中心進行開口。此氣體供給 孔250e係涵括反應管203之下部至上部而複數設置,分別 具有相同的開口面積,進而依相同開口間隙設置。 第4喷嘴249d係設置成在緩衝室237之與設有氣體供給 孔250e之端部相反側的端部上,由反應管2〇3内壁之下部 起沿著上部’朝晶圓200之積載方向上方立起。第4嘴嘴 249d係構成為L字型之長喷嘴。於第4喷嘴249d側面^有 供給氣體之氣體供給孔250d。氣體供給孔250d係朝命 肉緩衝 命積足相 _匈, 室237中心進行開口。此氣體供給孔250(1係與緩衡a 之氣體供給孔250e同樣地,涵括反應管203 <下呷 ^ 而複數設置。在緩衝室237内與處理室201内^ 至上部 時,此複數氣體供給孔250d各自的開口面積係由 &麵小 部)至下游側(上部)為止,可設為各自具有相同開〇 ’ 使各個的開口面積增大、或減小開口間隙 同開口間隙,但在差壓較大時,可由上游側起朝向卞 100111962 201201277 本實施形態中,係藉由將第4噴嘴 250d的i又孔體i、給孔 的各個開口面積或開口間隙,涵括上游側至下游側為 的二述般進行調節,故雖然來自各個氣體供給孔250d之 亦^有所差異’但仍可喷出流量幾乎同量的氣體。而且, 二由各個氣體供給孔2遍所喷出之氣體暫時導入至緩衝 至237内’而於緩衝室237内進行氣體流速差之均句化。 "亦:’在由第4噴嘴249d之各個氣體供給孔25〇d喷出至 緩衝室237内的氣體於緩衝室237内使各氣體之粒子速度緩 矛後由緩衝室237之氣體供給孔250e噴出至處理室2〇1 内。藉此,由第4喷嘴249d之各個氣體供給孔25〇d喷出至 緩衝室237内的氣體,係在由緩衝室237之各個氣體供給孔 250e噴出至處理室2〇1内時,成為具有均勻流量與流速的 氣體。 第4氣體供給系統主要係由第4氣體供給管232d、流量 控制機24Id、閥243d、第4喷嘴249d、緩衝室237所構成。 又’第6氣體供給系統主要係由第6氣體供給管232j、流量 控制機241j、閥243j、第4喷嘴249d、緩衝室237所構成。 又’第4惰性氣體供給系統主要係由第4惰性氣體供給管 232h、流量控制機241h、閥243h所構成。 由第1氣體供給管232a,將作為第1矽原料氣體(第1含 矽氣體)之例如氣矽烷系原料氣體經由流量控制機241a、闕 243a、第1喷嘴249a供給至處理室201内。於此,所謂氯 100111962 12 201201277 矽烷系原料,係指具有氯基之矽烷系原料,屬於至少含有矽 (Si)及氯(C1)的原料。作為氯矽烷系原料氣體,可使用例如 六氯二矽烷(Si2Cl6,簡稱HCD)氣體。又,於使用如HCD 般於常溫常壓下呈液體狀態之液體原料時,係將液體原料藉 氣化器或起泡器等之氣化系統予以氣化,作成原料氣體而供 給。 由第2氣體供給管232b,將作為第2矽原料氣體(第2含 矽氣體)之例如胺基矽烷系原料氣體經由流量控制機241b、 閥243b、第2喷嘴249b供給至處理室201内。於此,所謂 胺基矽烷系原料,係指具有胺基之矽烷系原料,屬於至少含 有矽(Si)、氮(N)及碳(C)的原料。作為胺基矽烷系原料氣體, 可使用例如參(二甲基胺基)矽烷(Si[N(CH3)2]3H,簡稱 3DMAS)氣體。又,於使用如3DMAS般於常溫常壓下呈液 體狀態之液體原料時,係將液體原料藉氣化器或起泡器等之 氣化系統予以氣化,作成原料氣體而供給。 由第3氣體供給管232c,將例如硼烷(B)、亦即含有硼之 氣體(含硼氣體)經由流量控制機241c、閥243c、第3喷嘴 249c供給至處理室201内。作為含硼氣體,可使用例如三 氯化硼(BC13)氣體。 由第4氣體供給管232d,將例如含有氮(N)之氣體(含氮氣 體)經由流量控制機241d、閥243d、第4喷嘴249d、緩衝 室237供給至處理室201内。作為含氮氣體,可使用例如氨 100111962 13 201201277 (nh3)氣體。 由第5氣體供給管232ι,將例如碳(〇、亦即含有碳之氣 體(含碳氣體)經由流量控制機241i、閥243i、第丨惰性氣體 供給管232a、第1噴嘴249a供給至處理室2〇1内。作為含 碳氣體,可使用例如丙烯(C3H6)氣體。 由第6氣體供給管232j,將例如含有氧之氣體(含氧氣體) 經由流量控制機241j、閥243j、第4氣體供給管MM、第 4喷嘴249d、緩衝室237供給至處理室201内。作為含氧氣 體,可使用例如氧(02)氣體。 由惰性氣體供給管232e、232f、232g、232h,將例如氮(N2) 氣體分別經由流量控制機241e、241f、241g、241h、閥243e、 243f、243g、243h、氣體供給管 232a、232b、232c、232d、 氣體喷嘴249a、249b、249c、249d及緩衝室237供給至處 理室201内。 尚且,在例如由各氣體供給管使上述之氣體分別流通時, 係藉第1氣體供給系統構成第1矽原料氣體供給系統、亦即 氯矽烷系原料氣體供給系統。又,藉第2氣體供給系統構成 第2矽原料氣體供給系統、亦即胺基矽烷系原料氣體供給系 統。又,藉第3氣體供給系統構成含硼氣體氣體供給系統。 又,藉第4氣體供給系統構成含氮氣體供給系統。又,藉第 5氣體供給系統構成含碳氣體供給系統。又,藉第6氣體供 給系統構成含氧氣體供給系統。 100111962 14 201201277 尚且’在將氣石夕烧系原料氣體及胺基石夕烧系原料氣體總稱 為原料氣體時’係由氯㈣㈣料氣體供給系統及胺基石夕烧 系原料氣體供給系統構成氣體供給系統。又,亦將氣矽烷系 原料氣體供給系統、胺基矽烷系原料氣體供給系統、原料氣 體供給系統分別簡稱為氣魏系原料供給系統、胺基喊系 原料供給系統、原料供給系I又,在將含魏體、含氮氣 體、含碳氣體及含氧氣體總稱為反聽料,係由含石朋氣體 供給系統、含供m含錢體供給祕及含氧氣 體供給系統構成反應氣體供給系統。 於緩衝室237内,係如圖2所示,使具有細長構造之屬於 第1電極的第1棒狀電極269及屬於第2電極的第2棒狀電 極270由反應管加下部起至上部為止沿著晶圓之積層 方向予以配設。第i棒狀電極及第2棒狀電極,分別 設為與第4噴嘴鳩平行。第"奉狀電極咖及第2棒狀 電極270係分別涵括上部至下部,由屬於保護各電極之保護 管的電極保護管275所被覆而被保護著。該第i棒狀電極 269士或第2棒狀電極27〇之任一者係經由整合器π而連接 於商頻電源273,另—者連接於屬於基準電位的接地。其杜 果,在第1棒狀電極269及第2棒狀電極27〇之間的電= 成區域224生成㈣。主要由第1棒狀電極269、第2棒狀 電極㈣、電極保護管275、整合器272、高頻電源π構 成作為產生器(電聚產生部)的電㈣。又,電聚源係如 100111962 15 201201277 後述般揮發藉由電漿使氣體活性化之活性化機構的機能。 電極保護管275係構成為可在使第1棒狀電極269及第2 棒狀電極2 7 0分別與緩衝室23 7之環境隔離的狀態下插入至 緩衝室237内的構造。於此,若電極保謾管275之内部與外 氣(大氣)為相同環境,則分別插入至電極保護管275之第1 棒狀電極269及第2棒狀電極270將因加熱器207所造成之 熱而被氧化。因此,電極保護管275内部係設有以氮等之惰 性氣體進行填充或沖淨、充分抑低氧濃度以用於防止第1 棒狀電極269或第2棒狀電極270之氧化的惰性氣體沖淨機 構。 反應管203中,設有將處理室201内環境氣體進行排氣的 排氣管231。於排氣管231,係經由作為檢測處理室201内 壓力之壓力檢測器(壓力檢測部)之壓力感應器245及作為壓 力調整器(壓力調整部)之APC(Auto Pressure Controller,自 動壓力控制器)閥244而連接著作為真空排氣裝置的真空泵 246 ’構成為可使處理室201内之壓力進行真空排氣至既定 壓力(真空度)。又,APC閥244係開閉閥而可進行處理室 201内之真空排氣•真空排氣停止,進而為調節閥開度而可 調整壓力的開閉閥。主要由排氣管231、APC閥244、真空 泵246、壓力感應器245構成排氣系統。 於反應管203下方,設有可使反應管203下端開口氣密性 閉塞之作為爐口蓋體的密封蓋219。密封蓋219係由與反應 100111962 16 201201277 S 2〇々3下端呈垂直方向之下側抵接著。密封i 219例如由不 錄鋼等金相構成,形成為圓難。於㈣蓋219上面設有 ”反應5 203下端抵接之作為密封構件的〇型環22〇。在密 封蓋219之與處理室201才目反侧,設置有使舟旋轉的旋轉機 構267 °旋轉機構267之旋轉軸255係貫通密封蓋219,連 ;後述之舟217 ’構成為藉由使舟217旋轉而使晶圓2〇〇 疑轉。密封蓋219係構成為藉由於反應管203外部所垂直設 置之作為昇降機構的舟升降器115而可於垂直方向上進行 升降,藉此,可相對於處理室2〇1進行舟217的搬入搬出。 作為基板支撐具的舟2n,係由例如石英或碳化石夕等财熱 性材料所構成’並構成為依水平狀態且彼此中心齊合的狀態 使複數>;晶圓2GG排列而予以多段地支撐。又,於舟217 下部,設有由例如石英或碳化料之耐熱性材料所構成的斷 熱構件218’並構成為使來自加熱器2〇7之熱不易傳導至密 封蓋219側。又,斷熱構件218亦可藉由由石英或碳化矽等 耐熱性材料所構成之複數片斷熱板、與將其等依水平姿勢予 以多段地支撐的斷熱板支撐器所構成。 反應b 203内5又置有作為溫度檢測器的溫度感應器, 根據由溫度感應器263所檢測出之溫度資訊,調整對加熱器 207的通電程度,藉此使處理室2〇1内之溫度成為所需之溫 度分佈。溫度感應器263係構成為與喷嘴249a、24%、249c、 249d相同的L字型,沿著反應管2〇3内壁予以設置。 100111962 17 201201277 屬於控制部(控制手段)之控制機121,係連接於流量控制 機 241a、241b、241c、241d、241e、241f、241g、241h、241i、 24lj、閥 243a、243b、243c、243d、243e、243f、243g、243h、 243i、243j、壓力感應器245、APC閥244、真空泵246、加 熱器207、溫度感應器263、旋轉機構267、舟升降器115、 咼頻電源273、整合器272等。藉由控制機121,而進行流 量控制機 241a、241b、241c、241d、241e、241f、241g、241h、 241i、241j所進行之各種氣體的流量調整動作,閥243a、 243b、243c、243d、243e、243f、243g、243h、243i、243j 之開關動作,根據APC閥244之開關及壓力感應器245的 壓力调整動作,根據溫度感應器263之加熱器207的溫度調 整動作,真空泵246之起動•停止,旋轉機構267之旋轉速 度調節動作,舟升降器115之升降動作等之控制,或高頻電 源273之電力供給控制、整合器272所進行之阻抗控制等。 接著’作為使用上述基板處理裝£之處理爐的半導體裝置 之製造步财的-個步驟’針對於基板上形舰緣膜的流程 例進行說明。又’以下說明中,構成基板處理裝置之各部的 動作係藉控制機121所控制。 尚且S知之CVD(化學氣相沉積,
DeP〇Siti°n)法或則(原子層沉積,At_c Layer Dep〇sition) 法中,例如在㈣法的情況,係同時供給含㈣成所形成 膜之複數種元素的複數種氣體,χ,在⑽法的情況,係 100111962 201201277 後,藉由賴^數崎嫩種氣體。然 電漿能量等之供給條件,而料量、氣體供給時間、 y.,,, ^ 形成Sl〇2膜或Si3N4膜。此等技 術中,在例如形成Si〇 义 量組成成為Q脈“ “肋姐依化學計 成…情況,=:τ給她又― N/S.…U曰 胰組成比依化學計量組成成為 /Sii 1.33為目的而控制供給條件。 為發明之實施形態中’係以所形成膜之組成比 為化子计里4、或成為與解計量組成姆找定組成為 ^的,而控制供給條件。例如,以構成所形成膜之複數元辛 中之至少-個㈣較其他元素於化學計量組成上呈過剩為 目的,而控制供給條件。以下’針對—邊控制構成所形成膜 之複數π素的比率,亦即膜之組成比、—邊進行成膜的流程 例進行說明。 (第1流程) 首先,針對本實施形態之第丨流程進行說明。 圖3為表示本實施形態之第1流程中之氣體供給及電漿能 量供給之時機的圖,圖3(勾表示未使用電漿(無電漿)進行^ 膜的流程例,圖3(b)表示使用電漿進行成膜的流程例。 本實施形態之第1流程中,係藉由將下述步驟交互進行既 定次數,而於晶圓200上形成既定組成及既定膜厚之碳氮化 石夕膜或氮化>5夕膜: 100111962 19 201201277 對處理室201内之晶圓200,供給氣石夕院系原料,其後, 供給胺基石夕院系原料,藉此於晶圓2 〇 〇上形成含有石夕、氮及 碳之第1層的步驟;與 對處理室201内之晶圓2〇〇,供給藉由熱或電聚而經活性 化的含氮氣體作為反應氣體,而使第i層改f,以形成作為 第2層之碳氮化矽層或氮化矽層的步驟。 以下,具體說明本實施形態之第丨流程。於此,使用hcd 氣體作為氣石夕烧系原料氣體,使用3DMAS氣體作為胺基石夕 烧系原料氣體·,使用丽3氣體作為含氮氣體,藉圖3流程, 針對於基板上形成碳氮化賴⑼⑶膜)錢切膜(腿膜) 作為絕緣膜的例子進行說明。 將複數片晶圓200填裝(wafer charge)於舟217時,如圖1 所示,支撐複數片晶圓200之舟217被舟升降器115舉起並 搬入(boat load)至處理室201内。於此狀態下,密封蓋219 成為經由Ο型環220而將反應管203下端密封的狀態。 處理室201内係藉由真空泵246進行真空排氣至所需之壓 力(真空度)。此時’處理室201内之壓力係藉壓力感應器245 所測定’根據所測定之壓力資料而由APC閥244進行回饋 控制(壓力調整)。又,處理室201内係藉由加熱器2〇7進行 加熱至所需之溫度。此時’根據由溫度感應器263所檢測之 溫度資料而對加熱器207之通電程度進行回饋控制(溫度調 整),以使處理室201内成為既定之溫度分佈。接著,藉由 100111962 20 201201277 旋轉機構267使舟217旋轉,而使晶圓200旋轉(晶圓旋轉)。 其後,依序施行後述之3個步驟。 [步驟1] 打開第1氣體供給管232a之閥243a,使HCD氣體流入 第1氣體供給管232a内。流入至第1氣體供給管232a内之 HCD氣體係藉流量控制機241a進行流量調整。經流量調整 之HCD氣體由第1喷嘴249a之氣體供給孔25〇a供給至處 理室201内’並由排氣管231進行排氣。此時,係對晶圓 200供給HCD氣體。在此同時’打開閥243e,使n2氣體等 之惰性氣體流入至惰性氣體供給管232e内。流入至惰性氣 體供給管232e内之N2氣體係藉流量控制機241e進行流量 調整。經流量調整之N2氣體係與HCD氣體一起供給至處理 室201内,並由排氣管231進行排氣。 此時’適當調整APC閥244而使處理室201之壓力成為 例如卜13300Pa、較佳20〜1330Pa之範圍内的壓力。由流量 控制機241a所控制之HCD氣體的供給流量係例如設為 1〜lOOOsccm之範圍内的流量。由流量控制機241e所控制之 A氣體的供給流量係例如設為200〜lOOOOsccm之範圍内的 流量。使晶圓200曝露於HCD氣體的時間、亦即氣體供給 時間(照射時間)设為例如1〜120秒、較佳1〜60秒之範圍内 的時間。此時’加熱器207之溫度係設定成使晶圓200之溫 度為例如250〜700°C、較佳350〜600。(:之範圍内的溫度。又, 100111962 21 201201277 若晶圓200之溫度未滿250°C,則HCD不易化學吸附於晶 圓200上,有時無法得到實用之成膜速度。藉由使晶圓2〇〇 之溫度成為250°C以上,則可解除此情況。又,藉由使晶圓 200之溫度成為350°C以上,則可使HCD充分吸附於晶圓 200上,而可得到更充分的成膜速度。又,若晶圓2〇〇之溫 度超過700°C,則CVD反應變強(氣相反應具有支配性)而膜 厚均勻性容易惡化,且難以控制。藉由使晶圓2〇〇之溫度成 為700°C以下,則可抑制膜厚均勻性之惡化,而可予以控 制。尤其是藉由將晶圓200之溫度設為600。(:以下,則表面 反應具有支配性,容易確保膜厚均勻性,其控制變得容易。
因此,晶圓200之溫度可設為250〜700。(:、較佳350〜600°C 之範圍内的溫度。 藉由HCD氣體之供給,於晶圓2〇〇表面之基底膜上,形 成未滿1原子層至數原子層的含矽層。含矽層可為HCD之 化學吸附層,亦可為矽層(Si層)。又,亦可含有其兩者。於 此,所謂矽層,除了由矽構成之連續性的層之外,亦包括不 連續之層或其等重4而成的賴。又,亦有將由賴構成之 連續性層稱為薄膜的情形。又,所謂HCD之化學吸附層, 除了 HCD分子之連續性的化學吸附層以外,亦包括不連續 化本及附層。又,所謂未滿1原子層之層,係指不連續形 成的原子層。若形成於晶圓2〇〇上之含矽層的厚度超過數原 層貝]於後述步驟3中之氮化作用將無法到達含石夕層之全 100111962 22 201201277 體。又’可形成於晶圓上之切層的最小值為未滿i 原子層。因此,含矽層之厚度較佳係設為未滿丨原子層至數 原子層。又,在HCD氣體進行自體分解的條件下,係藉由 於晶圓200上堆積石夕而形成石夕層’而在hcd氣體不進行自 體分解的條件下,係藉由使HCD化學吸附於晶圓2〇〇上而 形成HCD之化學吸附層。又’相較於在晶圓2〇〇上形成HCD 之化學吸附層,在晶圓200上形成石夕層者可使成膜效率更加 增高。 形成含矽層後,關閉閥243a,停止HCD氣體之供給。此 時,排氣管231之APC閥244係仍維持打開,藉真空栗246 使處理室201進行真空排氣,使殘留於處理室2〇1内之未反 應或經反應後之HCD氣體由處理室201内排出。又,此時, 閥243 e係維持打開’以維持N2氣體對處理室2〇 1内的供 給。藉此,對處理室201内進行沖洗,以提高使殘留於處理 至201内之未反應或經反應後之HCD氣體由處理室201内 排出的效果。 作為氣石夕烧系原料氣體,係除了 HCD氣體以外,亦可使 用四氯矽烷(SiCU,簡稱:STC)氣體、三氣矽烷(SiHCl3,簡 稱:TCS)氣體、二氣矽烷(SiH2Cl2,簡稱:DCS)氣體、單氯 矽烷(SiHsa ’簡稱:MCS)氣體等之無機原料。作為惰性氣 體,除了 N2氣體以外,亦可使用Ar氣體、He氣體、Ne氣 體、Xe氣體等之稀有氣體。 100111962 23 201201277 [步驟2] 結束步驟1而將處理室201内之殘留氣體去除後,打開第 2氣體供給管232b之閥243b,使3DMAS氣體流入至第2 氣體供給管232b内。流入至第2氣體供給管2321)内之 -3DMAS氣體,係藉流量控制機241b進行流量調整。經流量 乂 調整之3DMAS氣體由第2喷嘴249b之氣體供給孔250b供 給至處理室201内,並由排氣管231進行排氣。此時,係對 晶圓200.供給3DMAS氣體。在此同時,打開閥243f,使 N2氣體流入至惰性氣體供給管232f内。:N2氣體係與3DMAS 氣體一起供給至處理室201内,並由排氣管231進行排氣。 此時,適當調整APC閥244而使處理室2〇1之壓力與步 驟1同樣地成為例如1〜13300Pa、較佳20〜133〇Pa之範圍内 的壓力。由流量控制機241b所控制之3DMAS氣體的供給 流量係例如設為1〜l〇〇〇sccm之範圍内的流量。由流量控制 機24if所控制之乂氣體的供給流量係例如設為 200〜1 OOOOsccm之範圍内的流量。使晶圓2〇〇曝露於3dmas 氣體的時間、亦即氣體供給時間(照射時間)設為例如卜12〇 · 秒、較佳1〜60秒之範圍内的時間。此時,加熱器2〇7之溫 度係與步驟1同樣地設定成使晶2⑻之溫度為例如 250〜700°C、較佳350〜600。(:之範圍内的溫度。 3DMAS氣體之供給,使步驟1中形成於晶圓200上 的含石夕層與3DMAS氣狀nb,切層敎f為含有 100111962 24 201201277 石夕(Si)、氮(N)及碳(C)的第1層。第1層係成為未滿1原子 層至數原子層之含有Si、N及c的層。又,第1層係Si成 分之比例與c成分之比例較多的層,亦即,屬於富含si且 富含C之層。
其後’關閉第2氣體供給管232b之閥243b,停止3DMAS 氣體之供給。此時,排氣管231之APC閥244仍維持打開, 藉真空泵246使處理室201進行真空排氣,使殘留於處理室 201内之未反應或經反應後之3DMAS氣體由處理室2〇丨内 排出。又,此時,閥243f係維持打開,以維持乂氣體搿處 理室201内的供給。藉此’對處理室201内進行沖洗,以提 咼使殘留於處理室201内之未反應或經反應後之3DMAS氣 體由處理室201内排出的效果。 作為胺基矽烷系原料氣體,係除了 3DMAS氣體以外,★ 1 亦 可使用肆(二甲基胺基)石夕烷(Si[N(CH3)2]4,簡稱:4DMas) 氣體、雙(二乙基胺基)石夕烷(Si[N(C2H5)2]2H2簡稱:2DEas) 氣體、雙(第三丁基胺基)石夕烷(SiH2[NH(C4H9)2],簡稱. BTBAS)氣體等之有機原料。作為惰性氣體,除了 氣體以 外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀 有氣體。 [步驟3] 結束步驟2而將處理室201内之殘留氣體去除後,打開第 4氣體供給管232d之閥243d,使ΝΑ氣體流入至第4氣體 100111962 25 201201277 供給管232d内。流入至第4氣體供給管232d内之NH3氣 體’係藉流量控制機241 d進行流量調整。經流量調整之nh3 氣體由第4喷嘴249d之氣體供給孔25〇d供給至緩衝室237 内。此時,藉由不對第1棒狀電極269及第2棒狀電極270 之間施加尚頻電力,而使供給至緩衝室237内之NH3氣體 被熱所活性化,並由氣體供給孔250e供給至處理室2〇1内, 並由排氣官231進行排氣(參照圖3(a))。又,此時,藉由於 第1棒狀電極269及第2棒狀電極27〇之間從高頻電源273 經由整合器272施加高頻電力,則使供給至緩衝冑237内之 顺3氣體進行電_發,作為活性種而域體供給孔潰 供給至處理室201 μ,並由排氣管231進行排氣(參照圖 3(b))。此時係對晶圓供給被熱或電漿所活性化的nh3 氣體。在此同時’打開闕蠢,使&氣體流入至惰性氣體 供給管232h内。%氣體係與丽3氣體—起供給至處理室 201内’並由排氣管231進行排氣。 在使NH3氣體錢行€魏發㈣熱料活性化並流通 時’適當祕APC閥244而使處理室2Q1之壓力成為例如 50〜30〇〇Pa之範圍内的壓力。藉由使處理室2〇1内之壓力成 為此種較间的壓力帶,而可使NH3氣體於無電漿下被熱所 活f生化。又’使NH3氣體被熱所活性化並供給,可發生溫 和反應,而可溫和地進行後述之氮化。又,在使氣體 進行電聚激發而成為活性種並流通時,適當調整Ape閥244 100111962 26 201201277 而使處理室201之壓力成為例如10~100Pa之範圍内的壓 力。由流量控制機241d控制之NH3氣體的供給流量,設為 例如100〜l〇〇〇〇sccm之範圍内的流量。由流量控制機241h 控制之N2氣體之供給流量,設為例如200〜1 OOOOsccm之範 圍内的流量。此時,在藉熱使NH3氣體活性化並流通時, 係將處理室201内之NH3氣體的分壓設為例如6〜2940Pa範 圍内的壓力。又,在藉電漿使NH3氣體活性化並流通時, 係將處理室201内之NH3氣體的分壓設為例如6〜100Pa範 圍内的壓力。使晶圓200曝露於被熱所活性化之NH3氣體 或藉由使NH3氣體進行電漿激發而得之活性種的時間、亦 即氣體供給時間(照射時間)設為例如hUo秒、較佳丨〜的 秒之範圍内的時間。此時,加熱器2〇7之溫度係與步驟1〜2 同樣地設定成使晶圓200之溫度為例如250〜700。(:、較佳 350〜600 C之範圍内的溫度。在對Nh3氣體進行電漿激發的 情況’由鬲頻電源273施加於第丨棒狀電極269及第2棒狀 電極270之間的高頻電力,設定為例如50〜1000W範圍内的 電力。 此時,於處理室201内流通的氣體,為藉由增高處理室 201内壓力而被熱所活性化的氣體、或藉由對NH3氣 體進灯㈣激發而得的活性種,於處理室2Q1内並未流通 HCD氣體或3DMAS氣體。因此,NH3氣體不發生氣相反應, 被活f生化或成為活性種之氣體係與步驟2中形成於晶 100111962 27 201201277 圓200上之含有Si、N及C之第1層之至少一部分進行反 應。藉此,第1層被氮化,而被改質為含有碳氮化矽層(SiCN 層)或氮化矽層(SiN層)的第2層。 尚且,如圖3(a)所示,藉由使NH3氣體被熱所活性化而 流通於處理室201内,可使第1層進行熱氮化而改質(變化) 為SiCN層。此時,一邊使第1層中之N成分之比例增加、 一邊使第1層改質為SiCN層。又,此時,藉由NH3氣體進 行之熱氮化作用,而使第1層中之Si-N鍵結增加,另一方 面使Si-C鍵結及Si-Si鍵結減少,而使第1層中之C成分比 例及Si成分比例減少。亦即,可一邊依使氮濃度增加之方 向、或使碳濃度及矽濃度減少之方向改變組成比,一邊使第 1層改質為SiCN層。又此時,藉由控制處理室201内之壓 力或供給時間等之處理條件,則可微調整SiCN層中之N成 分的比例、亦即氮濃度,並可更嚴密地控制SiCN層的組成 比。
另外,如圖3(b)所示,藉由使NH3氣體進行電漿激發而 得之活性種流通於處理室201内,可使第1層進行電漿氮化 而改質(變化)為SiN層。此時,一邊使第1層中之N成分之 比例增加、一邊藉由活性種之能量使第1層中之C成分脫 離,而使第1層改質為SiN層。又,此時,藉由NH3氣體 進行之電漿氮化作用,而使第1層中之Si-N鍵結增加,另 一方面使Si-C鍵結及Si-Si鍵結減少,而使第1層中之C 100111962 28 201201277 成分比例及Si成分比例減少。尤其是c成分因大部分脫離, 故減少至雜質程度、或實質上消失。亦即,可一邊依使氮濃 度增加之方向、或使碳濃度及矽濃度減少之方向改變組成 比’一邊使第1層改質為SiN層。又此時,藉由控制處理 室201内之壓力或供給時間等之處理條件,則可微調整SiN 層中之N成分的比例、亦即氮濃度,並可更嚴密地控制SiN 層的組成比。 尚且,此時,較佳係不使第1層之氮化反應飽和。例如在 步驟1、2中形成1原子層或未滿1原子詹的第1層時’較 佳係使該第1層之一部分氮化。此時,依不使1原子層或未 滿1原子層之第1層之全體氮化的方式,於第i層之氮化反 應為不飽和的條件下進行氮化。 尚且’在使第1層之氮化反應成為不飽和時,可將步驟3 之處理條件設為上述之處理條件,但藉由進一步將步驟3 之處理條件設為下述處理條件,則容易使第1層之氮化反應 成為不飽和。 [使NHS氣體被熱所活性化並流通時] 晶圓溫度·· 5〇〇〜600。(: 處理室内壓力:133〜2666Pa NH3氣體分壓:67〜2394pa nh3氣體供給流量:1000〜5000sccni N2氣體供給流量:300〜lOOOsccm 100111962 29 201201277 NH3氣體供給時間:6〜60秒 [使NH3氣體被電漿所活性化並流通時]
晶圓溫度:500〜600°C 處理室内壓力:33〜80Pa NH3氣體分壓:17〜67Pa NH3氣體供給流量:1000〜5000sccm N2氣體供給流量:300〜lOOOsccm NH3氣體供給時間:6〜60秒 其後’關閉第4氣體供給管232d之閥243d,停止nh3 氣體之供給。此時,排氣管231之APC閥244仍維持打開, 藉真空泵246使處理室201進行真空排氣,使殘留於處理室 201内之未反應或經反應後之NH3氣體由處理室201内排 出。又,此時,閥243h係維持打開,以維持n2氣體對處理 室201内的供給。藉此’對處理室201内進行沖洗,以提高 使殘留於處理室201内之未反應或經反應後之nh3氣體由 處理室201内排出的效果。 作為含氮氣體’係除了使NH3氣體藉熱或電漿經激發的 氣體以外’亦可使用使二畊(AH〗)氣體、肼(N2H4)氣體、N3H8 氣體等被熱或電漿所激發的氣體,亦可將使此等氣體經Ar 氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體稀釋後的 氣體被熱或電漿所激發而使用。 將上述步驟1〜3設為1周期,進行此周期1次以上(既定 100111962 30 201201277 次數)’藉此可於晶圓200上形成既定組成及既定膜厚之碳 氮化矽膜(SiCN膜)或氮化矽膜(SiN膜)。又,較佳係重複複 數次之上述周期。 在進行形成具有既定組成之既定膜厚的SiCN膜、或SiN 膜的成膜處理時’藉由於處理室2〇1内供給N2等之惰性氣 體’並由排氣管231進行排氣,則使處理室201内由惰性氣 體所沖洗(氣體沖洗)。其後,將處理室2〇1内之環境置換為 惰性氣體(惰性氣體置換),使處理室201内壓力回復至常壓 (大氣壓回復)。 其後’藉由舟升降器115使密封蓋219下降,使反應管 203下端開口,同時將處理完畢之晶圓2〇〇依被舟217所支 撐的狀態從反應管203下端搬出至反應管203外部(boat unload)。其後,由舟217取出處理完畢之晶圓2〇〇(wafer discharge) ° (第2流程) 其次,針對本實施形態之第2流程進行說明。 圖4為表示本實施形態之第2流程中之氣體供給之時機的 圖。 本實施形態之第2流程中,係藉由將下述步驟交互進行既 定次數’而於晶圓200上形成既定組成及既定膜厚之碳氮化 矽膜: 對處理室201内之晶圓200,供給氯矽烷系原料,其後, 100111962 31 201201277 供給胺基矽烷系原料,藉此於晶圓200上形成含有矽、氮及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 碳氣體作為反應氣體,而使第1層改質,以形成作為第2 層之碳氮化矽層的步驟。 以下,具體說明本實施形態之第2流程。於此,使用HCD 氣體作為氣矽烷系原料氣體,使用3DMAS氣體作為胺基矽 烷系原料氣體,使用C3H6氣體作為含碳氣體,藉圖4流程, 針對於基板上形成碳氮化矽膜(SiCN膜)作為絕緣膜的例子 進行說明。 晶圓填裝、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 係與第1流程同樣地進行。其後,依序施行後述之3個步驟。 [步驟1] 步驟1係與第1流程之步驟1同樣進行。亦即,本步驟i 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟1中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓200上形成含石夕層。 [步驟2] 步驟2係與第1流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層等,係分別與第^ 流程中之步驟2中者相同。亦即,此步驟中,係藉由對處理 室201内供給3DMAS氣體’而使含石夕層與3DMAS氣體反 100111962 32 201201277 應,形成含有Si、N及C的第1層。 [步驟3] 結束步驟2而將處理室201内之殘留氣體去除後,打開第 5氣體供給管232i之閥243i,使C3H6氣體流入至第5氣體 供給管232i内。流入至第5氣體供給管232i内之qH6氣 體’係藉流量控制機24Π進行流量調整。經流量調整之C3H6 氣體由第1喷嘴249a之氣體供給孔250a供給至處理室2〇1 内,並由排氣管進行排氣。此時係對晶圓200供給被熱所活 性化的C3H6氣體。在此同時,打開閥243e ’使&氣體流 入至惰性氣體供給管232e内。N2氣體係與QH6氣體一起 供給至處理室201内,並由排氣管231進行排氣。 此時,適當調整APC閥244而使處理室201之壓力成為 例如50〜3000Pa之範圍内的壓力。由流量控制機241i控制 之C3H6氣體的供給流量’設為例如100〜10000sccm之範圍 内的流量。由流量控制機241e控制之N2氣體之供給流量’ 設為例如200〜lOOOOsccm之範圍内的流量。此時’將處理 室201内之C3H6氣體的分壓設為6〜2940Pa範圍内的壓力。 使晶圓200曝露於被熱所活性化之C3il6氣體的時間、亦即 氣體供給時間(照射時間)設為例如1〜120秒、較佳卜60秒 之範圍内的時間。此時,加熱器207之溫度係與步驟1〜2 同樣地設定成使晶圓200之溫度為250〜700°C、較佳 350〜600°C之範圍内的溫度。又,使C3H6氣體被熱所活性化 100111962 33 201201277 而供給者,可發生較溫和的反應,而使後述之含碳層的形成 變得容易。 此時,於處理室201内流通的氣體為被熱所活性化的C3H6 氣體’於處理室201内並未流通HCD氣體或3DMAS氣體。 因此’(^3¾氣體不發生氣相反應,依被活性化的狀態對晶 圓200進行供給,此時,在步驟2中形成於晶圓200上之含 有Si、N及C之第1層上,形成未滿1原子層之含碳層、 亦即不連續之含碳層。含碳層可為碳層(C層),亦可為C3H6 之化學吸附層、亦即C3H6經分解之物質(CxHy)的化學吸附 層。又’視條件使第1層之至少一部分與C3H6氣體進行反 應,而使第1層被碳化。如此,使第1層被改質,而形成含 有碳氮化矽層(SiCN層)的第2層。 尚且,藉由使C3H6氣體被熱所活性化而流通於處理室201 内,而使第1層改質時,係一邊使第1層中之C成分之比 例增加、一邊使第1層改質為SiCN層。亦即,可一邊依使 碳濃度增加之方向改變組成比,一邊使第1層改質為SiCN 層。又’此時,藉由控制處理室201内之壓力或供給時間等 之處理條件,則可微調整SiCN層中之C成分的比例、亦即 碳濃度,並可更嚴密地控制SiCN層的組成比。 其後,關閉第5氣體供給管232i之閥243i ’停止c3H6氣 體之供給。此時,排氣管231之APC闊244仍維持打開, 藉真空泵246使處理室201進行真空排氣’使殘留於處理室 100111962 34 201201277 201内之未反應或經反應後之C3H6氣體由處理室201内排 出。又,此時,閥243e係維持打開,以維持n2氣體對處理 室201内的供給。藉此,對處理室201内進行沖洗,以提高 • 使殘留於處理室201内之未反應或經反應後之c3H6氣體由 • 處理室201内排出的效果。 作為含碳氣體,係除了 C3H6氣體以外,亦可使用乙烯 (C2H4)氣體或乙炔(C2H2)氣體等。 將上述步驟1〜3設為1周期,進行此周期1次以上(既定 次數)’藉此可於晶圓200上形成既定組成及既定膜厚之碳 氮化矽膜(SiCN膜)。又,較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定膜厚的SiCN膜的成膜處 理時,氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、晶 圓取出係與第1流程同樣地進行。 (第3流程) 其次’針對本實施形態之第3流程進行說明。 圖5為表示本實施形態之第3流程中之氣體供給及電漿能 源供給之時機的圖,圖5(a)表示未使用電漿(無電漿)而進疒 成膜之流程例,圖5(b)表示使用電漿進行成膜之流程例 本實施形態之第3流程中,係藉由將下述步驟交互進行既 定次數,而於晶圓200上形成既定組成及既定膜厚之氧护氮 化矽膜、氧化矽膜或氧碳化矽膜: 對處理室201内之晶圓200,供給氯矽烷系原料,其後 100111962 35 201201277 供給胺基矽烷系原料,藉此於晶圓2〇〇上形成含有矽、氮及 碳之第1層的步驟;與 對處理謂内之晶圓·,供給藉由熱或電I而經活性 化的含氧氣體作為反應氣體,而使第丨層改f,以形成作為 第2層之氧碳氮化石夕層、氧化石夕層或氧碳化石夕層的步驟。 以下,具體說明本實施形態之第3流程。於此'❹腳 氣體作為氣石夕烧系原料氣體,使用3DMAS氣體作為胺基石夕 烧系原料氣體,使用〇2氣體作為含氧氣體 土 ^ t 精圖5流程, 板上形成氧碳氮化石夕膜(Si0CN膜)、氣化石夕膜(Si〇 膜)或氧碳化销(Si0C膜)作為絕緣膜的例子進行說明。 晶圓填裝、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 係與第1流程同樣地進行。其後,依序施行後述之3個步驟。 [步驟1] 步驟1係與第1流程之步驟丨同樣進行。亦即,本步驟1 中之處理條件、所發生之反應、形成之層等,係分別與第丄 流程中之步驟丨中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓2〇〇上形成含矽層。 [步驟2] " 步驟2係與第丨流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層#,係分別與第^ 流程中之步驟2中者相同。亦即,此步驟中,係藉由對處理 室201内供給3DMAS氣體,而使含矽層與3DMas氣體反 100111962 36 201201277 應,形成含有Si、N及C的第1層。 [步驟3] 結束步驟2而將處理室201内之殘留氣體去除後,打開第 6氣體供給管232j之閥243j,使02氣體流入至第6氣體供 給管232j内。流入至第6氣體供給管232j内之02氣體, 係藉流量控制機241j進行流量調整。經流量調整之02氣體 由第4喷嘴249d之氣體供給孔250d供給至緩衝室237内。 此時,藉由在第1棒狀電極269及第2棒狀電極270之間不 施加高頻電力,而使供給至缓衝室237内之02氣體被熱所 活性化,由氣體供給孔250e供給至處理室201内,並由排 氣管231進行排氣(參照圖5(a))。又,此時,藉由在第1棒 狀電極269及第2棒狀電極270之間由高頻電源273經由整 合器272施加高頻電力,而使供給至緩衝室237内之02氣 體進行電漿激發,成為活性種而由氣體供給孔250e供給至 處理室201内,並由排氣管231進行排氣(參照圖5(b))。此 時係對晶圓200供給被熱或電漿所活性化的〇2氣體。在此 同時,打開閥243h,使N2氣體流入至惰性氣體供給管232h 内。N2氣體係與02氣體一起供給至處理室201内,並由排 氣管231進行排氣。 在使〇2氣體不進行電漿激發而藉熱予以活性化並流通 時,適當調整APC閥244而使處理室201之壓力成為例如 1〜3000Pa之範圍内的壓力。藉由使處理室201内之壓力成 100111962 37 201201277 為此種較同的壓力帶,而可使〇2氣體於無電訂被熱所活 性化。又’使〇2氣體被熱所活性化並供給,可發生溫和反 應’而可溫和地進行後述之氧化。又,在使02氣體進行電 漿激發而成為活性種並流通時,適當調整Apc閥244而使 處理室201之壓力成為例如1〜lOOPa之範圍内的壓力。由流 量控制機241d控制之〇2氣體的供給流量,設為例如 100〜5000sCCm之範圍内的流量。由流量控制機241h控制之 A氣體之供給流夏’設為例如2〇〇〜1 〇〇〇〇sccin之範圍内的 流量。此時,將處理室201内之〇2氣體的分壓設為例如 6〜2940Pa範圍内的壓力。使晶圓200曝露於被熱所活性化 之〇2氣體或藉由使〇2氣體進行電衆激發而得之活性種的時 間、亦即氣體供給時間(照射時間)設為例如1〜120秒、較佳 1〜60秒之範圍内的時間。此時,加熱器207之溫度係與步 驟1〜2同樣地設定成使晶圓200之溫度為例如250〜700°C、 較佳350〜600°C之範圍内的溫度。在對〇2氣體進行電漿激 發的情況,由高頻電源273施加於第1棒狀電極269及第2 棒狀電極270之間的南頻電力’設定為例如50〜1000W範圍 内的電力。 此時,於處理室201内流通的氣體,為藉由增高處理室 201内壓力而被熱所活性化的02氣體、或藉由對02氣體進 行電漿激發而得的活性種,於處理室201内並未流通HCD 氣體或3DMAS氣體。因此,〇2氣體不發生氣相反應,被活 職11962 38 201201277 性化或成為活性種之〇2氣體係與步驟2中形成於晶圓2〇〇 上之含有Si、N及c之笙, 和 弟1層之至少一部分進行反應。藉 此’第1層被氧化’而被改f為含有氧碳氮化邦i〇cN層)、 氧化石夕層(Si〇層)或氧碳切層(SiOC層)的第2層。 尚且,如圖5 (a)所+,ϋ , ^ ; ^ 错由使〇2氣體被熱所活性化而流 通於處理室201内,可你笛,府 J便弟1層進行熱氧化而改質(變化)為
SiOCN層或SiOC層。此眭 & + μ 曰此時’一邊使第i層中之〇成分之比 例增加、—邊使第1層改質為Si〇CN層或SiQC層。又, 此時藉由02氣體進行之熱氧化作用,而使第丨層中之si_〇 鍵結增加,另-方面使糾鍵結、Μ鍵結及㈣鍵結減 少,而使第1層中之N成分比例、C成分比例及Si成分比 例減y而且此時藉由延長熱氧化時間、或提高〇2氣體 所進行之熱氧化的氧化力’則可使N成分之大部分脫離而 減少至雜質程度、或使N成分實f上消失。亦即,可一邊 依使氧濃度增加之方向、或使錢度、碳濃度切濃度減少 之方向改變組成比,_邊使第〗層改f為Si〇CN層或 層。又’此時,藉由控制處理室2〇1内之壓力或供給時間等 之處理條件’則可微調整Si〇CN層中之〇成分的比例、亦 即氧濃度,並可更嚴密地控制si0CN層的組成比。 另外’如圖5⑻所示,藉由使將02氣體進行電聚激發而 得之活性種流通於處理室20!内,可使第〗層進行電裝氧化 而改質(變化)為Si〇層或SiOC層。此時,一邊於第i層中 100111962 39 201201277 加成0成分、一邊藉由活性種之能源使第i詹中之c成分 與N成分之兩成分脫離,則可使第u改質為⑽層。又, 此時,藉㈣2氣體進行之電聚氧化作帛,而使第i層中之 si-o鍵結增加’另_方面使Si_N鍵結、si_c鍵結及μ 鍵結減少,而使第i層中之N成分比例、c成分比例及si 成刀比例減 >、尤其是N成分或c成分係因大部分脫離而 減少至雜質程度、或實質上消失。亦即,可—邊依使氧濃度 增加之方向、或使氮濃度、碳濃度切濃度減少之方向改變 組成比,一邊使第1層改質為Si〇層。又,此時,可一邊對 第1層加成0成分、-邊藉由活性種能源使第i層中之N 成分脫離並使C成分之-部分不脫離㈣留,藉此將第】 層改質為SiOC層。又,此時’藉由〇2氣體進行之電藥氧 化作用,而使第1層中之Si_〇鍵結增加,另一方面使si_N 鍵結、Si-C鍵結及Si_Si鍵結減少,而使第J層中之N成分 比例、C成分比例及Si成分比例減少。尤其是^分因大 部分脫離喊少至雜質程度、或實f上消失^即,可依一 邊增加氧濃度之方向、或減少氮纽、韻度料濃度之方 法改變組成比,一邊使第i層改質為Si〇c層。 尚且’已判別出步驟卜2中所形成之第i層中的c成分 係呈較N成分更加富含的狀態。例如,於某實驗中,有碳 濃度為氮濃度之2倍左右以上的情形。亦即,在藉由活性種 能源使第1層中之C成分與N成分脫離時,在1^成分之大 100111962 40 201201277 部分結束脫離的階段,第1層中仍殘留著c成分,藉由於 此狀態下停止氧化,而使第1層改質為si〇c層。又,在N 成分之大部分結束脫離後,仍繼續氧化,而在c成分之大 部分結束脫離的階段停止氧化,藉此使第U改質為S10 .層。亦即,措由控制氣體供給時間(氧化處理時間),則可控 制C成分之比例、亦即碳遭度,可一邊控制組成比、1 形成⑽層或Si0c層中之任—種層。再者,藉由控制處理 室201 N之壓力或氣體供給時間等之處理條件,則可微調整 S!0層或SiOC層中之Q成分的比例、亦即氧濃度,並可更 嚴密地控制SiO層或Sioc層的組成比。 尚且此時,較佳係不使第1層之氧化反應飽和。例如在 步驟1、2中形成1原子層或未滿i原子層的第i層時,較 佳係使該第1層之一部分氧化。此時,依不使i原子層或未 滿1原子層之第1層之全體氧化的方式,於第i層之氧化反 應為不飽和的條件下進行氧化。 尚且,在使第1層之氧化反應成為不飽和時,可將步驟3 _ 之處理條件設為上述之處理條件,但藉由進一步將步驟3 • 之處理條件設為下述處理條件,則容易使第1層之氧化反應 成為不飽和。 [使〇2氣體被熱所活性化並流通時] 晶圓溫度:500〜60CTC 處理室内壓力·· 133〜2666Pa 100111962 41 201201277 〇2氣體分壓:67〜2394Pa 〇2氣體供給流量:1000〜5000sccm N2氣體供給流量:300〜lOOOsccm 〇2氣體供給時間:6〜60秒 [使〇2氣體被電漿所活性化並流通時]
晶圓溫度:500〜600°C 處理室内壓力:33〜80Pa 〇2氣體分壓:17〜67Pa 〇2氣體供給流量:1000~5000sccm N2氣體供給流量:300〜lOOOsccm 〇2氣體供給時間:6〜60秒 其後’關閉第6氣體供給管232j之閥243j,停止〇2氣 體之供給。此時,排氣管231之APC閥244仍維持打開, 藉真空泵246使處理室201進行真空排氣’使殘留於處理室 201内之經反應後之a氣體由處理室201内排出。又,此 時,閥243h係維持打開,以維持&氣體對處理室2〇1内的 供給。藉此’對處理室201内進行沖洗’以提高使殘留於處 理室201内之未反應或經反應後之〇2氣體由處理室2〇1内 排出的效果。 作為含氧氣體’係除了使〇2氣體藉熱或電漿經激發的氣 體以外,亦可使用使亞氧化氮(Νβ)氣體、一氧化氮(N〇)氣 體、二氧化氮(N〇2)氣體、臭氧(〇3)氣體、氫(η2)氣體+氧(〇2) 100111962 42 201201277 氣體、H2氣體+〇3氣體、水蒸氣(h20)氣體、一氧化碳(CO) 氣體、二氧化碳(C〇2)氣體等被熱或電漿所激發的氣體,亦 可將使此等氣體經Ar氣體、He氣體、Ne氣體、Xe氣體等 之稀有氣體稀釋後的氣體被熱或電漿所激發而使用。 將上述步驟1〜3設為1周期,進行此周期丨次以上(既定 次數)’藉此可於晶圓200上形成既定組成及既定膜厚之氧 碳氮化矽膜(SiOCN膜)、氧化矽膜(Sio膜)或氧碳化矽膜 (SiOC膜)。又’較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定膜厚的si〇CN膜、SiO 膜或SiOC膜的成膜處理時,氣體沖洗、惰性氣體置換、大 氣壓回復、舟搬出、晶圓取出係為第丨流程同樣地進行。 (第4流程) 其次,針對本實施形態之第4流程進行說明。 圖6為表示本實施形態之第4流程中之氣體供給之時機的 圖。 本實施形態之第4流程中,係藉由將下述步驟交互進行既 定次數,而於晶圓200上形成既定組成及既定膜厚之硼碳氮 化矽膜: 對處理室201内之晶圓200 ’供給氣石夕院系原料,其後, 供給胺基吩烧糸原料,错此於晶圓2〇〇上形成含有梦、氣及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 100111962 43 201201277 硼氣體作為反應氣體,而使第1層改質,以形成作為第2 層之石朋碳氣化石夕層的步驟。 以下,具體說明本實施形態之第4流程。於此,使用hcd 氣體作為氣矽烷系原料氣體,使用3DMAS氣體作為胺&石夕 烷系原料氣體,使用BCI3氣體作為含硼氣體,藉圖6、充程, 針對於基板上形成硼碳氮化矽膜(SiBCN膜)作為絕緣膜的 例子進行說明。 晶圓填裝、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 係與第1流程同樣地進行。其後,依序施行後述之3個步驟。 [步驟1] 々 步驟1係與第丨流程之步驟丨同樣進行。亦即,本步驟又 中之處理條件、所發生之反應、形成之㈣,係分別與第! 流程中之步驟1中者相同。亦即’此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓2〇〇上形成含矽層。 [步驟2] 9 步驟2係與第1流程之步驟2同樣進行。亦即,本步驟二 :之處理條件、所發生之反應、形成之層等,係分別與第1 =中之步驟2中者相同。亦即,此步驟中,係藉由對處理 室加内供給3DMAS氣體,而使含石夕層與3DMAs氣體反 應,形成含有Si、N及C的第1層。 [步驟3] 、、、。束步驟2而將處理室2G1内之殘留氣體去除後,打開第 100111962 201201277 3氣體供給管232c之閥243c,使BC13氣體流入至第3氣體 供給管232c内。流入至第3氣體供給管232c内之BC13氣 體,係藉流量控制機241c進行流量調整。經流量調整之BC13 氣體由第3喷嘴249c之氣體供給孔250c供給至處理室201 内,並由排氣管231進行排氣。此時係對晶圓200供給被熱 所活性化的BCI3氣體。在此同時,打開閥243g,使N2氣 體流入至惰性氣體供給管232g内。N2氣體係與BC13氣體 一起供給至處理室201内,並由排氣管231進行排氣。 此時,適當調整APC閥244而使處理室201之壓力成為 例如50〜3000Pa之範圍内的壓力。由流量控制機241c控制 之BCI3氣體的供給流量,設為例如100〜l〇〇〇〇sccm之範圍 1 内的流量。由流量控制機241g控制之N2氣體之供給流量, 設為例如200〜10〇〇〇sccm之範圍内的流量。使晶圓200曝 露於BC13氣體的時間、亦即氣體供給時間(照射時間)設為 例如1〜120秒、較佳1〜6〇秒之範圍内的時間。此時,加熱 器207之溫度係與步驟1〜2同樣地設定成使晶圓200之溫度 為250~700°C、較佳350〜600。(:之範圍内的溫度。又,使BC13 氣體被熱所活性化而供給者,可發生較溫和的反應,而使後 述之含硼層的形成變得容易。 此時,於處理室201内流通的氣體為被熱所活性化的BC13 氣體,於處理室201内並未流通HCD氣體或3DMAS氣體。 因此,BCh氣體不發生氣相反應,依被活性化的狀態對晶 100111962 45 201201277 圓200進行供給,此時,在步驟2中形成於晶圓200上之含 有Si、N及C之第1層上,形成未滿丨原子層之含硼層、 亦即不連續之含硼層。含硼層可為硼層層),亦可為Bci 之化學吸附層、亦即BCI3經分解之物質(BxCly)的化學吸附 層。又,視條件使第1層之至少一部分與BCI3氣體進行反 應’而使第1層被硼化。如此,使第1層被改質,而形成含 有硼碳氮化矽層(SiBCN層)的第2層。 尚且’藉由使BCI3氣體被熱所活性化而流通於處理室 内,而使第1層改質時,係一邊使第i層中之B成分之比 例增加、一邊使第1層改質為SiBCN層。亦即,可| 烫依 使石朋濃度增加之方向改變紐成比,一邊使第1層改質為 内之壓力或氣
SiBCN層。又’此時,藉由控制處理室201 體供給時間等之處理條件’則可微調整SiBCN層中之 分的比例、亦即硼濃度,並可更嚴密地控制SiBCN層的矣且 成比。 其後’關閉第3氣體供給管232c之閥243c,停止包〔I 氣體之供給。此時’排氣管231之APC閥244仍維持打開' 錯真空豕246使處理至201進行真空排氣,使殘留於處理室 201内之未反應或經反應後之BC13氣體由處理室201内排 出。又,此時,.閥243g係維持打開,以維持n2氣體對處理 室201内的供給。藉此,對處理室201内進行沖洗,以提高 使殘留於處理室201内之未反應或經反應後之bci3氣體由 100111962 46 201201277 處理室201内排出的效果。 作為含棚氣體,係除了 BCI3氣體以外,亦可使用二蝴院 (B2H6)氣體等。 將上述步驟1〜3設為1周期’進行此周期1次以上(既定 次數)’藉此可於晶圓200上形成既定組成及既定膜厚之石朋 碳氮化矽膜(SiBCN膜)。又’較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定犋厚的SiBCN膜的成膜 處理時,氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、 晶圓取出係與第1流程同樣地進行。 (第5流程) 其次’針對本實施形態之第5流程進行說明。 圖7為表示本實施形態之第5流程中之氣體供給之時機的 圖。 本實施形態之第5流程中’係藉由將下述步驟交互進行既 定次數,而於晶圓200上形成既定組成及既定膜厚之碳氮化 矽膜: 對處理室201内之晶圓200,供給氣矽烷系原料,其後, 供給胺基矽烷系原料,藉此於晶圓200上形成含有矽、氮及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 碳氣體作為反應氣體,其後,供給藉由熱而經活性化之含氮 氣體作為反應氣體,而使第1層改質,以形成作為第2層之 100111962 47 201201277 碳氮化矽層的步驟。 尚且,形成第2層的步驟中 你對處理室201内之晶圓 200,供給被熱所活性化之含碳氣體,藉此使含碳氣體化學 吸附於第!層上’其後’對處理室加内之晶圓,供給被朝 所活性化之含氮氣體’藉此將含錢體化學吸㈣第^層上 而成的層改質而形成碳氮化石夕層。 以下,具體說明本實施形態之第5流程。於此,使用啦 氣體作為氣㈣系原料氣體,使用3DMAS氣體作為胺基石夕 烧系源料氣體’使用C3H6氣體作為含碳氣體,使用贿3氣 體作為含氮氣體’ II® 7流程,針對於基板上形成碳氮切 膜(SiCN膜)作為絕緣膜的例子進行說明。 晶圓填裝、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 係與第1流程同樣地進行。其後,依序騎後敎4個 [步驟1] ^ 步驟1係與第i流程之步驟】同樣進行。亦即,本步驟1 :之處理條件、所發生之反應、形成之料,係分別與第1 、絲中之步驟i中者相同。亦即,此步驟中,係藉由對處理 室撕内供、给HCD氣體,而於晶圓2〇〇上形成含 [步驟2] ^ ° 步驟2係與第1流程之步驟2同樣進行。亦即,本 中之處理條件、所發生之反應 、形成之層等,係分別與 100111962 机私中之步驟2中者相同。亦即’此步驟中,係藉由對處理1 48 201201277 室201内供給3DMAS氣體,而使含矽層與3DMAS氣體反 應’形成含有Si、N及C的第1層。 [步驟3] ' 步驟3係與第1流程之步驟3同樣進行。亦即,本步驟3 . 中之處理條件、所發生之反應、形成之層等,係分別與第2 流程中之步驟3中者相同。 尚且,步驟3中,較佳係藉由於處理室201内供給C3H6 氣體,於步驟2中形成於晶圓200上之含有Si、n及C之 第1層上,形成03¾之化學吸附層、亦即c3H6經分解之物 質(CxHy)的化學吸附層。於此’ cxHy之化學吸附層必須作成 為CxHy分子之不連續化學吸附層。又,在使形成於第1層 上之CxHy的化學吸附層成為連續層時’係例如使CxHy對第 1層的吸附狀態成為飽和狀態,而在第1層上形成了 CxHy 之連續化學吸附層時,第i層表面整體被cXHy之化學吸附 層所被覆。此時,第1層上化學吸附了 CxHy的層的表面上 變得不存在矽,此層於後述步驟4中的氮化變得困難。因為 - 氮會與矽鍵結,但不與碳鍵結所致。為了於後述步驟4中發 - 生所需的氮化反應,必須使CxHy對第1層的吸附狀態成為 不飽和狀態’成為使矽露出於此層表面上的狀態。 尚且,在使CxHy對第1層之吸附狀態成為不飽和狀態時, 可將步驟3中之處理條件設為與第2流程之步驟3中之處理 條件相同’進而藉由將步驟3之處理條件設為下述處理條 100111962 49 201201277 件,則容易使CxHy對第1層之吸附狀態成為不飽和狀態。 晶圓溫度:5〇〇〜60(TC 處理室内壓力:133〜2666Pa GH6氣體分壓:67〜2394Pa C3H6氣體供給流量:1〇〇〇〜5000sccin N2氣體供給流量:3〇〇〜1000sccm AH6氣體供給時間:6〜6〇秒 尚且’在藉由使C3H6氣體被熱所活性化而流通至處理室 201内以使C3H6化學吸附於第1層上時,CxHy化學吸附於 第1層上的量即為該層整體中C成分比例增加的量。亦即, 可依使碳濃度增加之方向改變組成比。又,此時,藉由控制 處理室201内之壓力或氣體供給時間等處理條件,則可對使
CxHy化學吸附於第1層上之層中的c成分比例、亦即碳濃 度進行控制(微調整),而可更嚴密地控制步驟4中所形成的 SiCN層的組成比。 [步驟4] 步驟4係與第1流程之步驟3同樣進行。亦即,本步驟4 中之處理條件、所發生之反應、形成之層等,係分別與第i 流程中之步驟3中者相同。 尚且’步驟4中’係使NH3氣體不進行激發而被熱 所活性化再供給至處理室2〇1内。此時處理室2〇1内所流通 的氣體為被熱所活性化的NH3氣體,於處理室2〇1内並未 100111962 50 201201277 流通HCD氣體或3DMAS氣體或氣體。因此,氣 體不發生氣相反應’經活性化之丽3氣體與在步驟3中: 成於晶圓200上的、CxHy化學吸附於第i層上之層的至少 一部分進行反應。藉此,該層被氮化,而改質為含有碳氮化 矽層(SiCN層)的第2層。 尚且,在藉由使NH3氣體被熱所活性化而流通至處理室 2〇1内以使CxHy化學吸附於第丨層上之層進行熱氮化而被 改質為S i CN㈣’係-邊使此層中之N成分比例增加、〜 邊將此層改質為SiCN層。又’此時,藉由_氣體所進行 之熱氮化作用’使此層中之Si-N鍵結增加,另—方面使si c 鍵結及Si-Si鍵結減少,此層中之c成分比例及&成分之比 例減少。亦即,可依使氮濃度増加之方向、或使碳濃度及砂 濃度減少之方向改變組成比,而使%化學韻Μ”
上之層改質為SlCN層。再者’此時藉由控制處理室2〇ι内 之壓力或氣體供給時間等處理條件,則可對SlCN層中之N 成分比例、亦即氮濃度進行微調整,而可更嚴密地控制動 層的組成比。 尚且,此時,較佳係* CxHy化學吸附於第丄層上之層的 氣化反應不飽和。亦即’較佳係使該層之—部分氮化。此時, 為了不使該層整體化,而於該層之I化反應成為不飽和 的條件下進行氮化。又,在使cxHy化學吸附於第i層上之 層的氣化反應成衫飽和時,可將步驟4中之處理條倾為 100111962 51 201201277 與第1流程之步驟3中之處理條件相同。 將上述步驟i〜4設為i周期,進行此周期卜欠以上(既定 次數),藉此可於晶圓200上形成既定組成及既定膜厚之碳 氮化石夕膜(SlCN膜)。又,較佳係重複複數次之上述周期/ 在進行形成具有既定組成之既定膜厚的SiCN膜的成膜處 理時’氣體沖洗、惰性氣體置換、大氣壓回復、舟搬 曰 曰曰 圓取出係與第1流程同樣地進行。 (第6流程) 其次,針對本實施形態之第6流程進行說明。 圖8為表示本實施形態之第6流程中之氣體供給之時機的 圖。 本實施形態之第6流程中,係藉由將下述步驟交互進行既 定次數’而於晶圓200上形成既定組成及既定膜厚之氧碳氮 化矽膜: 對處理室201内之晶圓200,供給氣矽烷系原料,其後, 供給胺基矽烷系原料,藉此於晶圓200上形成含有矽、氮及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 碳氣體作為反應氣體,其後,供給藉由熱而經活性化之含氧 氣體作為反應氣體’而使第1層改質,以形成作為第2層之 氧碳氮化矽層的步驟。 尚且’形成第2層的步驟中’係對處理寘201内之晶圓 100111962 52 201201277 200,供給被熱所活性化之含碳氣體,藉此使含碳氣體化學 吸附於第1層上,其後,對處理室2〇1内之晶圓,供給被熱 所活性化之含氧氣體’藉此將含碳氣體化學吸附於第1層上 而成的層改質而形成氧碳氮化矽層。 以下,具體說明本實施形態之第6流程。於此,使用 氣體作為氣矽烷系原料氣體,使用3DMAS氣體作為胺基矽 烷系原料氣體,使用QH6氣體作為含碳氣體,使用〇2氣體 作為含氧氣體,藉圖8流程,針對於基板上形成氧碳氮化矽 膜(SiOCN膜)作為絕緣膜的例子進行說明。 晶圓填裴、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 係與第1流程同樣地進行。其後,依序施行後述之4個步驟。 [步驟1] 步驟1係與第1流程之步驟i同樣進行。亦即,本步驟i 中之處理條件、所發生之反應、形成之層等,係分別與第i 流程中之步驟1中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓200上形成含矽層。 [步驟2] 步驟2係與第1流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層等,係分別與第i 流程中之步驟2中者相同。亦即,此步驟中,係藉由對處理 室201内供給3DMAS氣體,而使含矽層與3DMAS氣體反 應,形成含有Si、N及C的第1層。 100111962 53 201201277 [步驟3] 步驟3係與第5流程之步驟3同樣進行。亦即,本步驟3 中之處理條件、所發生之反應、形成之層等,係分別與第5 流程中之步驟3中者相同。亦即,此步驟中,係藉由於處理 室201内供給C3H6氣體,而使CxHy化學吸附於第1層上。 [步驟4] 步驟4係與第3流程之步驟3同樣進行。亦即,本步驟4 中之處理條件、所發生之反應、形成之層等,係分別與第3 流程中之步驟3中者相同。 尚且,步驟4中,係使02氣體不進行電漿激發而被熱所 活性化再供給至處理室201内。此時處理室201内所流通的 氣體為被熱所活性化的〇2氣體,於處理室201内並未流通 HCD氣體或3DMAS氣體或C3H6氣體。因此,02氣體不發 生氣相反應,經活性化之02氣體與在步驟3中形成於晶圓 200上的、CxHy化學吸附於第1層上之層的至少一部分進行 反應。藉此,該層被氧化,而改質為含有氧碳II化石夕層 (SiOCN層)的第2層。
尚且,在藉由使0 2氣體被熱所活性化而流通至處理室2 01 内以使CxHy化學吸附於第1層上之層進行熱氧化而被改質 為SiOCN層時,係一邊使此層中之Ο成分比例增加、一邊 將此層改質為SiOCN層。又,此時,藉由〇2氣體所進行之 熱氧化作用,使此層中之Si-Ο鍵結增加,另一方面使Si-N 100111962 54 201201277 鍵結、Si-C鍵結及&_&鍵結減少,此層中之n成分比例、 C成分比例及Si成分之比例減少。亦即,可依使氧濃度增 加之方向、或使氮濃度、碳濃度及矽濃度減少之方向改變組 成比’而使CxHy化學吸附於第1層上之層改質為SiOCN 層。再者’此時藉由控制處理室2〇 1内之壓力或氣體供給時 間等處理條件’則可對SiOCN層中之〇成分比例、亦即氧 濃度進行微調整,而可更嚴密地控制Si〇CN層的組成比。 尚且’此時,較佳係使第1層上CxHy化學吸附之層的氧 化反應不飽和。亦即,較佳係使該層之一部分氧化。此時, 為了不使該層整體被氧化,而於該層之氧化反應成為不飽和 的條件下進行氧化。又,在使CxHy化學吸附於第1層上之 層的氧化反應成為不飽和時,可將步驟4中之處理條件設為 與第3流程之步驟3中之處理條件相同。 將上述步驟1〜4設為1周期,進行此周期1次以上(既定 次數)’藉此可於晶圓200上形成既定組成及既定膜厚之氧 碳氮化石夕膜(SiOCN膜)。又,較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定膜厚的SiOCN膜的成膜 處理時’氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、 晶圓取出係與第1流程同樣地進行。 (第7流程) 其次,針對本實施形態之第7流程進行說明。 圖9為表示本實施形態之第7流程中之氣體供給之時機的 100111962 55 201201277 本實施形態之第7流程中,係藉由將下述步驟交互進行既 定次數,而於晶圓200上形成既定組成及既定膜厚之硼碳氮 化矽膜: 對處理室201内之晶圓200,供給氣矽烷系原料,其後, 供給lie基碎烧糸原料’猎此於晶圓200上形成含有石夕、氮及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 硼氣體作為反應氣體,其後,供給藉由熱而經活性化之含氮 氣體作為反應氣體,而使第1層改質,以形成作為第2層之 硼碳氮化矽層的步驟。 尚且,形成第2層的步驟中,係對處理室2〇1内之晶圓 2〇〇,供給被熱所活性化之含硼氣體,藉此使含硼氣體化學 吸附於第1層上,其後,對處理室2〇1内之晶圓,供給被熱 所活性化之含氮氣體,藉此將含硼氣體化學吸附於第丨層上 而成的層改質而形成硼碳氮化矽層。 以下,具體說明本實施形態之第7流程。於此,使用hcd 氣體作為氣傾系原料氣體,使用3DMas氣體作為胺基石夕 烧系原料氣體,使用BCl3氣體作為含職體,使用腿3氣 體作為含氮氣體,藉圖9流程,針對於基板上形成棚碳氮化 石夕膜(SiBCN膜)作為絕緣朗料進行說明。 日日圓填裝、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 100111962 56 201201277 係與第1流程同樣地進行。其後,依序施行後述之4個步驟。 [步驟1] 步驟1係與第1流程之步驟1同樣進行。亦即,本步驟1 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟1中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓200上形成含矽層。 [步驟2] 步驟2係與第1流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟2中者相同。亦即,此步驟中,係藉由對處理 室201内供給3DMAS氣體,而使含矽層與3DMAS氣體反 應,形成含有Si、N及C的第1層。 [步驟3] 步驟3係與第4流程之步驟3同樣進行。亦即,本步驟3 中之處理條件、所發生之反應、形成之層等,係分別與第4 流程中之步驟3中者相同。 尚且,步驟3中,較佳係藉由於處理室201内供給BC13 氣體,於步驟2中形成於晶圓200上之含有Si、N及C之 第1層上,形成BC13之化學吸附層、亦即BC13經分解之物 質(BxCly)的化學吸附層。於此,因為硼會與矽鍵結,但不與 碳鍵結,故BxCly之化學吸附層成為BxCly分子之不連續化 學吸附層。 100111962 57 201201277 尚且’在藉由使bci3氣體被熱所活性化而流通至處理室 201内以使BxCly化學吸附於第1層上時,BxCly化學吸附於 第1層上的量即為B成分所加成的量。亦即,可依使硼濃 度增加之方向改變組成比。又,此時,藉由控制處理室201 内之壓力或氣體供給時間等處理條件,則可對使BxCly化學 吸附於第1層上之層中的B成分比例、亦即硼濃度進行控 制(微調整),而可更嚴密地控制步驟4中所形成的SiBCN 層的組成比。 [步驟4] 步驟4係與第5流程之步驟4同樣進行。亦即,本步驟4 中之處理條件等,係分別與第5流程中之步驟4中者相同。 但是,步驟4係與第5流程之步驟4的所發生之反應、形成 之層等有若干不同。 步驟4中,係使NH3氣體不進行電漿激發而被熱所活性 化再供給至處理室201内。此時處理室2〇1内所流通的氣體 為被熱所活性化的NH3氣體,於處理室2〇1内並未流通hcd 氣體或3DMAS氣體或BCI3氣體。因此,nh3氣體不發生 氣相反應,經活性化之NH3氣體與在步驟3中形成於晶圓 200上的、BxCly化學吸附於第1層上之層的至少一部分進 行反應。藉此,該層被氮化,而改質為含有硼碳氮化矽層 (SiBCN層)的第2層。 尚且,在藉由使NH3氣體被熱所活性化而流通至處理室 100111962 58 201201277 2〇1内以使BxCly化學吸附於第!層上之層進行熱氮化而被 改質為SiBCN層時’係-邊使此層巾分比例續加、 -邊將此層改質為SiBCN層。又,此時,藉由職氣體所 進行之熱il化作用,使此層中之Si_N^結増加,另一方面 使Si-B !建、Si-C鍵結及Si_Si鍵結減少,此層中之b成分 比例、C成分比例及Si成分之比例減少。亦即,可依使氮 濃度增加之方向、或㈣濃度、碳切濃度減少之方向 改變組成比,而使BxCly化學吸附於第工層上之層改質為 SiBCN層。再者,此時藉由控制處理室2〇1内之壓力或氣 體供給時間等處理條件’則可對SiBCN層中之N成分比例、 亦即氮濃度進行微調整,而可更嚴密地控制SiBCN層的組 成比。 尚且,此時,較佳係使第1層上BxCly化學吸附之層的氮 化反應不飽和。亦即,較佳係使該層之一部分氮化。此時, 為了不使該層整體被氮化,而於該層之氮化反應成為不飽和 的條件下進行氮化。又,在使BxCly化學吸附於第}層上之 層的氮化反應成為不飽和時,可將步驟4中之處理條件設為 與第5流程之步驟4(第1流程之步驟3)中之處理條件相同 的處理條件。 將上述步驟1〜4設為1周期’進行此周期1次以上(既定 次數),藉此可於晶圓200上形成既定組成及既定骐厚之蝴 碳氮化矽膜(SiBCN膜)。又’較佳係重複複數次之上述周期。 100111962 59 201201277 在進行形成具有既定組成之既定膜厚的SiBCN膜的成膜 處理時’氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、 晶圓取出係與第1流程同樣地進行。 (第8流程) 其次’針對本實施形態之第8流程進行說明。 圖10為表示本實施形態之第8流程中之氣體供給之時機 的圖。 本貫施形態之第8流程中,係藉由將下述步驟交互進行既 定次數,而於晶圓2〇〇上形成既定組成及既定膜厚之氧碳氮 化矽膜: 對處理室2〇1内之晶圓200,供給氣矽烷系原料,其後, 供給胺基矽烷系原料,藉此於晶圓200上形成含有矽、氮及 碳之第1層的步驟;與 對處理至2〇1内之晶圓2〇〇,供給藉由熱而經活性化的含 氣氣體作為反應氣體’其後’供給藉由熱而經活性化之含氧 亂體作為反應氣體’而使第1層改質,以形成作為第2層之 氧碳氮化矽層的步驟。 尚 形成第2層的步驟中,係對處理室201内之晶〇 ,、山供,。破熱所活性化之含氮氣體,藉此使第1層改質 所活性化I層,其後,對處理室2〇1内之晶圓,供給被袁 化石夕層。3氣謹,藉此使碳^切層改質而形成氧碳1 100111962 201201277 以下,具體說明本實施形
氣體作為氯矽烷系原料氣體, 流程。於此,使用HCD 烷系原料氣體,使用吨氣體使用3DMAS氣體作為胺基石夕 作為含氧氣體,藉圖10 作為含氮氣體,使用〇2氣體 矽膜(S i Ο C N膜)作為絕緣 針對於基板上形成氧碳氮化 、的例子進行說明。 晶圓填裝、舟搬人、動調整、 曰 係與第1流程同樣地進行。复:又”、晶圓旋轉為止 [步驟1] 依序施行後叙4個步驟。 步驟1係與第1流程之步驟1同 _ u樣進仃。亦即,本步驟1 中之處理條件、所發生之反應、形成之層等,係分別與第】 流程中之步驟i中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓2〇〇以上形成含矽層。 [步驟2] 步驟2係與第丨流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟2中者相同。亦即,此步驟中,係藉由對處理 至201内供給3DMAS氣體,而使含石夕層與3DMAS氣體反 應’形成含有Si、N及C的第1層。 [步驟3] 步驟3係與第丨流程之步驟3同樣進行。亦即,本步驟3 中之處理條件、所發生之反應、形成之層、氣濃度之控制方 法等,係分別與第1流程中之步驟3中者相同。尚且,此步 100111962 61 201201277 驟中,係使nh3氣體不進行電漿激發而被熱所活性化再流 通至處理室201内,藉此使第1層改質為SiCN層。 [步驟4] 步驟4係與第6流程之步驟4同樣進行。亦即,本步驟4 中之處理條件、所發生之反應、形成之層等,係分別與第6 流程中之步驟4中者相同。 尚且,步驟4中,係使02氣體不進行電漿激發而被熱所 活性化再供給至處理室201内。此時處理室201内所流通的 氣體為被熱所活性化的〇2氣體,於處理室201内並未流通 HCD氣體或3DMAS氣體或NH3氣體。因此,〇2氣體不發 生氣相反應’經活性化之〇2氣體與在步驟3中形成於晶圓 200上的SiCN層的至少一部分進行反應。藉此,SiCN層被 氮化’而改質為含有氧碳氮化矽層(SiOCN層)的第2層。 尚且’在藉由使〇2氣體被熱所活性化而流通至處理室201 内以使SiCN層進行熱氧化而被改質為Si〇CN層時,係一 邊於SlCN層中加成0成分、一邊將SiCN層改質為SiOCN 層。又’此時’藉由〇2氣體所進行之熱氧化作用,使SiCN 層中之Sl_〇鍵結增加,另-方面使Si-N鍵結、Si-C鍵結及
Sl Sl鍵、口減^ ’ SlCN層中之N成分比例、C成分比例及
Si成分之比例減少。〜 亦即,可依使氧濃度增加之方向、或 使氮)農度、碳濃度刀 及矽濃度減少之方向改變組成比,而使
SiCN層改質為Si〇CM p Ν層。再者,此時藉由控制處理室201 100111962 62 201201277 内之壓力或氣體供給時間等處理條件,則可對SiOCN層中 之〇成分比例、亦即氧濃度進行微調整,而可更嚴密地控 制SiOCN層的組成比。 尚且,此時,較佳係使SiCN層的氧化反應不飽和。亦即, 較佳係使SiCN層之一部分氧化。此時,為了不使SiCN層 整體被氧化,而於SiCN層之氧化反應成為不飽和的條件下 進行氧化。又’在使SiCN層的氧化反應成為不飽和時,可 將步驟4中之處理條件設為第6流程之步驟4(第3流程之 步驟3)中之處理條件。 將上述步驟1〜4設為1周期,進行此周期1次以上(既定 /人數)’藉此可於晶圓200上形成既定組成及既定膜厚之氣 石厌氮化石夕膜(SiOCN膜)。又’較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定膜厚的SiOCN膜的成膜 處理時,氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、 晶圓取出係與第1流程同樣地進行。 (第9流程) 其次,針對本實施形態之第9流程進行說明。 圖11為表示本實施形態之第9流程中之氣體供給之時機 的圖。 本實施形態之第9流程中,係藉由將下述步驟交互進行牦 定次數,而於晶圓200上形成既定組成及既定膜厚之氧啖氮 化矽膜: 100111962 63 201201277 對處理室201内之晶圓200 ’供給氣矽烷系原料,其後, 供給胺基矽烷系原料’藉此於晶圓200上形成含有矽、氮及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 碳氣體作為反應氣體’其後,供給藉由熱而經活性化之含氮 氣體作為反應氣體’其後’供給藉由熱而經活性化之含氧氣 體作為反應氣體’而使第1層改質,以形成作為第2層之氧 碳氮化矽層的步驟。 尚且’形成第2層的步驟中,係對處理室2〇1内之晶圓 200,供給被熱所活性化之含碳氣體,藉此使含碳氣體化學 吸附於第1層上,其後,對處理室201内之晶圓,供給被熱 所活性化之含氮氣體’藉此將含碳氣體化學吸附於第1層上 而成的層改質而形成碳氮化石夕層,其後,對處理室2〇 1内之 晶圓,供給被熱所活性化之含氧氣體,將碳氮化矽層改質而 形成氧碳氮化矽層。
以下,具體說明本實施形態之第9流程。於此,使用hCD 氣體作為氣魏㈣料氣體,使用3 D M A S氣體作為胺基石夕 烷系原料氣體,使用QH6氣體作為含碳氣體,使用Nh3氣 體作為含氮氣體,使用〇2氣體作為含氧氣體,_ n流程, 針對於基板上形成氧德化频(Si〇CN _)作為絕緣膜的 例子進行說明。 曰圓填裝、舟搬人、壓力調整、溫度調整、晶圓旋轉為止 100111962 201201277 係與第1流程同樣地進行。其後,依序施行後述之4個步驟。 [步驟1] 步驟1係與第1流程之步驟1同樣進行。亦即,本步驟1 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟1中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓200以上形成含矽層。 [步驟2] 步驟2係與第1流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟2中者相同。亦即,此步驟中,係藉由對處理 室201内供給3DMAS氣體,而使含矽層與3DMAS氣體反 應,形成含有Si、N及C的第1層。 [步驟3] 步驟3係與第5流程之步驟3同樣進行。亦即,本步驟3 中之處理條件、所發生之反應、形成之層、碳濃度之控制方 法等,係分別與第5流程中之步驟3中者相同。亦即,此步 驟中,係藉由對處理室201供給C3H6氣體,而使CxHy化學 吸附於第1層上。 [步驟4] 步驟4係與第5流程之步驟4同樣進行。亦即,本步驟4 中之處理條件、所發生之反應、形成之層、氮濃度之控制方 法等,係分別與第5流程中之步驟4中者相同。亦即,此步 100111962 65 201201277 驟中,係藉由對處理室201供給NH3氣體,而將使CxHy化 學吸附於第1層上之層改質為SiCN層。 [步驟5] 步驟5係與第8流程之步驟4同樣進行。亦即,本步驟5 中之處理條件、所發生之反應、形成之層、氧濃度之控制方 法等,係分別與第8流程中之步驟4中者相同。亦即,此步 驟中,係藉由對處理室201供給02氣體,而將SiCN層改 質為含有SiOCN層的第2層。 將上述步驟1〜5設為1周期,進行此周期1次以上(既定 次數),藉此可於晶圓200上形成既定組成及既定膜厚之氧 碳氮化矽膜(SiOCN膜)。又,較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定膜厚的SiOCN膜的成膜 處理時,氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、 晶圓取出係與第1流程同樣地進行。 (第10流程) 其次,針對本實施形態之第10流程進行說明。 圖12為表示本實施形態之第10流程中之氣體供給之時機 的圖。 本實施形態之第10流程中,係藉由將下述步驟交互進行 既定次數,而於晶圓200上形成既定組成及既定膜厚之硼碳 氮化矽膜: 對處理室201内之晶圓200,供給氯矽烷系原料,其後, 100111962 66 201201277 供給胺基矽烷系原料,藉此於晶圓200上形成含有矽、氮及 碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 石反氣體作為反應氣體,其後,供給藉由熱而經活性化之含硼 氣體作為反應氣體,其後,供給藉由熱而經活性化之含氮氣 體作為反應氣體,而使第1層改質,以形成作為第2層之硼 碳氮化矽層的步驟。 尚且,形成第2層的步驟中,係對處理室2〇1内之晶圓 2〇〇,供給被熱所活性化之含碳氣體,藉此使含碳氣體化學 吸附於第1層上’其後,對處理室201内之晶圓,供給被熱 所活性化之含硼氣體,藉此使含硼氣體進一步化學吸附於使 含碳氣體化學吸附於第1層上之層上,其後,對處理室2〇ι 内之曰曰圓,供給被熱所活性化之含氮氣體,藉此將使含碳氣 體與含蝴氣體化學吸附於第丨層上而成的層改質而形成删 碳氮化碎層。 以下,具體說明本實施形態之第1〇流程。於此,使用hcd 氣體作為氣㈣㈣體’_3dmas氣體作為胺基石夕 烧系原料氣體,制c3H6氣體作為含碳氣體,使用卿 氣體作為”氣體’使用Nh3氣體作為含氮氣體,藉圖」 流程’針對於基板上形成棚碳氮切膜(siBCN膜)作為 膜的例子進行說明。 ” 晶圓填裳、舟搬入、壓力調整、溫度調整、晶圓旋轉為止 100111962 67 201201277 係與第1流程同樣地進行。其後,依序施行後述之4個步驟。 [步驟1] 步驟1係與第1流程之步驟1同樣進行。亦即,本步驟1 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟1中者相同。亦即,此步驟中,係藉由對處理 室201内供給HCD氣體,而於晶圓200以上形成含矽層。 [步驟2] 步驟2係與第1流程之步驟2同樣進行。亦即,本步驟2 中之處理條件、所發生之反應、形成之層等,係分別與第1 流程中之步驟2中者相同。亦.即,此步驟中,係藉由對處理 室201内供給3DMAS氣體,而使含矽層與3DMAS氣體反 應,形成含有Si、N及C的第1層。 [步驟3] 步驟3係與第5流程之步驟3同樣進行。亦即,本步驟3 中之處理條件、所發生之反應、形成之層、碳濃度之控制方 法等,係分別與第5流程中之步驟3中者相同。亦即,此步 驟中,係於處理室201内供給C3H6氣體,而使CxHy化學吸 附於第1層上。 [步驟4] 步驟4係與第7流程之步驟3同樣進行。亦即,本步驟4 中之處理條件、所發生之反應、形成之層、硼濃度之控制方 法等,係分別與第7流程中之步驟3中者相同。亦即,此步 100111962 68 201201277 驟中’係藉由對處理室201内供給BC13氣體,而使BxCly 化學吸附於使CxHy化學吸附於第 1層上之層上。 [步驟5] 步驟5係與第7流程之步驟4同樣進行。亦即,本步驟$ 中之處理條件、所發生之反應、形成之層、氮濃度之控制方 法等,係分別與第7流程中之步驟4中者相同。亦即,此步 驟中’係藉由對處理室201内供給ΝΗ3氣體,而將使cxHy 與BxCly化學吸附於第i層上之層改質為含有SiBCN層的 第2層。 將上述步驟1〜5設為1周期,進行此周期1次以上(既定 次數),藉此可於晶圓2〇〇上形成既定組成及既定膜厚之硼 碳氮化矽膜(SiBCN膜又,較佳係重複複數次之上述周期。 在進行形成具有既定組成之既定膜厚的SiBCN獏的成膜 處理時,氣體沖洗、惰性氣體置換、大氣壓回復、舟搬出、 晶圓取出係與第1流程同樣地進行。 根據本實施形態,在使用氯矽烷系原料或胺基矽烷系原料 形成絕緣膜時’可於低溫領域下形成矽密度高之所需組成的 矽絕緣膜。又,亦可形成理想性計量比的矽絕緣膜。又,根 據本發明者等人的實驗,於使用氯矽烷系原料單體時,在 550°C以下之溫度帶係難以依滿足生產效率之成膜速率使矽 堆積於晶圓上。又’於使用胺基石夕炫系原料單體時,於550¾ 以下之溫度帶亦未確認到矽於晶圓上之堆積。因此’根據本 100111962 69 201201277 實施形態’即使在550°C以下之低溫領域,仍可依滿足生產 效率之成膜速率形成良質的矽絕緣膜。 尚且’若使成膜溫度低溫化,則通常分子運動能量降低, 氣石夕烧系原料所含之氯或胺基石夕燒系原料所含之胺的反 應·脫離不易發生,而此等配位子殘留於晶圓表面上。而 且’此等殘留之配位子成為立體障礙,妨礙矽對晶圓表面上 的吸附,使矽密度降低,造成膜劣化。然而,即使在此種反 應•脫離難以進行的條件下,藉由使2種石夕烧源、亦即氣石夕 烷系原料與胺基矽烷系原料適當地反應,而可使此等殘留配 位子脫離。而且,因此等殘留配位子的脫離而消除立體障 礙,因此可使矽吸附於經開放的位置,而可提高矽密度。如 此,可認為即使是在550°C以下的低溫領埋,仍可形成石夕密 度高之膜。 另外’根據本實施形態,由於供給氯矽烷系原料,其後, 供給胺基矽烷系原料而於晶圓上形成含有Si、N& c之第工 層(亦即矽絕緣層)後,再供給被熱或電漿所活性化之含氮氣 體、含碳氣體、含氧氣體或含侧氣體,故可調整;ς夕絕緣芦之 氮濃度、奴》農度、氧濃度或硼濃度,而可於控制組成比之下 形成具有所需特性的矽絕緣膜。 另外,藉由將依本實施形態之手法所形成的矽絕緣骐使用 作為側壁間隔件,則可提供漏電流少、加工性優越的 ^夏 成技術。 100111962 70 201201277 另外,藉由將依本實施形態之手法所形成的矽絕緣膜使用 作為银刻阻隔件,則可提供加工性優越的裝置形成技術。 根據本貫施形態,即使於低溫領域下不使用電漿,仍可形 成理想性§十量比的㈣緣膜。又,由於可不使用錢而形成 矽絕緣膜,故亦可應用至例如DPT之SADP膜等有電漿損 傷之憂慮的步驟中。 尚且,上述實施形態中,雖針對在各流程中形成含有Si、 N及C之第1層時,對處理室2〇1内之晶圓2〇〇,供給氣矽 烷系原料,其後供給胺基矽烷系原料的例子進行了說明,但 原料亦可為相反之供給方向。亦即,亦可為供給氣矽烷系原 料及胺基矽烷系原料中之一原料,其後,供給另一原料。如 此,藉由改變原料供給順序,則亦可改變各流程中所形成之 薄膜的膜質或組成比。 另外,不僅是供給氣雜系料赫基魏系原料的順 序,藉由改變含有氣石夕院系原料及胺基矽烷系原料之所有氣 體的供給順序,亦可使各流程中所形成之薄膜的膜質或組成 比改變。 另外,上述實施形態中,雖針對在各流程中形成八有& N及C之第丨層時’使用氣石夕燒系原料與胺基石夕^系原1料 的例子進行了說明,但亦可取代氯矽烷系原料, …、丨 用具有氯 石夕烧系原料以外之_素系配位子的石夕烧系原料。 例如,亦可 取代氣矽烷系原料,而使用氟矽烷系原料。於此 ' ’所謂氟矽 100111962 201201277 烷系原料,係指具有氟基之矽烷系原料,並為至少含有矽(Si) 及氟(F)的原料。作為氟矽烷系原料,可使用例如四氟化矽 (SiF4)氣體或六氟二矽(Si2F6)氣體等之氟化矽氣體。此時, 各流程中在形成含有Si、N及C之第1層時,對處理内201 内之晶圓200,供給氟矽烷系原料,其後,供給胺基矽烷系 原料;或者供給胺基矽烷系原料,其後,供給氟矽烷系原料。 另外,上述實施形態中,於第1流程之步驟3及第3流程 之步驟3中雖針對使用電漿的例子進行了說明,但於其他流 程之各步驟中亦可使用電漿。例如,於各流程之各步驟中, 亦可藉由將含氮氣體、含碳氣體、含氧氣體、含硼氣體藉電 漿進行活性化而供給,而藉由電漿氮化(氮摻雜)、電漿碳化 (碳摻雜)、電漿氧化(氧摻雜)、電漿硼化(硼摻雜)進行各層 的改質。然而,電漿之使用並不適合有電漿損傷之虞的步 驟,較佳係應用至其以外的不具電漿損傷之虞的步驟中。 另外,在供給含氧氣體之步驟中,亦可與含氧氣體一起供 給含氫氣體。若在屬於未滿大氣壓之壓力(減壓)環境的處理 室201内供給含氧氣體與含氫氣體,則於處理室201内,含 氧氣體與含氫氣體進行反應而生成含氧之氧化種(原子狀氧 等),可藉由該氧化種對各層進行氧化。此時,可藉由較僅 依含氧氣體單體進行氧化時更高的氧化力進行氧化。此氧化 處理係在無電漿之減壓環境下進行。作為含氫氣體,可使用 例如氫(H2)氣體。 100111962 72 201201277 另外,上述實施形態中,雖針對各流程中形成含有Si、N 及C之第1層時’對處理室201内之晶圓200供給氯矽烷 系原料’其後,供給胺基矽烷系原料的例子進行了說明,但 • 亦可如圖丨3、圖14般將氯矽烷系原料與胺基矽烷系原料同 ' 時對處理室2〇1内之晶圓200進行供給,而產生CVD反應。 圖13、圖14係表示將氯矽烷系原料與胺基矽烷系原料同 時供給之本發明其他實施形態之氣體供給時機的圖。又,此 情況的處理條件亦可設為與上述實施形態之各流程中之處 理條件相同。 圖13之流程’係藉由將下述步驟交互進行既定次數,而 形成既定組成及既定膜厚之氧碳氮化矽膜(Si〇CN膜)的例 子: 對處理室201内之晶圓200,同時供給氯矽烧系原料(HCD) 與胺基矽烷系原料(3DMAS),藉此於晶圓200上形成含有 矽、氮及碳之第1層的步驟;與 對處理室201内之晶圓200,供給藉由熱而經活性化的含 - 碳氣體(qH6)作為反應氣體,其後’供給藉由熱而經活性化 ' 之含氮氣體(NH3)作為反應氣體’其後’供給藉由熱而經活 性化之含氧氣體(〇2)作為反應氣體,而使第1層改質,以形 成作為第2層之氧碳氮化矽層(SiOCN層)的步驟。 尚且,於形成第2層之步驟中,係藉由對處理室2〇1内之 晶圓200,供給被熱所活性化的含碳氣體,而使含碳氣體化 100111962 73 201201277 内之晶圓200,供 學吸附於第1層上,其後,對處理室2〇1 給被熱所活性㈣錢氣體,藉此將使含錢體化學吸附於 第i層上之層改質而形成碳氣切層,其後,對處理室2〇ι 内之晶圓200,供給被熱所活性化的含氧氣體,使碳氮化石夕 層改質而形成氧碳氮化層。 圖14之流程,係藉由將下述步驟交互進行既定次數,而 形成既定組成及既定膜厚之硼碳氮化矽膜(SiBCN膜)的例 子: 對處理室201内之晶圓200,同時供給氣矽烷系原料⑺^⑺ 與胺基矽烷系原料(3DMAS),藉此於晶圓2〇〇上形成含有 矽、氮及碳之第1層的步驟;與 對處理室201内之晶圓200’供給藉由熱而經活性化的含 碳氣體(C^6)作為反應氣體’其後,供給藉由熱而經活性化 之含硼氣體(BCI3)作為反應氣體’其後,供給藉由熱而經活 性化之含氧氣體(NH3)作為反應氣體,而使第1層改質,以 形成作為第2層之硼碳氮化矽層(SiBCN層)的步驟。 尚且,於形成第2層之步驟中,係藉由對處理室2〇1内之 晶圓200,供給被熱所活性化的含碳氣體,而使含碳氣體化 學吸附於第1層上’其後,對處理室201内之晶圓2〇〇,供 給被熱所活性化的含侧氣體’藉此使含硼氣體進一步化學吸 附於使含碳氣體化學吸附於第1層上之層上,其後,對處理 室201内之晶圓200 ’供給被熱所活性化的含氮氣體,使於 100111962 74 201201277 第1層上化學吸附了含碳氣體與含硼氣體之層改質而形成 硼碳氮化層。 如此,即使對處理室2〇1内之晶圓2⑽,不依序供給氯矽 - 烷系原料與胺基矽烷系原料,而同時供給,仍可得到與上述 ,實施形態相同的作用效果。然而,如上述實施形態,依序供 給各原料者、亦即將氯矽烷系原料與胺基矽烷系原料在其等 中間間隔了處理室2〇1内的沖洗而交互供給者,將可使氯矽 院系原料與胺基石夕烧系原料在表面反應具有支配性的條件 下適當反應,而可提高膜厚控制的控制性。 然而’習知之CVD法的情況,係將含有構成所形成薄膜 之複數種7L素的複數種氣體同時進行供給。此時,在控制所 形成4膜之組成比時,係例如控制氣體供給時之氣體供給流 量比。又,此時,即使控制氣體供給時之基板溫度、處= 内壓力、氣體供給時間等之供給條件,仍無法控制所 膜的組成比^ 所 在ALD法的情況 你埘t有稱烕所形成薄膜之 數種元素的複數種氣體交互進行供給。此時,在控制所是 薄膜之組成tt時’係例如控制各氣體供給時之氣體供^、 量、氣體供給日相。又,在ALD法的情況,由於原料^ 之供、·,口係以原料氣體在基板表面上之吸附飽和為目的,故 需控制處理室内之壓力。亦即,原料氣體之吸附飽和: 於反應溫度’在原料氣體進行吸附之既定壓力以下發生… 100111962 75 201201277 將處理室内之壓減為該既定壓力之下,則不論何種壓力值 均可實現原料氣體之吸附飽和。因此,通常在藉腳法進 行成膜時’處理室内之壓力成為由基板處理裝置之排氣能力 相對於氣體供給量所造成的壓力。在使處理室内壓力改變 時,將妨礙原料氣體於基板表面上的化學吸附,亦認為其接 近㈣反應,無法適當進行ALD法的成膜。又,因為為了 藉ALD法形成既定膜厚之薄膜而重複進行ALD反應(吸附 飽和、表面反應),故若未充分進行各個⑽反應而使各個 ALD反應飽和為止’則堆積變得不足,無法得到充分的堆 積速度。因此’在ALD法的情況,難以考慮藉由處理室内 之壓力控制來控制薄膜組成比。 相對於此,本實施形態中,任一流程中均藉由控制各步驟 中之處理室内的壓力或氣體供給時間,而控制(微調整)薄膜 組成比〇又,較佳係藉由控制處理室内之壓力、或壓力及氣 體供給時間,而可控制薄膜組成比。 藉由控制各步驟中之處理室内的壓力’以控制薄膜組成比 的情況,可使不同基板處理裝置間的機差影響減少。亦即, 即使是不同之基板處理裝置之間,仍可藉由相同的控制,同 樣地控制薄膜組成比。此時’若亦控制各步驟中之氣體供給 時間’則可對薄膜組成比進行微調整,而提高薄膜組成比控 制的控制性。又’藉由控制各步驟中之處理室内麼力,則可 一邊提升成膜速度、一邊控制薄膜組成比。亦即,藉由控制 100111962 76 201201277 處理室内壓力,則可一邊佶 遭使例如各流程中步㉟1所形成之含 石夕層的成長速率提升,—邊叫薄—如此,根據本 實施形態,即使在不同的基板處理裝置之間,不僅可藉相同 -控顧樣輪簡敎錢,村提升薄歡組成比控制的 -㈣性,進而亦可提升成膜迷率、亦即生產性。 另方你J如在ALD法所進行之成膜中,藉由控制各 步驟中之A體供給流量或氣體供給相,則在控制薄膜組成 比的情況’㈣基板處理裝置_機差影響變大。亦即,在 不同之基板處縣置之間’即使進行同樣的控制,仍無法同 樣地控制薄敝成比。例如,即使在不同的基板處理裝置之 間’將氣體供給流量、氣體供給時間設定為相同之流量值、 時間’仍因機差而處理室内壓力無法成為相同之壓力值。因 此此時處理至内壓力隨著基板處理裝置而變動,在不同 之基板處理裝置之間無法同樣地進行所需之組成比控制。再 者’由於處理室㈣力隨著基板處理裝置而變動,故認為會 妨礙原料氣體對基板表面的化學韻,而接近CVD反應, '亦有無法適當進行ALD法之成膜的情況。 - [實施例] [實施例1] 依上述只鈀形恶之第丨流程,於晶圓上形成^^^膜,測 疋其成膜速率與膜折射率(R I.h又,本實施例中,使用HCD 氣體作為氯魏系原料’使用3DMAS氣體作為胺基石夕烧系 100111962 77 201201277 原料,使用NH3氣體作為含氮氣體,依圖3⑷之流程,以 無電衆形成SiCN膜。此時各步驟中之處理條件設定如下。 (步驟1) 處理室内溫度:55CTC 處理室内壓力:266Pa(2Torr) HCD氣體供給流量:200sccm HCD氣體照射時間:π秒 (步驟2) 處理室内溫度:550°C 處理室内壓力:266Pa(2Torr) 3DMAS氣體供給流量:200sccm ΝΑ氣體照射時間:12秒 (步驟3) 處理室内溫度:550。(: 處理室内壓力:831Pa(6.5Torr) NH3氣體供給流量:5〇〇〇sccm ΝΑ氣體照射時間:12秒 結果,本實施例所形成之SiCN膜的成膜速率為i 8心周 /月膜折射率(R,I.)為2.10。亦即,判明了即使在之低 溫領域下’仍可依滿足生產效率的成膜速率形成良質之矽絕 緣膜。 [實施例2] 100111962 78 201201277 依上述實施形態之第.1流程’於晶圓上形成SiCN膜,測 定其成膜速率、晶圓面内膜厚均勻性、與膜折射率(R工)C 又’測定該SiCN膜之XPS光譜’算出C/Si比(C成分相對 • 於Si成分的比)及N/Si比(N成分相對於Si成分的比)。又, • 作為比較例1,藉由交互重複第1流程之步驟1與步驟2以 形成含有Si、C及N之物質(以下簡稱為SiCN),測定其XPS 光譜,算出C/Si比及N/Si比。又,本實施例中,使用HCD 氣體作為氯矽烷系原料,使用3DMAS氣體作為胺基矽烷系 原料,使用NH3氣體作為含氮氣體,依圖3(a)之流程’以 無電漿形成SiCN膜。又,比較例中,使用HCD氣體作為 氯矽烷系原料,使用3DMAS氣體作為胺基矽烷系原料,藉 由交互重複圖3(a)流程之步驟1與步驟2而形成SiCN。此 時各步驟中之處理條件設定如下。 (步驟1)
處理室内溫度:550〇C 處理室内壓力:399Pa(3Torr) - HCD氣體供給流量:i〇〇sccin • HCD氣體照射時間:12秒 (步驟2) 處理室内溫度:550°C 處理室内壓力:l〇pa(2Torr) 3DMAS氣體供給流量:i〇〇sccm 100111962 79 201201277 NH3氣體照射時間:6秒 (步驟3)
處理室内溫度:550°C 處理室内壓力:865Pa(6.5Torr) NH3氣體供給流量:4500sccm NH3氣體照射時間:24秒 結果,本實施例所形成之SiCN膜的成膜速率為4.15A/ 周期,晶圓面内膜厚均勻性為0.3%,膜折射率(R.I.)為2.40 » 亦即,判明了即使在550°C之低溫領域下,仍可依滿足生產 效率的成膜速率形成良質之矽絕緣膜。 另外,如圖15所示,判別出本實施例所形成之SiCN膜 係N成分比例增加,C成分比例及Si成分比例減少,N濃 度高於C濃度。 圖15(a)為表示本實施例之XPS光譜之測定結果的圖表, 橫軸為鍵結能量(eV),縱軸表示光電子之強度(count/sec)。 又,圖15(b)為表示本實施例之C/Si比及N/Si比之測定結 果的圖表,縱軸表示C成分及N成分相對於Si成分的比(任 意單位(a.u.)。任一圖中’、'Add. Th- NH3〃為本實施例所形 成之SiCN膜的測定結果,'"HCD/3DMAS〃為比較例所形成 之SiCN的測定結果。又’圖15(b)中,為了比較,亦記載 後述實施例3(“Add. NHa Plasma”)的測定結果。 如圖15(a)所示,可知比較例所形成之SiCN中,Si-C鍵 100111962 80 201201277 結及Si-Si鍵結較多’成為富含c且富點Si的狀態。相對於 此,可知本實施例所形成之SiCN膜,相較於比較例所形成 之SiCN,其Si-N鍵結增加,另一方面則Si-C鍵結及Si-Si . 鍵結減少,呈現富含N的狀態《又,如圖15(b)所示,可知 . 比較例所形成之SiCN’係c/Si比大於N/Si比,C浪度高於 N濃度。相對於此,可知本實施例所形成之siCN膜,係 N/Si比大於C/Si比,N濃度高於c濃度。亦即,可知本實 施例所形成之SiCN膜,係藉由步驟3中Nh3所進行的熱氮 化作用,使N成分比例增加,並使c成分比例及&成分比 例減少’再者,N濃度變得高於c濃度。 [實施例3] 依上述實施形態之第1流程,於晶圓上形成SiN膜,測定 其成膜速率、晶圓面内膜厚均勻性、與膜折射率(R I )。又, 測定該SiN膜之XPS光譜,算出C/Si比及N/Si比。又,作 為比較例,藉由交互重複第i流程之步驟丨與步驟2以形成 SiCN,測定其XPS光譜,算出C/Si比及廳比。又,本 ' 實施例中,使用HCD氣體作為氯矽烷系原料,使用3DMAS ^ 氣體作為胺基械系原料,使用NH3氣體作為含氮氣體, 依圖3(b)之流程,使用電漿形成siN膜。又,比較例中,使 用HCD氣體作為氣石夕炫系原料,使们DMAS氣體作為胺 基石夕炫系原料’藉由交互重複圖3⑻流程之步驟i與步驟2 而形成SiCN。此時各步驟中之處理條件設定如下。 100111962 81 201201277 (步驟1) 處理室内溫度:550°c 處理室内壓力:399Pa(3Torr) HCD氣體供給流量:200sccm HCD氣體照射時間:12秒 (步驟2) 處理室内溫度:550°C 處理室内壓力:l〇Pa(1333Torr) 3DMAS氣體供給流量:200sccm NH3氣體照射時間:6秒 (步驟3) 處理室内溫度:550°C 處理室内壓力:30Pa(3999Torr)
NH3氣體供給流量:4500sccm NH3氣體照射時間·· 24秒 RF 電力:300W 結果,本實施例所形成之SiN膜的成膜速率為4.0入/周 期’晶圓面内膜厚均勻性為1.7〇/〇,膜折射率(尺.1.)為丨93。 亦即’判明了即使在550°C之低溫領域下,仍可依滿足生產 效率的成膜速率形成良質之矽絕緣膜。 另外,如圖16所示,判別出本實施例所形成之SiN膜係 N成分比例增加,Si成分比例減少,進而c成分比例減少 100111962 82 201201277 至雜質程度。 圖16(a)為表示本實施例之XPS光譜之測定結果的圖表, 橫轴為鍵結能量(eV),縱軸表示光電子之強度(count/sec)。 又,圖16(b)為表示本實施例之C/Si比及N/Si比之測定結 果的圖表,縱軸表示C成分及N成分相對於Si成分的比(任 意單位(a.u.)。任一圖中,、、Add. NE^Plasma//為本實施例所 形成之SiN膜的測定結果,、、HCD/3DMAS〃為比較例所形 成之SiCN的測定結果。又,圖16(a)及(b)中,為了比較, 亦記載上述實施例2(“Add. Th-NH3”)的測定結果。 如圖16(a)所示,可知比較例所形成之SiCN中,Si-C鍵 結及Si-Si鍵結較多,成為富含c且富點Si的狀態。相對於 此’可知本實施例所形成之SiN膜,相較於比較例所形成之 SiCN,其Si-N鍵結增加,另一方面則Si-C鍵結及Si-Si鍵 結顯著減少,尤其是Si-C鍵結減少至雜質程度。又,如圖 16(b)所示,可知比較例所形成之SiCN,係C/Si比大於N/Si 比,C》辰度而於n濃度。相對於此,可知本實施例所形成 之SiN膜,係N/Si比大於C/Si比,N濃度高於C濃度。亦 即’可知本實施例所形成之SiN膜’係藉由步驟3中NH3 所進行的電漿氮化作用,使N成分比例顯著增加,並使Si 成分比例減少’再者’使C成分比例顯著減少至雜質程度。 [實施例4] 依上述實施形態之第3流程’於晶圓上形成siOC膜,測 100111962 83 201201277 定其成膜速率、晶圆面内膜厚均勻性、與膜折射率(R.I.)。 又,本實施例中,使用HCD氣體作為氣矽烷系原料,使用 3DMAS氣體作為胺基矽烷系原料,使用N20氣體作為含氧 氣體,依圖5(a)之流程,以無電漿形成SiOC膜。此時各步 驟中之處理條件設定如下。 (步驟1) 處理室内溫度:550°C 處理室内壓力:399Pa(3Torr) HCD氣體供給流量:200sccm HCD氣體照射時間:12秒 (步驟2) 處理室内溫度:550°C 處理室内壓力:10Pa(1333Torr) 3DMAS氣體供給流量:200sccm NH3氣體照射時間:6秒 (步驟3) 處理室内溫度:550°C 處理室内壓力:10Pa(1333Torr) N20氣體供給流量:1 OOOsccm N20氣體照射時間:30秒 結果,本實施例所形成之SiOC膜的成膜速率為0.61A/ 周期,晶圓面内膜厚均勻性為1.7%,膜折射率(R.I.)為1.62。 100111962 84 201201277 亦即,判a月了即使在55〇。〇之低溫領域下,仍可依滿足生產 效率的成膜速率形成良質之矽絕緣膜。 [實施例5] _ 依上述實施形態之第3流程,改變步驟3中含氧氣體之供 ‘ 給時間’於晶圓上形成Si〇CN膜或si〇C犋,以XRF測定 此時所形成之各個膜的〇濃度、c濃度及N濃度。又,本 實施例中,使用HCD氣體作為氣石夕烧系原料,使用謂^ 氣體作為胺基魏系原料,使用n2〇氣體作為含氧氣體, 依圖5⑷之流程,以無電漿形成⑽⑶膜或Si〇c膜。此時 各步驟中之處理條件係設為與上述實施例4之處理條件相 同。其中’步驟3中之N2〇氣體照射時間係於卜⑽秒之 間變化。 圖17為表示本實施例之XRF之測定結果的圖表,橫軸為 N2〇氣體之供給時間(任意單位(au·)),縱軸表示〇濃度、c 濃度及N濃度(任意單位(a.u.))。圖中之Φ記號表示膜中〇 濃度,〇記號表示膜中C濃度,□記號表示臈中N濃度。 • 又’ N2OFlowtime=0係指未供給n2〇氣體的情況,亦即, “ 表示藉由交互重複圖5(a)流程之步驟1與步驟2的流程而形 成SiCN的情況。 如圖17所示,可知未供給NaO氣體的情况(比較例)下, C濃度高’形成富含C的SiCN。又,可知c濃度高於N濃 度。相對於此,可知供給Νβ氣體的情況(實施例)下,因供 100111962 85 201201277 給ΝζΟ氣體而發生氧化,siCN改變為SiOCN膜。又,可 知N2〇氣體之供給時間越長,則氧化越進行而〇濃度越增 加’ C濃度及N濃度減少。而且,可知在使n20氣體供給 時間增長至某程度,而氧化進行至某程度後,N成分成為雜 質程度’藉由更曰增長Ν20氣體供給時間,則使氧化更加 進行而Ν成分實質上消失,形成si〇C膜。 亦即,本實施例中,可知藉由步驟3中N20氣體進行的 熱氧化作用’而一邊增加〇成分比例、一邊減少C成分比 例,進而減少N成分比例,以形成si〇C膜。又,可知藉由 步驟3中Νθ氣體進行的熱氧化作用,一邊增加〇成分比 例、一邊減少C成分比例,進而使N成分比例減少至雜質 程度(或實質上消失),以形成SiOC膜。 以下附記本發明之較佳態樣。 根據本發明之一態樣,提供一種半導體裝置之製造方法, 其具有將下述步驟交互進行既定次數,而於基板上形成既定 組成及既定膜厚之絕緣膜的步驟: 對處理室内之基板,供給氯矽烷系原料及胺基矽烷系原料 中之一原料,其後,供給另一原料,藉此於上述基板上形成 含有矽、氮及碳之第1層的步驟;與 對上述處理室内之上述基板,供給與上述各原料相異之反 應氣體’藉此使上述第1層改質’而形成第2層的步驟。 較佳係於上述形成第2層之步驟中,對上述基板供給被熱 100111962 86 201201277 或電裝所活性化之含氮氣體作為 為上述第2層之碳氮化矽層或氮化石夂應氣體’藉此形成作 於上述形成絕緣膜之步驟令,升^^ ; 化矽膜或氮化矽膜 7成作為上述絕緣膜之碳氮 另外’較佳係於上述形成第2層 給被熱所活性化之含氮氣體 /^ ’對上述基板供 為上述第2層的碳氮切層;’、…4應氣體,藉此形成作 於上述形成絕緣狀步料, 化矽膜。 战乍為上述絕緣膜的碳氮 另外,較佳係於上述形成第 給被電裝所活性化之含氮氣體 作為上述第2層的氮化矽層; 於上越形成絕緣膜之步驟中 矽膜。 2層之步騍中,對上述基板供 作為上述反應氣體,藉此形成 形成作為上述絕緣膜的氮化 給^所較佳係於上述形成第2層之步驟中,對上述基板供 為上述第^生化之含碳氣體作為上述反應氣體,藉此形成作 马边第2層的碳氮化矽層; 化=柄成崎膜之步财,形成作為上述_臈的碳氮 佳係於上述形成第2層之步驟中,對上述基板供 形成料 性化之含氧賴作為上妓應氣體,藉此 “、、上述第2相氧碳氮化㈣、氧碳切層或氧化石夕 100111962 87 201201277 層; 於上述形成絕緣膜之步驟中 m ^ 形成作為上述絕緣膜的氧碳 氮化矽膜、氧碳化矽膜或氧化矽層。 另外,較佳係於上述形成第2叙步驟中 給被熱麟純之含氧制料上収應氣體,藉此形成作 為上述第2層的氧碳氮切層魏碳切層; 於上述形成絕緣膜之步驟中,形成作為上曰述絕緣膜的氧碳 氮化石夕膜或氧碳化矽膜。 另外,較佳係於上述形成第2層之步驟中,對上述基板供 給被電㈣活性狀含減體料上収賴體,藉此形成 作為上述第2層的氧化矽層或氧碳化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧化 石夕膜或氧碳化>5夕膜。 另外’較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所活性化之含魏體作為上述反應氣體,藉此形成作 為上述第2層的硼碳氮化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的硼碳 氮化矽膜。 另外,較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所活性化之含碳氣體作為上述反應氣體,其後,供給 被熱所活性化之含氮氣體作為上述反應氣體,藉此形成作為 上述第2層的碳氮化矽層; 100111962 88 201201277 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的碳氣 化矽膜。 另外’較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所活性化之含錢體作為上述反應氣體,其後,供給 被熱所活性化之含氧氣體作為上収錢體,藉此形成作為 上述第2層的氧碳氮化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氮化矽膜。 另外,較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所活性化之含_體作為上述反應氣體,其後,供給 被熱所活性狀錢氣體料上述反錢體,藉此形成作為 上述第2層的石朋碳氮化石夕層; 於上述形成絕緣膜之步驟令,形成作為上述絕緣膜的石朋碳 氮化矽膜。 另外’較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所活性化之含氮氣體作為上収應氣體,其後,供給 被熱所活性狀t氧氣體料上述反麵體,藉此形成作為 上述第2層的氧碳氮化梦層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氮化砍膜。 另外’較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所雜化之含錢體料上歧錢體’錢’供給 100111962 89 201201277 被熱所活性化之含氮氣體作為上述反應氣體,其後,供給被 熱所活性化之含氧氣體作為上述反減體,藉此形成作為上 述第2層的氧碳氮化石夕層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氮化矽膜。 另外’較佳係於上述形成第2層之步驟中,對上述基板供 給被熱所活性化之含碳氣體作為上述反應氣體,其後,供給 被熱所活性化之含硼氣體作為上述反應氣體,其後,供給被 熱所活性化之含氮氣體作為上述反應氣體,藉此形成作為上 述第2層的删碳氮化發層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的硼碳 氮化矽膜。 根據本發明之其他態樣,係提供一種半導體裝置之製造方 法’其具有將下述步驟交互進行既定次數,而於基板上形成 既定組成及既定膜厚之絕緣膜的步驟: 對處理室内之基板’供給氯矽烷系原料及胺基矽烷系原 料’藉此於上述基板上形成含有矽、氮及碳之第1層的步 驟;與 對上述處理室内之上述基板,供給與上述各原料相異之反 應氣體’藉此使上述第1層改質,而形成第2層的步驟。 根據本發明之其他態樣,係提供一種基板處理方法,其具 有將下述步驟交互進行既定次數,而於基板上形成既定組成 100111962 90 201201277 及既定膜厚之絕緣膜的步驟: 對處理室内之基m㈣m料及胺基⑪烧系原料 中之原料,其後,供給另一原料,藉此於上述基板上形成 - 含有矽、氮及碳之第1層的步驟;與 . 對上述處理室内之上述基板’供給與上述各原料相異之反 應氣體,藉此使上述第i層改質,而形成第2層的步驟。 根據本發明之再其他態樣,係提供一種基板處理裝置,其 具有: 收容基板之處理室; 對上述處理室内之基板供給氣矽烷系原料之第1原料供 給系統; 對上述處理室内之基板供給胺基矽烷系原料之第2原料 供給系統; 對上述處理室内之基板供給與上述各原料相異之反應氣 體的反應氣體供給系統; 依交互進行既定次數之下述處理,藉此於上述基板上形成 ' 既定組成及既定膜厚之絕緣膜的方式,控制上述第1原料供 , 給系統、上述第2原料供給系統及上述反應氣體供給系統的 控制部:對上述處理室内之基板,供給上述氣矽烷系原料及 上述胺基矽烷系原料中之一原料,其後,供給另一原料,藉 此於上述基板上形成含有矽、氮及碳之第1層的處理;與對 上述處理室内之上述基板,供給上述反應氣體,藉此使上述 100111962 201201277 第1層改質’而形成第2層的處理。 【圖式簡單說明】 圖1為本實施形態中適合使用 板處理裴置之縱型處 理爐的概略構成圖,依縱剖面表示處理爐部分。 圖2為本實施形態中適合使用 之基板處理裴置之縱型處 理爐的概略構成圖,依圖1之Α Δ & a-a線剖面圖表示處理爐部 分。 圖3為表示本實施形態之第1流 狂Y之轧體供給及電漿能 $供給之時機的圖’(a)表示猎無雷艰、隹/_上、 ,、冤漿進仃成臈的流程例,(b) 表示使用電漿進行成膜的流程例。 圖 圖4為表示本實施形狀第2流財之氣職給之時機的 圖5為表示本實施形態之第3流程 虱體供給及電漿能 堇供給之時機的圖,(a)表示藉無電漿 、 . 战膜的流程例, 表示使用電漿進行成膜的流程例。 圖 圖 6為表示本實施形態之第4流程中之氣體供給之時 機的 圖7為表示本實施形態之第5 圖 圖 ;“王中之氣體供給之時機的 圖8為表示本實施㈣之第6流財之氣體供給之時機的 圖 9為表示本實施形態之第7流程中之氣體供給之時 機的 100111962 92 201201277 圖。 圖10為表示本實施形態之第8流程中之氣體供給之時機 的圖。 . 圖11為表示本實施形態之第9流程中之氣體供給之時機 的圖。 圖12為表示本實施形態之第10流程中之氣體供給之時機 的圖。 圖13為表示其他實施形態之氣體供給之時機的圖。 圖14為表示其他實施形態之氣體供給之時機的圖。 圖15(a)為表示本發明實施例2中XPS光譜測定結果的圖 表’(b)為表示本發明實施例2中C/Si比及N/Si比之測定結 果的圖表。 圖16(a)為表示本發明實施例3中XPS光譜測定結果的圖 表’(b)為表示本發明實施例3中C/Si比及N/Si比之測定結 果的圖表。 圖17為表示本發明實施例5中XRF之測定結果的圖表。 【主要元件符號說明】 115 舟升降器 121 控制機 200 晶圓 201 處理室 202 處理爐 100111962 93 201201277 203 反應管 207 加熱器 217 舟 218 斷熱構件 219 密封蓋 220 0型環 224 電漿生成區域 231 排氣管 232a 第1氣體供給管 232b 第2氣體供給管 232c 第3氣體供給管 232d 第4氣體供給管 232e 第1惰性氣體供給管 232f 第2惰性氣體供給管 232g 第3惰性氣體供給管 232h 第4惰性氣體供給管 232i 第5氣體供給管 232j 第6氣體供給管 237 緩衝室 24la〜j 流量控制機 243a〜j 閥 244 APC閥 100111962 94 201201277 245 壓力感應器 246 真空泵 249a 第1喷嘴 . 249b 第2喷嘴 249c 第3喷嘴 249d 第4喷嘴 250a 氣體供給孔 250b 氣體供給孔 250c 氣體供給孔 250d 氣體供給孔 250e 氣體供給孔 255 旋轉軸 263 溫度感應器 267 旋轉機構 269 第1棒狀電極 270 第2棒狀電極 . 272 整合器 . 273 局頻電源 275 電極保護管 100111962 95

Claims (1)

  1. 201201277 七、申請專利範圍: 1 一種半導雜置之製造方法,其具有訂述步驟交互進 行既定次數,祕隸均錢定組纽既定膜厚之絕緣膜 的步驟: ' 對處理室内之基板,供給氣魏系原料及胺基錢系原料 中之-原料,其後,供給另—原料,藉此於上述基板上形成 含有石夕、氮及碳之第1層的步驟;與 對上述處理室内之上述基板,供給與上述各原料相異之反 應氣體,藉此使上述第i層改質,而形成第2層的步驟。 2.如申請專利第!項之半導體裝置之製造方法,其 中’於上述形成帛2層之步驟中,對上述基板供給被熱或電 装所活性化之含氮氣體作為上述反應氣體 ,藉此形成作為上 述第2層之碳氮化石夕層或氮化石夕層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜之碳氮 化矽膜或氮化矽膜。 3·如申請專利範圍第丨項之半導體裝置之製造方法,其 中’於上述形成第2層之步驟中,對上述基板供給被熱所活 性化之含氮氣體作為上述反應氣體,藉此形成作為上述第2 層的破氮化矽層; 於上述形成絕緣臈之步驟中,形成作為上述絕緣膜的碳氮 化矽膜。 4.如申請專利範圍第1項之半導體裝置之製造方法,其 100111962 96 201201277 上述形成第2層之步驟中,對上述基板供給被電浆所 體作為上述反應氣體,藉此形成作為上述第 .P述形成絕緣膜之步驟中,形成作為上述絕緣膜的氮化 尽膜。 中=申料利1奴铸财置之製造方法,其 ’ 2層之步財,對上絲板供給被熱所活 ^匕^碳氣體作為上述反應氣體,藉此形成作為上述第2 層的奴氮化>5夕層; :上述形成絕_之步财,形成作為上述 化矽膜。 .如中請專圍第1項之半導體裝置之製造方法,其 :,於上述形成第2層之步驟中,對上述基板供給被熱或電 水所活性化之含氧氣體作為上述反應氣體,藉此形成作為上 述弟2層的氧碳氮⑽層、氧碳切層或氧化石夕層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氣化發膜、氧碳化矽膜或氧化矽層。 7.如申請專利範圍第1項之半導體裝置之製造方法,其 中於上述形成第2層之步驟中,對上述基板供給被熱所活 性化之合氧氣體作為上述反應氣體,藉此形成作為上述第2 層的氧碳氮化矽層或氧碳化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 100111962 97 201201277 氮化矽膜或氧碳化;e夕膜。 8.如申請專利範圍第1項之半導體裝置之製造方法,其 中’於上述形成第2層之步驟中’對上述基板供給被電衆所 活性化之含氧氣體作為上述反應氣體,藉此形成作為上述第 2層的氧化矽層或氧碳化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧化 石夕膜或氧碳化發膜。 9·如申請專利範圍帛1項之半導體裝置之製造方法,其 中’於上述形成第2層之步驟中,對上述基板供給被熱所活 f生化之$爛氣體作為上述反應氣體,藉此形成作為上述第2 層的硼碳氮化矽@ ; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的硼碳 氮化矽膜。 10.如申請專利範圍第丨項之半導體裝置之製造方法,其 中’於上述形成帛2層之步驟中,對上述基板供給被熱所活 性化之含碳氣體作為上述反應氣體,其後’供給被熱所活性 化之含氮氣體作為上述反應氣體,藉此形成作為上述第2 層的碳氮化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的碳氮 化矽膜。 11·如申請專利範圍第丨項之半導體裝置之製造方法,其 中’於上述形成帛2層之步驟中,對上述基板供給被熱所活 100111962 98 201201277 性化之含碳氣體作為上述反應氣體,其後,供給被熱所活性 化之含氧氣體作為上述反應氣體,藉此形成作為上述第2 層的氧碳氮化矽層; _ 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氮化矽膜。 12. 如申請專利範圍第1項之半導體裝置之製造方法,其 中,於上述形成第2層之步驟中,對上述基板供給被熱所活 性化之含硼氣體作為上述反應氣體,其後,供給被熱所活性 化之含氮氣體作為上述反應氣體,藉此形成作為上述第2 層的硼碳氮化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的硼碳 氮化矽膜。 13. 如申請專利範圍第1項之半導體裝置之製造方法,其 中,於上述形成第2層之步驟中,對上述基板供給被熱所活 性化之含氮氣體作為上述反應氣體,其後,供給被熱所活性 化之含氧氣體作為上述反應氣體,藉此形成作為上述第2 ^ 層的氧碳氮化矽層; _ 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氮化矽膜。 14. 如申請專利範圍第1項之半導體裝置之製造方法,其 中,於上述形成第2層之步驟中,對上述基板供給被熱所活 性化之含碳氣體作為上述反應氣體,其後,供給被熱所活性 100111962 99 201201277 化之含氮氣體作為上述反應氣體,其後,供給被熱所活性化 之含氧氣體作為上述反應氣體,藉此形成作為上述第2層的 氧碳氮化矽層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的氧碳 氮化矽膜。 15. 如申請專利範圍第1項之半導體裝置之製造方法,其 中’於上述形成第2層之步驟中,對上述基板供給被熱所活 性化之含碳氣體作為上述反應氣體,其後,供給被熱所活性 化之含硼氣體作為上述反應氣體,其後,供給被熱所活性化 之含氮氣體作為上述反應氣體,藉此形成作為上述第2層的 蝴碳氮化碎層; 於上述形成絕緣膜之步驟中,形成作為上述絕緣膜的硼碳 氮化矽膜。 16. —種半導體裝置之製造方法,其具有將下述步驟交互 進行既定次數,而於基板上形成既定組成及既定臈厚之絕緣 膜的步驟: 對處理室内之基板,供給氯矽烷系原料及胺基矽烷系原 料,藉此於上述基板上形成含有矽、氮及碳之第丨層的步 驟;與 對上述處理室内之上述基板’供給與上述各原料相異之反 應氣體,藉此使上述第!層改質,而形成第2層的步驟。 Π·—種基板處理方法,其具有將下述步驟交互進行既定 100111962 100 201201277 人數而於基板上形成定組成及既定膜厚之絕 驟: / 對處理至内之基板’供給氯雜彡原料及麟魏系原料 * 原、料’其後’供給另-原料’藉此於上述基板上形成 含有石夕、氮及碳之第1層的步驟;與 ,上述處理室内之上述基板,供給與上述各原料相異之反 應氣體’藉此使上述第i層改質,而形成第2層的步驟。 18.一種基板處理裝置,其具有: 收容基板之處理室; 對上述處理室内之基板供給氣魏系原料 給系統; 對上述處理至内之基板供給胺基⑪m料之第2原料 供給糸統; 對上述處理室内之基板供給與上述各·減之反應氣 體的反應氣體供給系統;以及 依交互進行既定錄之下錢理,藉級上絲板上形成 既定組成及既定膜厚之絕緣膜的方式,控制上述第μ料供 給系統、上述第2原料供給系統及±述反應氣體供給系統的 控制部.對上述處理室内之基板,供給上述氯⑪烧系原料及 - 上述胺基石夕烧系原料中之一原料,其後,供給另一原料,藉 此於上述基板上形成含有矽、氮及碳之第丨層的處理;與對 上述處理室内之上述基板,供給上述反應氣體,藉此使上述 第1層改質’而形成第2層的處理。 100111962 101
TW100111962A 2010-04-09 2011-04-07 半導體裝置之製造方法,基板處理方法及基板處理裝置 TWI441259B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010090549 2010-04-09

Publications (2)

Publication Number Publication Date
TW201201277A true TW201201277A (en) 2012-01-01
TWI441259B TWI441259B (zh) 2014-06-11

Family

ID=44762357

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100111962A TWI441259B (zh) 2010-04-09 2011-04-07 半導體裝置之製造方法,基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (3) US9018104B2 (zh)
JP (2) JP5374638B2 (zh)
KR (1) KR101366002B1 (zh)
TW (1) TWI441259B (zh)
WO (1) WO2011125395A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103325676A (zh) * 2012-03-21 2013-09-25 株式会社日立国际电气 半导体装置的制造方法、衬底处理方法、及衬底处理装置

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9123530B2 (en) 2011-03-23 2015-09-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6046351B2 (ja) * 2012-01-19 2016-12-14 日新電機株式会社 絶縁膜およびその製造方法
KR101628211B1 (ko) * 2011-10-14 2016-06-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5806612B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 シリコン酸炭窒化膜の形成方法
JP2013191770A (ja) * 2012-03-14 2013-09-26 Tokyo Electron Ltd 成膜装置の安定化方法及び成膜装置
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5758829B2 (ja) * 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
JP6025242B2 (ja) * 2012-03-30 2016-11-16 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
JP5959907B2 (ja) * 2012-04-12 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6007031B2 (ja) * 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022272B2 (ja) 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022273B2 (ja) * 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6068130B2 (ja) * 2012-12-25 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6129573B2 (ja) * 2013-02-13 2017-05-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6035166B2 (ja) * 2013-02-26 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6111097B2 (ja) * 2013-03-12 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6112928B2 (ja) * 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6111106B2 (ja) * 2013-03-19 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6254848B2 (ja) * 2014-01-10 2017-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5886366B2 (ja) * 2014-06-04 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP6176811B2 (ja) 2014-06-25 2017-08-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5886381B2 (ja) * 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI585230B (zh) * 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6523091B2 (ja) * 2015-07-24 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6055879B1 (ja) * 2015-08-05 2016-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2016034043A (ja) * 2015-11-25 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2017221808A1 (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 被処理体を処理する方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR102269343B1 (ko) * 2017-05-30 2021-06-28 주식회사 원익아이피에스 박막 증착 방법
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6452787B2 (ja) * 2017-11-15 2019-01-16 東京エレクトロン株式会社 シリコン酸炭窒化物膜の成膜方法および成膜装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220019047A (ko) * 2019-07-16 2022-02-15 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7273079B2 (ja) * 2021-02-15 2023-05-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラムおよび基板処理方法
JP7198854B2 (ja) * 2021-03-17 2023-01-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7436438B2 (ja) 2021-09-29 2024-02-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3819660B2 (ja) 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2007035740A (ja) * 2005-07-25 2007-02-08 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US7883746B2 (en) 2006-07-27 2011-02-08 Panasonic Corporation Insulating film formation method which exhibits improved thickness uniformity and improved composition uniformity
JP2008053683A (ja) 2006-07-27 2008-03-06 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置、および基板処理装置
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP4924437B2 (ja) 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5175924B2 (ja) * 2008-03-21 2013-04-03 株式会社アドバンテスト 試験装置、復調装置、試験方法、復調方法および電子デバイス
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP2013515376A (ja) * 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
JP5815669B2 (ja) * 2010-04-01 2015-11-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103325676A (zh) * 2012-03-21 2013-09-25 株式会社日立国际电气 半导体装置的制造方法、衬底处理方法、及衬底处理装置
CN103325676B (zh) * 2012-03-21 2016-08-31 株式会社日立国际电气 半导体装置的制造方法、衬底处理方法、及衬底处理装置
US9460916B2 (en) 2012-03-21 2016-10-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus

Also Published As

Publication number Publication date
JPWO2011125395A1 (ja) 2013-07-08
WO2011125395A1 (ja) 2011-10-13
JP2014030041A (ja) 2014-02-13
JP5693688B2 (ja) 2015-04-01
TWI441259B (zh) 2014-06-11
KR101366002B1 (ko) 2014-02-21
KR20120092672A (ko) 2012-08-21
JP5374638B2 (ja) 2013-12-25
US9018104B2 (en) 2015-04-28
US20150200092A1 (en) 2015-07-16
US20130052836A1 (en) 2013-02-28
US20150259795A1 (en) 2015-09-17
US9334567B2 (en) 2016-05-10
US9217199B2 (en) 2015-12-22

Similar Documents

Publication Publication Date Title
TW201201277A (en) Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5947417B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP4611414B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP5384291B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
TWI458017B (zh) 半導體裝置之製造方法、基板處理方法及基板處理裝置
CN104109846B (zh) 半导体器件的制造方法及衬底处理装置
KR101827620B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5723427B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
TW201126607A (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
TW201243950A (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
KR20160040101A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5955427B2 (ja) 半導体装置の製造方法及び基板処理装置