KR950012620A - 기판처리장치 및 기판처리방법 - Google Patents

기판처리장치 및 기판처리방법 Download PDF

Info

Publication number
KR950012620A
KR950012620A KR1019940028108A KR19940028108A KR950012620A KR 950012620 A KR950012620 A KR 950012620A KR 1019940028108 A KR1019940028108 A KR 1019940028108A KR 19940028108 A KR19940028108 A KR 19940028108A KR 950012620 A KR950012620 A KR 950012620A
Authority
KR
South Korea
Prior art keywords
processed
coating
heat treatment
treatment
moving
Prior art date
Application number
KR1019940028108A
Other languages
English (en)
Other versions
KR100272188B1 (ko
Inventor
야스노리 가와카미
다카히데 후쿠다
아키히로 후지모토
다카시 다케쿠마
미쓰히로 난부
나루아키 이이다
히데아키 고토
마사노리 다테야마
유지 요시모토
도모코 이시모토
히데타미 야스에가시
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤
다카시마 히로시
도오교오 에레구토론 큐우슈우 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP05294479A external-priority patent/JP3118681B2/ja
Priority claimed from JP30096093A external-priority patent/JP3240449B2/ja
Priority claimed from JP30713393A external-priority patent/JP2920462B2/ja
Priority claimed from JP30746793A external-priority patent/JP3066519B2/ja
Priority claimed from JP30746893A external-priority patent/JP2984969B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤, 다카시마 히로시, 도오교오 에레구토론 큐우슈우 가부시끼가이샤 filed Critical 이노우에 아키라
Publication of KR950012620A publication Critical patent/KR950012620A/ko
Application granted granted Critical
Publication of KR100272188B1 publication Critical patent/KR100272188B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 낱장처리에 의하여 피처리체에 처리액을 도포하는 도포처리부로부터 피처리체를 피처리체 유지부재로 옮기는 제1의 이송수단 및 복수의 피처리체 유지부재르 부착 및 이탈가능하게 얹어놓음과 함께 복수의 피처리체 유지수단을 동시에 이동하는 이동수단을 가지는 인터페이스부와, 이동수단에 얹어놓인 피처리체 유지수단과, 도포처리가 행해진 복수의 피처리체에 배치처리체 의하여 열처리를 행하는 열처리부에 옮기는 제2의 이송수단을 가지는 열처리부를 구비하는 기판처리장치를 제공한다.

Description

기판처리장치 및 기판처리방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도 및 제2도는 본 발명의 기판처리장치의 일실시예를 나타내는 개략도.
제3도 및 제4도는 제1도에 나타낸 기판처리장치에 따른 인터페이스부를 나타내는 도면.

Claims (48)

  1. 낱장처리에 의하여 피처리체에 처리액을 도포하는 도포처리부와, 도포처리가 실시된 복수의 상기 피처리체에 배치처리에 의하여 열처리를 실시하는 열처리부와, 상기 도포처리부와 상기 열처리부의 사이에서 상기 피처리체를 반송하는 인터페이스부를 구비하고, 상기 인터페이스는 상기 도포처리부로부터 상기 피처리체를 피처리체 유지부재로 옮기는 제1의 이송수단, 및 복수의 상기 피처리체 유지부재를 부착 및 이탈가능하게 얹어놓음과 함께 복수의 상기 피처리체 유지수단을 동시에 이동하는 이동수단을 가지고, 상기 열처리부는 상기 피처리체 유지수단에 얹어놓인 상기 피처리체를 상기 열처리부로 옮기는 제2의 이송수단을 가지는 기판처리장치.
  2. 제1항에 있어서, 상기 이동수단에, 더미용 피처리체를 수용하는 더미용 피처리체 유지수단이 얹어놓이는 장치.
  3. 제1항에 있어서, 상기 인터페이스부에 n개의 상기 피처리체유지수단을 배열설치할 때에, 상기 도포처리부로 상기 피처리체를 공급하는 피처리체 공급부에, n-1개의 상기 피처리체 유지수단이 보유하는 매수의 상기 피처리체가 구비되는장치.
  4. 제1항에 있어서, 상기 피처리체 유지수단에는 그의 중앙영역에 상기 피처리체가 얹어놓이고, 상기 중앙영역을 사이에 둔 영역에 상기 더미용 피처리체가 얹어놓이며, 보유가능한 최대매수의 상기 피처리체 및 상기 더미용 피처리체가 얹어놓이는 장치.
  5. 제1항에 있어서, 상기 제1의 이송수단은, 상기 이동수단의 길이방향을 따라서 상기 인터페이스부에 착설된 가이드 레일과, 상기 가이드 레일을 따라서 이동가능한 이동체와, 상기 이동체를 얹어놓고 회전축을 따라서 승강가능한 승강대와, 상기 승강대상에 착설되며, 상기 회전축의 주위로 회전가능한 반송기대와, 상기 반송기대상에 착설되며, 수평면상으로 신축이동이 가능한 아암을 구비하고 있는 장치.
  6. 제5항에 있어서, 상기 반송기대는 발광부 및 수광부를 가지는 광학적 센서를 구비하고 있으며, 상기 피처리체 유지수단에 수용된 상기 피처리체를 검출하는 장치.
  7. 제6항에 있어서, 상기 광학적 센서는, 상기 아암과 독립하여 전진 및 후퇴가 자유로운 장치.
  8. 제1항에 있어서, 상기 도포처리부는, 상기 피처리기판상에 SOG액을 도포하는 낱장식의 도포처리를 행하는 도포장치를 구비하고 있는 장치.
  9. 제1항에 있어서, 상기 이동수단은, 상기 열처리부의 상기 피처리체 유지수단을 반입하는 영역을 통하도록 상기 인터페이스부에 설치된 리니어 가이드와, 상기 리니어 가이드에 미끄럼운동 가능하게 장착된 스테이지와, 상기 스테이지에 착설되어 있으며, 상기 스테이지를 상기 리니어 가이드를 따라서 이동가능한 구동수단과, 상기 스테이지상에 고정착설되며, 상기 피처리체 유지수단을 얹어놓기 위한 고정수단을 갖추고 있는 장치.
  10. 제1항에 있어서, 상기 열처리부는 배치식 종형 열처리장치를 갖추고 있는 장치.
  11. 제10항에 있어서, 상기 배치식 종형 열처리장치는 상기 도포처리부에서 SOG막이 형성된 상기 피처리기판에 SOG를 큐어시키기 위한 처리를 행하는 장치인 장치.
  12. 제1항에 있어서, 상기 인터페이스부는, 제습된 청정공기를 공급하여 양압생태를 형성하느 청정공기 공급수단을 구비하고 있는 장치.
  13. 제12항에 있어서, 상기 인터페이스부는, 상기 청정공기 공급수단으로부터의 청정공기를 배기하는 배기수단과, 상기 배기수단에 연이어 통하고 있는 개폐가능한 문과, 상기 문이 열린 때에 상기 배기수단의 동작을 그치는 배기제어수단을 구비하고 있는 장치.
  14. 낱장처리에 의하여 피처리체에 처리액을 도포하는 도포처리부와, 도포처리가 실시된 복수의 상기 피처리체에 배치처리에 의하여 열처리를 실시하는 열처리부와, 상기 도포처리부와 상기 열처리부의 사이에서 상기 피처리체를 반송하는 인터페이스부를 구비하고, 상기 인터페이스부는, 상기 도포처리부로부터 상기 피처리체를 피처리체 유지부재로 옮기는 제1의 이동수단, 및 복수의 상기 피처리체 유지부재를 부착 및 이탈 가능하게 얹어놓음과 함께 복수의 상기 피처리체 유지수단을 동시에 이동하는 이동수단을 가지며, 상기 열처리부는 상기 이동수단에 얹어놓인 상기 피처리체 유지수단을 상기 열처리부로 옮기는 제2의 이송수단을 가지며, 상기 이동수단은, 상기 도포처리부에 대한 상기 제1의 이송수단의 상기 피처리체의 받아넘김시에 이동하지 않고, 상기 열처리부에 대한 상기 제2의 이송수단의 상기 피처리체 유지수단의 받아넘김시에 이동하는 기판처리장치.
  15. 제14항에 있어서, 상기 이동수단에, 더미용 피처리체를 수용하는 더미용 피처리체 유지수단이 얹어놓인 장치.
  16. 제14항에 있어서, 상기 인터페이스부에 n개의 상기 피처리체 유지수단을 배열설치할 때에, 상기 도포처리부로 상기 피처리체를 공급하는 피처리체 공급부에, n-1개의 상기 피처리체 유지수단이 보유하는 매수의 상기 피처리체가 구비되는 장치.
  17. 제14항에 있어서, 상기 피처리체 유지수단에는 그의 중앙영역에 상기 피처리체가 얹어놓이고, 상기 중앙영역을 사이에 둔 영역에 상기 더미용 피처리체가 얹어놓이며, 보유가능한 최대매수의 상기 피처리체 및 상기 더미용 피처리체가 얹어놓이는 장치.
  18. 제14항에 있어서, 상기 제1의 이동수단은, 상기 이동수단의 길이 방향을 따라서 상기 인터페이스부에 착설된 가이드 레일과, 상기 가이드 레일을 따라서 이동가능한 이동체와, 상기 이동체를 얹어놓고 회전축을 따라서 승강가능한 승강대와, 상기 승강대상에 착설되며, 상기 회전축의 주위로 회전가능한 반송기대와, 상기 반송기대상에 착설되며, 수평면상으로 신축이동이 가능한 아암을 구비하고 있는 장치.
  19. 제18항에 있어서, 상기 반송기대는, 발광부 및 수광부를 가지는 광학적 센서를 구비하고 있으며, 상기 피처리체 유지수단에 수용된 상기 피처리체를 검출하는 장치.
  20. 제19항에 있어서, 상기 광학적 센서는, 상기 아암과 독립하여 전진 및 후퇴가 자유로운 장치.
  21. 제14항에 있어서, 상기 도포처리부는 상기 피처리기판상에 SOG액을 도포하는 낱장식의 도포처리를 행하는 도포장치를 구비하고 있는 장치.
  22. 제14항에 있어서, 상기 이동수단은, 상기 열처리부의 상기 피처리체 유지수단을 반입하는 영역을 통하도록 상기 인터페이스부에 설치된 리니어 가이드와, 상기 리니어 가이드에 미끄럼 운동 가능하게 장착된 스테이지와 상기 스테이지에 착설되어 있으며, 상기 스테이지를 상기 리니어 가이드를 따라서 이동가능한 구동수단과, 상기 스테이지상에 고정착설되며, 상기 피처리체 유지수단을 얹어놓기 위한 고정수단을 갖추고 있는 장치.
  23. 제14항에 있어서, 상기 열처리부는 배치식 종형 열처리장치를 갖추고 있는 장치.
  24. 제23항에 있어서, 상기 배치식 종형 열처리장치는, 상기 도포처리부에서 SOG막이 형성된 상기 피처리기판에 SOG를 큐어시키기 위한 처리를 행하는 장치인 장치.
  25. 제14항에 있어서, 상기 인터페이스부는, 제습된 청정공기를 공급하여 양압상태를 형성하는 청정공기 공급수단을 구비하고 있는 장치.
  26. 제25항에 있어서, 상기 인터페이스부는, 상기 청정공기 공급수단으로부터의 청정공기를 배기하는 배기수단과, 상기 배기수단에 연이어 통하고 있는 개폐가능한 문과, 상기 문이 열린 때에 상기 배기수단의 동작을 그치는 배기제어수단을 구비하고 있는 장치.
  27. 피처리체 공급부로부터 도포처리부로 피처리체를 반송하여 상기 피처리체에 낱장처리에 의하여 도포처리를 행하는 공정과, 상기 도포처리가 시행한 피처리체를 인터페이스부에서 피처리체 유지수단으로 반입하는 공정과, 상기 피처리체를 수용하는 상기 피처리체 유지수단을 열처리부로 반송하여 상기 피처리체에 열처리를 행하는 공정을 구비하는 기판처리방법.
  28. 제27항에 있어서, 상기 피처리체 유지수단에, 더미용 피처리체를 수용하는 더미용 피처리체 유지수단으로부터 상기 더미용 피처리체를 반입하는 공정을 더욱 구비하는 방법.
  29. 제27항에 있어서, 상기 피처리체에 도포처리가 행해지기 전에 상기 피처리체가 소정의 온도로 냉각되는 방법.
  30. 제27항에 있어서, 상기 도포처리가 행해진 상기 피처리체에 프리베이크 처리가 행해지는 방법.
  31. 제27항에 있어서, 상기 도포처리는 상기 피처리체에 SOG액을 도포하는 방법.
  32. 제31항에 있어서, 상기 SOG액은 무기 SOG액 또는 유기 SOG액인 방법.
  33. 제27항에 있어서, 상기 인터페이스부에서, 상기 피처리체 유지수단에 상기 피처리체가 수용되어 있는 지의 여부를 검출하는 공정을 더욱 구비하는 방법.
  34. 제27항에 있어서, 상기 도포처리부에 대한 상기 피처리체의 받아넘김시에 상기 피처리체 유지수단의 위치를 이동시키지 않고, 상기 열처리부에 대한 상기 피처리체 유지수단의 받아넘김시에 상기 피처리체 유지수단의 위치를 이동시키는 방법.
  35. 피처리체 공급부로부터 도포처리부로 피처리체를 반송하여 상기 피처리체에 낱장처리에 의하여 제1의 도포처리를 행하는 공정과, 상기 제1의 도포처리가 행해진 피처리체를 인터페이스부에서 피처리체 유지수단으로 반입하는 공정과, 상기 피처리체를 수용하는 상기 피처리체 유지수단을 열처리부로 반송하여 상기 피처리체에 제1의 열처리를 행하는 공정과, 상기 제1의 열처리가 행해진 피처리체에, 상기 도포처리부에서 제2의 도포처리를 행하고, 상기 제2의 도포처리가 행해진 피처리체에 상기 열처리부에서 제2의 열처리를 행하는 공정을 적어도 1회 반복하는 공정을 구비하는 기판처리방법.
  36. 제35항에 있어서, 상기 피처리체 유지수단에, 더미용 피처리체를 수용하는 더미용 피처리체 유지수단으로부터 상기 더미용 피처리체를 반입하는 공정을 더욱 구비하는 방법.
  37. 제35항에 있어서, 상기 피처리체에 상기 제1및 제2의 도포처리가 행해지기 전에 상기 피처리체가 소정의 온도로 냉각되는 방법.
  38. 제35항에 있어서, 상기 제1 및 제2의 도포처리가 행해지기 전에 상기 피처리체에 프리베이크 처리가 행해지는 방법.
  39. 제35항에 있어서, 상기 도포처리는, 상기 피처리체에 SOG막을 도포하는 처리인 방법.
  40. 제39항에 있어서, 상기 SOG액은 무기 SOG액 또는 유기 SOG액인 방법.
  41. 제35항에 있어서, 상기 인터페이스부에, 상기 피처리체 유지수단으로 상기 피처리체가 수용되어 있는 지의 여부를 검출하는 공정이 더욱 구비된 방법.
  42. 피처리체 공급부로부터 도포처리부로 피처리체를 반송하여 상기 피처리체에 낱장처리에 의하여 제1의 도포처리를 행하는 공정과, 상기 제1의 도포처리가 행해진 피처리체에, 상기 도포처리부에서 제2의 도포처리를 행하는 공정을 적어도 1회 반복하는 공정과, 상기 제1 및 제2의 도포처리가 행해진 피처리체를 인터페이스부에서 피처리체 유지수단으로 반입하는 공정과, 상기 피처리체를 수용하는 상기 피처리체 유지수단을 열처리부로 반송하여 상기 피처리체에 열처리를 행하는 공정을 구비하는 기판처리방법.
  43. 제42항에 있어서, 상기 피처리체 유지수단에, 더미용 피처리체를 수용하는 더미용 피처리체 유지수단으로부터 상기 더미용 피처리체를 반입하는 공정을 더욱 구비하는 방법.
  44. 제42항에 있어서, 상기 피처리체에 상기 제1 및 제2의 도포처리가 행해지기 전에 상기 피처리체가 소정의 온도로 냉각되는 방법.
  45. 제42항에 있어서, 상기 제1및 제2의 도포처리가 행해지기 전에 상기 피처리체에 프리베이크 처리가 행해지는 방법.
  46. 제42항에 있어서, 상기 도포처리는, 상기 피처리체에 SOG막을 도포하는 처리인 방법.
  47. 제46항에 있어서, 상기 SOG액은 무기 SOG액 또는 유기 SOG액인 방법.
  48. 제42항에 있어서, 상기 인터페이스부에, 상기 피처리체 유지수단으로 상기 피처리체가 수용되어 있는 지의 여부를 검출하는 공정이 더욱 구비된 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940028108A 1993-10-29 1994-10-29 기판 처리장치 및 기판 처리방법 KR100272188B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP93-294479 1993-10-29
JP05294479A JP3118681B2 (ja) 1993-10-29 1993-10-29 処理装置及び処理方法
JP93-300960 1993-11-05
JP30096093A JP3240449B2 (ja) 1993-11-05 1993-11-05 処理装置
JP93-307133 1993-11-12
JP30713393A JP2920462B2 (ja) 1993-11-12 1993-11-12 処理装置及び処理方法
JP30746793A JP3066519B2 (ja) 1993-11-12 1993-11-12 処理システム及び処理方法
JP93-307468 1993-11-12
JP30746893A JP2984969B2 (ja) 1993-11-12 1993-11-12 処理システム
JP93-307467 1993-11-12

Publications (2)

Publication Number Publication Date
KR950012620A true KR950012620A (ko) 1995-05-16
KR100272188B1 KR100272188B1 (ko) 2000-12-01

Family

ID=27530851

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940028108A KR100272188B1 (ko) 1993-10-29 1994-10-29 기판 처리장치 및 기판 처리방법

Country Status (3)

Country Link
US (3) US5565034A (ko)
KR (1) KR100272188B1 (ko)
TW (1) TW320741B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040043312A (ko) * 2002-11-18 2004-05-24 주식회사 청솔식품 밀감을이용한 조청 및 그 제조방법
KR100427163B1 (ko) * 1997-06-11 2004-07-07 동경 엘렉트론 주식회사 처리시스템
KR100456711B1 (ko) * 1996-10-31 2005-01-15 동경 엘렉트론 주식회사 열처리장치
KR100713808B1 (ko) * 2005-06-08 2007-05-02 삼성전자주식회사 대상물을 직선 이동시키는 직선 이동 어셈블리 및 이를구비하는 반도체 웨이퍼 매핑 장치

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297258A (ja) * 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
US5711809A (en) * 1995-04-19 1998-01-27 Tokyo Electron Limited Coating apparatus and method of controlling the same
US5902399A (en) * 1995-07-27 1999-05-11 Micron Technology, Inc. Method and apparatus for improved coating of a semiconductor wafer
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5790069A (en) * 1995-10-06 1998-08-04 Imation Corp. Thermal Processor with air flow preventing structure
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
JP3380663B2 (ja) * 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 基板処理装置
TW317644B (ko) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US5769945A (en) * 1996-06-21 1998-06-23 Micron Technology, Inc. Spin coating bowl exhaust system
US5985031A (en) 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US5861061A (en) * 1996-06-21 1999-01-19 Micron Technology, Inc. Spin coating bowl
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US5759273A (en) * 1996-07-16 1998-06-02 Micron Technology, Inc. Cross-section sample staining tool
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
KR100203782B1 (ko) * 1996-09-05 1999-06-15 윤종용 반도체 웨이퍼 열처리장치
JP3360001B2 (ja) * 1996-10-30 2002-12-24 芝浦メカトロニクス株式会社 処理装置
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
DE69738910D1 (de) 1996-11-28 2008-09-25 Nikon Corp Ausrichtvorrichtung und belichtungsverfahren
EP0849774B1 (en) * 1996-12-19 2004-12-15 Texas Instruments Incorporated System and method for delivering a spin-on-glass on a substrate
KR100249309B1 (ko) * 1997-02-28 2000-03-15 윤종용 반도체 제조용 포토 레지스트 코팅 장치
GB2322693B (en) * 1997-02-28 2001-01-24 W T Shipping Ltd Packaging of commodities
US6103133A (en) * 1997-03-19 2000-08-15 Kabushiki Kaisha Toshiba Manufacturing method of a diamond emitter vacuum micro device
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US5905656A (en) * 1997-04-18 1999-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for dispensing a liquid
DE19721689C2 (de) * 1997-05-23 1999-06-10 Steag Hama Tech Gmbh Machines Vorrichtung zum Trocknen von Substraten
US6024249A (en) * 1997-06-27 2000-02-15 Taiwan Semiconductor Manufacturing Company Fluid delivery system using an optical sensor to monitor for gas bubbles
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6125689A (en) * 1997-08-15 2000-10-03 Graves' Trust Group Non-destructive semiconductor wafer test system
JP3500050B2 (ja) 1997-09-08 2004-02-23 東京エレクトロン株式会社 不純物除去装置、膜形成方法及び膜形成システム
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6274516B1 (en) * 1997-10-27 2001-08-14 Canon Kabushiki Kaisha Process for manufacturing interlayer insulating film and display apparatus using this film and its manufacturing method
US6183358B1 (en) * 1997-11-17 2001-02-06 Texas Instruments Incorporated Isolated multilevel fabricating facility with two way clean tunnel transport system with each tool having adjacent support skid
JP3264879B2 (ja) * 1997-11-28 2002-03-11 東京エレクトロン株式会社 基板処理システム、インターフェイス装置、および基板搬送方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
TW463028B (en) * 1998-04-21 2001-11-11 Hitachi Shipbuilding Eng Co Working robot for heat exchangers and operating method thereof
TW410415B (en) * 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3445937B2 (ja) * 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
US6572457B2 (en) 1998-09-09 2003-06-03 Applied Surface Technologies System and method for controlling humidity in a cryogenic aerosol spray cleaning system
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US7425250B2 (en) 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6464571B2 (en) * 1998-12-01 2002-10-15 Nutool, Inc. Polishing apparatus and method with belt drive system adapted to extend the lifetime of a refreshing polishing belt provided therein
US6119673A (en) * 1998-12-02 2000-09-19 Tokyo Seimitsu Co., Ltd. Wafer retrieval method in multiple slicing wire saw
US6533531B1 (en) * 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6656273B1 (en) 1999-06-16 2003-12-02 Tokyo Electron Limited Film forming method and film forming system
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6204551B1 (en) * 1999-08-16 2001-03-20 Winbond Electronics Corp. Modified SOG coater's hot plate to improve SOG film quality
US6355105B1 (en) * 1999-10-12 2002-03-12 United Microelectronics Corp. Protecting photoresist coating system by photochopper sensor
KR100307825B1 (ko) * 1999-10-23 2001-11-02 윤종용 웨이퍼 예비정렬 스테이지에 사용되는 웨이퍼 온도 제어장치
US6679755B1 (en) 1999-12-09 2004-01-20 Applied Materials Inc. Chemical mechanical planarization system
SG106599A1 (en) * 2000-02-01 2004-10-29 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP3706294B2 (ja) * 2000-03-27 2005-10-12 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
KR100326432B1 (ko) * 2000-05-29 2002-02-28 윤종용 웨이퍼 스테이지용 에어 샤워
JP2001351848A (ja) * 2000-06-07 2001-12-21 Tokyo Electron Ltd 基板処理システム及び基板処理方法
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP3587776B2 (ja) * 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
US6690993B2 (en) * 2000-10-12 2004-02-10 R. Foulke Development Company, Llc Reticle storage system
JP4100466B2 (ja) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 液処理装置
SG2009086778A (en) * 2000-12-28 2016-11-29 Semiconductor Energy Lab Co Ltd Luminescent device
CN101397649B (zh) 2001-02-01 2011-12-28 株式会社半导体能源研究所 能够将有机化合物沉积在衬底上的装置
KR100396468B1 (ko) * 2001-05-17 2003-09-02 삼성전자주식회사 공기 샘플링 캐리어와 공기 분석장치 및 방법
US8796589B2 (en) * 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
JP2003027280A (ja) * 2001-07-18 2003-01-29 Ebara Corp めっき装置
US20030051364A1 (en) * 2001-08-14 2003-03-20 Bernardo Donoso Method and apparatus for positioning a wafer chuck
US6878401B2 (en) * 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
US6811613B2 (en) * 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
KR100454242B1 (ko) * 2001-12-28 2004-10-26 한국디엔에스 주식회사 웨이퍼 건조 방법
JP3888620B2 (ja) * 2002-01-22 2007-03-07 東京エレクトロン株式会社 基板搬送装置における基板の受け渡し位置検知方法及びその教示装置
US20030164378A1 (en) * 2002-02-01 2003-09-04 Simson Anton K. Beverage container unloading and dispensing apparatus
JP2003273020A (ja) * 2002-03-14 2003-09-26 Hitachi Kokusai Electric Inc 基板処理方法
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
JP4570008B2 (ja) * 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
KR100481176B1 (ko) * 2002-08-20 2005-04-07 삼성전자주식회사 기포검출장치가 장착된 웨트 크리닝 설비
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US20050095110A1 (en) * 2002-08-31 2005-05-05 Lowrance Robert B. Method and apparatus for unloading substrate carriers from substrate carrier transport system
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7258520B2 (en) * 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
KR101050632B1 (ko) * 2002-08-31 2011-07-19 어플라이드 머티어리얼스, 인코포레이티드 도어 래칭 및 기판 클램핑 매커니즘들을 갖는 기판 캐리어
US7506746B2 (en) * 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US20040081546A1 (en) 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4276440B2 (ja) * 2003-01-06 2009-06-10 東京エレクトロン株式会社 基板検出方法及び装置並びに基板処理装置
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7077264B2 (en) * 2003-01-27 2006-07-18 Applied Material, Inc. Methods and apparatus for transporting substrate carriers
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US20090308030A1 (en) * 2003-01-27 2009-12-17 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
JP4468021B2 (ja) * 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
JP3988676B2 (ja) * 2003-05-01 2007-10-10 セイコーエプソン株式会社 塗布装置、薄膜の形成方法、薄膜形成装置及び半導体装置の製造方法
JP4137711B2 (ja) * 2003-06-16 2008-08-20 東京エレクトロン株式会社 基板処理装置及び基板搬送手段の位置合わせ方法
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US6869866B1 (en) * 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
KR20060095951A (ko) 2003-09-25 2006-09-05 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판의 제조 방법
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
KR100810429B1 (ko) 2003-12-31 2008-03-04 동부일렉트로닉스 주식회사 커튼 립 체크 시스템 및방법
US7648622B2 (en) 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
JP4358690B2 (ja) * 2004-06-30 2009-11-04 東京エレクトロン株式会社 縦型熱処理装置及びその運用方法
TW200633033A (en) * 2004-08-23 2006-09-16 Koninkl Philips Electronics Nv Hot source cleaning system
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
KR20060056709A (ko) * 2004-11-22 2006-05-25 삼성전자주식회사 도어 입구에 에어 커튼을 가지는 반도체 제조 장비
JP2006173378A (ja) * 2004-12-16 2006-06-29 Dainippon Screen Mfg Co Ltd 基板処理装置及び基板処理方法
JP2006239604A (ja) * 2005-03-04 2006-09-14 Sprout Co Ltd 基板洗浄装置およびその洗浄方法
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
JP4892225B2 (ja) 2005-10-28 2012-03-07 株式会社日立ハイテクノロジーズ 真空処理方法、真空搬送装置および半導体処理装置
JP4688637B2 (ja) * 2005-10-28 2011-05-25 東京エレクトロン株式会社 基板処理装置及びバッチ編成装置並びにバッチ編成方法及びバッチ編成プログラム
US20070146442A1 (en) * 2005-11-14 2007-06-28 Mydata Automation Ab System, assembly and method for jetting viscous medium onto a substrate
US8147301B2 (en) * 2006-01-19 2012-04-03 Ray Ghattas Air handling system for clean room
US20070215049A1 (en) * 2006-03-14 2007-09-20 Applied Materials, Inc. Transfer of wafers with edge grip
JP4878202B2 (ja) * 2006-04-26 2012-02-15 東京エレクトロン株式会社 膜位置調整方法、記憶媒体及び基板処理システム
US20070258796A1 (en) * 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers
JP2007311408A (ja) * 2006-05-16 2007-11-29 Toshiba Corp 基板処理装置及び基板処理方法
KR100826269B1 (ko) * 2006-06-13 2008-04-29 삼성전기주식회사 복합 소성로 및 이에 채용되는 승하강 장치
JP2008000676A (ja) * 2006-06-22 2008-01-10 Seiko Epson Corp スピンコート装置および反射防止層用組成物のコーティング方法
KR100772846B1 (ko) * 2006-08-30 2007-11-02 삼성전자주식회사 반도체 소자 제조를 위한 종형 확산로의 웨이퍼 검출장치와방법
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
JP2008155110A (ja) * 2006-12-22 2008-07-10 Espec Corp 熱媒体供給装置及び温度調節機器
US20080285616A1 (en) * 2006-12-22 2008-11-20 Espec Corp. System for testing the durability of objects under thermally hard circumstances
IL180875A0 (en) * 2007-01-22 2007-07-04 Ricor Ltd Gas purge method and apparatus
US20080181758A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Microfeature workpiece transfer devices with rotational orientation sensors, and associated systems and methods
JP4365430B2 (ja) * 2007-02-14 2009-11-18 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
US7922485B2 (en) * 2007-02-14 2011-04-12 Tokyo Electron Limited Vertical type heat processing apparatus and vertical type heat processing method
US7643897B2 (en) * 2007-06-07 2010-01-05 United Microelectronics Corp. Method for automatically checking sequence of loading boats and batches for semiconductor manufacturing process
US20080310939A1 (en) * 2007-06-15 2008-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for use in a lithography tool
US8099190B2 (en) * 2007-06-22 2012-01-17 Asm International N.V. Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
WO2009028595A1 (ja) * 2007-08-31 2009-03-05 Canon Anelva Corporation 基板処理装置
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US7900579B2 (en) * 2007-09-26 2011-03-08 Tokyo Electron Limited Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
KR101528186B1 (ko) 2007-10-22 2015-06-16 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어를 운반하기 위한 방법 및 장치
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
TWI372717B (en) * 2007-12-14 2012-09-21 Prime View Int Co Ltd Apparatus for transferring substrate
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5439097B2 (ja) * 2009-09-08 2014-03-12 東京応化工業株式会社 塗布装置及び塗布方法
JP5469966B2 (ja) * 2009-09-08 2014-04-16 東京応化工業株式会社 塗布装置及び塗布方法
JP5639816B2 (ja) * 2009-09-08 2014-12-10 東京応化工業株式会社 塗布方法及び塗布装置
JP5719546B2 (ja) * 2009-09-08 2015-05-20 東京応化工業株式会社 塗布装置及び塗布方法
DE102010019776B4 (de) * 2010-05-07 2015-07-02 Thermo Electron Led Gmbh Klimaschrank mit mehreren Ein- und Ausgabestationen
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
US20120306139A1 (en) 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing system holder
WO2013065771A1 (ja) * 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
DE102012100825A1 (de) 2011-12-01 2013-06-06 solar-semi GmbH Vorrichtung zum Bearbeiten eines Substrats und Verfahren hierzu
JP5557061B2 (ja) * 2012-01-04 2014-07-23 株式会社ダイフク 物品保管設備
JP5609896B2 (ja) * 2012-01-13 2014-10-22 株式会社安川電機 搬送システム
JP5965729B2 (ja) * 2012-05-31 2016-08-10 東京エレクトロン株式会社 ノズル洗浄装置、ノズル洗浄方法および基板処理装置
JP6098217B2 (ja) * 2013-02-20 2017-03-22 株式会社村田製作所 回路基板およびその製造方法
KR102069189B1 (ko) * 2013-06-17 2020-01-23 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US10446390B2 (en) * 2013-06-28 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dispensing liquid spin-on glass (SOG) onto semiconductor wafers
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
CN103661527B (zh) * 2013-11-29 2016-03-02 北京七星华创电子股份有限公司 半导体扩散设备中石英反应管的装卸装置及其装卸方法
US10074547B2 (en) * 2013-12-19 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist nozzle device and photoresist supply system
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US20170160012A1 (en) * 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
JP6429573B2 (ja) * 2014-10-03 2018-11-28 キヤノン株式会社 インプリント装置、インプリント方法および物品製造方法
JP6322131B2 (ja) * 2014-12-24 2018-05-09 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
US10553421B2 (en) * 2015-05-15 2020-02-04 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
JP6462559B2 (ja) * 2015-05-15 2019-01-30 東京エレクトロン株式会社 基板処理装置
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
JP6680895B2 (ja) * 2016-09-21 2020-04-15 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR20180078419A (ko) * 2016-12-29 2018-07-10 삼성전자주식회사 캐리어
WO2018150536A1 (ja) * 2017-02-17 2018-08-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN110945638B (zh) 2017-07-28 2023-04-04 株式会社国际电气 半导体器件的制造方法、基板处理装置及记录介质
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
EP3476973A1 (en) * 2017-10-25 2019-05-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process chamber and method for purging the same
US11433420B2 (en) * 2017-12-12 2022-09-06 Tokyo Electron Limited Solution supply apparatus and solution supply method
CN207793418U (zh) * 2018-01-30 2018-08-31 北京铂阳顶荣光伏科技有限公司 一种镀膜设备
JP6963179B2 (ja) * 2018-03-15 2021-11-05 シンフォニアテクノロジー株式会社 Efem
CN109794386B (zh) * 2019-01-24 2021-03-26 佛山隆深机器人有限公司 一种智能化多功能自动喷漆打磨生产线
US12009241B2 (en) * 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
JP7321052B2 (ja) * 2019-10-17 2023-08-04 東京エレクトロン株式会社 基板処理装置および装置洗浄方法
JP2022002255A (ja) * 2020-06-19 2022-01-06 東京エレクトロン株式会社 収納モジュール、基板処理システムおよび消耗部材の搬送方法
CN113187741B (zh) * 2021-04-29 2022-12-02 长鑫存储技术有限公司 液体回吸系统及回吸方法
KR20220158515A (ko) * 2021-05-24 2022-12-01 에이디알씨 주식회사 스프레이 코터 및 이를 이용하여 제조된 박막 트랜지스터
US20230197463A1 (en) * 2021-12-21 2023-06-22 Canon Kabushiki Kaisha Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4416930A (en) * 1980-11-24 1983-11-22 Ppg Industries, Inc. Treating glass sheets to heal vents that result in breakage during thermal treatment
US4731293A (en) * 1986-06-20 1988-03-15 American Telephone And Telegraph Company, At&T Bell Laboratories Fabrication of devices using phosphorus glasses
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4877123A (en) * 1987-11-02 1989-10-31 Ichiro Fukuwatari Conveyor means for wafers
US4826709A (en) * 1988-02-29 1989-05-02 American Telephone And Telegraph Company At&T Bell Laboratories Devices involving silicon glasses
US5143552A (en) * 1988-03-09 1992-09-01 Tokyo Electron Limited Coating equipment
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5120580A (en) * 1989-07-07 1992-06-09 Lanxide Technology Company, Lp Methods of producing ceramic and ceramic composite bodies
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JP3162704B2 (ja) * 1990-11-28 2001-05-08 東京エレクトロン株式会社 処理装置
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP2791251B2 (ja) * 1992-07-30 1998-08-27 三菱電機株式会社 半導体処理装置及び方法並びに半導体処理装置モジュール
NL9201825A (nl) * 1992-10-21 1994-05-16 Od & Me Bv Inrichting voor het vervaardigen van een matrijs voor een schijfvormige registratiedrager.
US5371046A (en) * 1993-07-22 1994-12-06 Taiwan Semiconductor Manufacturing Company Method to solve sog non-uniformity in the VLSI process

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100456711B1 (ko) * 1996-10-31 2005-01-15 동경 엘렉트론 주식회사 열처리장치
KR100427163B1 (ko) * 1997-06-11 2004-07-07 동경 엘렉트론 주식회사 처리시스템
KR20040043312A (ko) * 2002-11-18 2004-05-24 주식회사 청솔식품 밀감을이용한 조청 및 그 제조방법
KR100713808B1 (ko) * 2005-06-08 2007-05-02 삼성전자주식회사 대상물을 직선 이동시키는 직선 이동 어셈블리 및 이를구비하는 반도체 웨이퍼 매핑 장치

Also Published As

Publication number Publication date
US6054181A (en) 2000-04-25
US5565034A (en) 1996-10-15
TW320741B (ko) 1997-11-21
US5725664A (en) 1998-03-10
KR100272188B1 (ko) 2000-12-01

Similar Documents

Publication Publication Date Title
KR950012620A (ko) 기판처리장치 및 기판처리방법
TW357414B (en) Method and apparatus for heat-treating substrates
US20200335383A1 (en) Micro device transferring apparatus and method for transferring micro device
BR0001565B1 (pt) processo de posicionamento de elementos em placa na estação de introdução de uma máquina de tratamento, e dispositivo para a aplicação do processo.
DE59000868D1 (de) Hubtisch und transportverfahren.
CA2031698A1 (en) Bodyside panel handling conveyor
BR9502753A (pt) Dispositivo automatizado e metodo para a preparação de lentes de contato para inspeção e embalagem
JP7092847B2 (ja) ウエーハ洗浄装置
KR970077478A (ko) 반도체 웨이퍼를 고정하기 위한 그립핑 시스템 및 그 방법
JPH0745556A (ja) ダイシング装置
KR940018908A (ko) 종형 처리장치(apparatus for vertical type processing)
KR102384135B1 (ko) 필름 반송 장치 및 필름 반송 방법 및 수지 몰드 장치
KR870008037A (ko) 신속한 열 화학 처리용 가요성 자동 장치
CN1913101A (zh) 减压干燥装置
JP3485990B2 (ja) 搬送方法及び搬送装置
DE69423982D1 (de) Vorrichtung und verfahren zur positionierung von glasplatten
DE50015551D1 (de) Vorrichtung zum Wechseln eines rotationssymmetrischen Bauteiles
ATE126168T1 (de) Vorrichtung zum verdrehen von werkstücken, insbesondere zum wenden von hülsen.
JP5799304B2 (ja) 露光ユニット及びそれを用いた露光方法
EP0402520A2 (en) Automatic wafer adhering device
JPH09162264A (ja) 基板搬送装置
JP5799305B2 (ja) 露光装置及び露光方法
KR100506495B1 (ko) 기판처리장치
JPH11223462A (ja) 乾燥炉
CN114590619A (zh) 膜加工系统

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110720

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee