KR20160056935A - 실리콘 질화물의 선택적 에칭 - Google Patents

실리콘 질화물의 선택적 에칭 Download PDF

Info

Publication number
KR20160056935A
KR20160056935A KR1020167010020A KR20167010020A KR20160056935A KR 20160056935 A KR20160056935 A KR 20160056935A KR 1020167010020 A KR1020167010020 A KR 1020167010020A KR 20167010020 A KR20167010020 A KR 20167010020A KR 20160056935 A KR20160056935 A KR 20160056935A
Authority
KR
South Korea
Prior art keywords
plasma
region
fluorine
remote plasma
substrate processing
Prior art date
Application number
KR1020167010020A
Other languages
English (en)
Other versions
KR102305317B1 (ko
Inventor
찌준 첸
지후이 리
안츄안 왕
니틴 케이. 잉글
샹카 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160056935A publication Critical patent/KR20160056935A/ko
Application granted granted Critical
Publication of KR102305317B1 publication Critical patent/KR102305317B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

패터닝된 이종(heterogeneous) 구조체들 상의 실리콘 질화물을 에칭하는 방법이 설명되고, 그 방법은 불소 함유 전구체와 질소-및-산소 함유 전구체로부터 형성되는 원격 플라즈마 에칭을 포함한다. 두 원격 플라즈마로부터의 플라즈마 배출물들은 기판 처리 영역 내로 유동되고, 기판 처리 영역에서 플라즈마 배출물들은 실리콘 질화물과 반응한다. 플라즈마 배출물들은 패터닝된 이종 구조체들과 반응하여, 폴리실리콘과 같은 실리콘을 매우 서서히 제거하면서, 실리콘 질화물을 선택적으로 제거한다. 실리콘 질화물 선택도는, 직렬로 또는 병렬로 있을 수 있는 별개의 (그러나 중첩하지 않는) 플라즈마들 통로들을 이용한 불소 함유 전구체와 질소-및-산소 함유 전구체의 도입으로부터 부분적으로 기인한다.

Description

실리콘 질화물의 선택적 에칭{SELECTIVE ETCH OF SILICON NITRIDE}
본 발명의 실시예들은 실리콘 질화물을 선택적으로 제거하는 것에 관련된다.
기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해서 집적 회로들이 가능하게 된다. 기판 상에 패터닝된 재료를 생성하는 것은 노출된 재료의 제거를 위해 제어된 방법들을 요구한다. 포토레지스트에서의 패턴을 기저 층들 내로 전사하는 것(transferring), 층들을 박형화하는 것(thinning) 또는 표면 상에 이미 존재하는 피처들의 측방향 치수(lateral dimension)들을 박형화하는 것을 포함하는 다양한 목적들을 위해 화학적인 에칭이 이용된다. 하나의 재료를 다른 재료보다 더 빠르게 제거하여 예를 들어 패턴 전사 프로세스가 진행되는 것을 돕는 에칭 프로세스를 갖는 것이 종종 바람직하다. 그러한 에칭 프로세스는 제1 재료에 대해 선택적이라고 말한다. 재료들, 회로들 및 프로세스들의 다양성의 결과로서, 다양한 재료들에 대한 선택도(selectivity)를 이용하여 에칭 프로세스들이 개발되었다. 그러나, 실리콘 질화물을 실리콘보다 빨리 선택적으로 에칭하기 위한 몇몇 선택사항들이 존재한다.
건식 에칭 프로세스들은 반도체 기판들로부터 재료를 선택적으로 제거하기에 종종 바람직하다. 그러한 바람직함은 물리적인 방해를 최소화하면서 소형의 구조체들로부터 재료를 약하게(gently) 제거하는 능력으로부터 기인한다. 건식 에칭 프로세스들은 또한, 기체 상태 시약(gas phase reagent)들을 제거함으로써, 에칭 레이트가 갑자기(abruptly) 중단되게 할 수도 있다. 일부 건식 에칭 프로세스들은 하나 이상의 전구체(precursor)들로부터 형성되는 원격 플라즈마 부산물들에 대한 기판의 노출을 수반한다. 예를 들어, 암모니아 및 3불화 질소의 원격 플라즈마 여기는 기판 처리 영역 내로 플라즈마 배출물들이 유동되는 경우에 패터닝된 기판으로부터 실리콘 산화물이 선택적으로 제거될 수 있게 한다. 원격 플라즈마 에칭 프로세스들은 실리콘 질화물을 제거하기 위해 또한 개발되어 왔지만, 그러나, 이러한 에칭 프로세스들의 (실리콘에 대한) 실리콘 질화물 선택도는 제한되어 왔다.
건식 에칭 프로세스들을 위해 실리콘에 대하여 실리콘 질화물 선택도를 향상시키기 위한 방법들이 요구된다.
패터닝된 이종(heterogeneous) 구조체들 상의 실리콘 질화물을 에칭하는 방법이 설명되고, 그 방법은 불소 함유 전구체와 질소-및-산소 함유 전구체로부터 형성되는 원격 플라즈마 에칭을 포함한다. 두 원격 플라즈마로부터의 플라즈마 배출물들은 기판 처리 영역 내로 유동되고, 기판 처리 영역에서 플라즈마 배출물들은 실리콘 질화물과 반응한다. 플라즈마 배출물들은 패터닝된 이종 구조체들과 반응하여, 폴리실리콘과 같은 실리콘을 매우 서서히 제거하면서, 실리콘 질화물을 선택적으로 제거한다. 실리콘 질화물 선택도는 직렬로 또는 병렬로 배열된 두 별개의 플라즈마들 내로의 불소 함유 전구체와 질소-및-산소 함유 전구체의 도입으로부터 부분적으로 기인한다. 질소-및-산소 함유 전구체는 양자 모두의 플라즈마들에서 직렬로 여기될 수 있고, 불소 함유 전구체(의 적어도 일부)는 다운스트림 플라즈마에서만 여기될 수 있다. 대안적으로, 질소-및-산소 함유 전구체는 고 파워 플라즈마에서 여기될 수 있고, 불소 함유 전구체는 저 강도 플라즈마에서 여기될 수 있으며, 이러한 경우 각각의 플라즈마 배출물들은 듀얼 채널 샤워헤드를 이용하여 기판 처리 영역에서 결합된다.
본 발명의 실시예들은 패터닝된 기판을 에칭하는 방법들을 포함한다. 이 방법들은 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내로 이송하는 단계를 포함한다. 패터닝된 기판은 노출된 실리콘 질화물을 갖는다. 이 방법들은 산화 플라즈마 배출물들(oxidizing plasma effluents)을 생성하기 위해 제2 원격 플라즈마 영역에 유체 결합된 제1 원격 플라즈마 영역에서 제1 원격 플라즈마를 형성하면서 제1 원격 플라즈마 영역 내로 질소-및-산소 함유 전구체를 유동시키는 단계를 더 포함한다. 이 방법들은 에칭 플라즈마 배출물들을 생성하기 위해 기판 처리 영역에 유체 결합된 제2 원격 플라즈마 영역에서 제2 원격 플라즈마를 형성하면서 제2 원격 플라즈마 영역 내로 불소 함유 전구체를 유동시키는 단계를 더 포함한다. 이 방법들은 샤워헤드에서의 관통 구멍들을 통해 기판 처리 영역 내로 산화 플라즈마 배출물들 및 에칭 플라즈마 배출물들 각각을 유동시키는 단계를 더 포함한다. 이 방법들은 노출된 실리콘 질화물을 에칭하는 단계를 더 포함한다. 패터닝된 기판은 노출된 실리콘을 더 포함한다.
본 발명의 실시예들은 패터닝된 기판을 에칭하는 방법들을 포함한다. 이 방법들은 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내로 이송하는 단계를 포함한다. 패터닝된 기판은 노출된 실리콘 질화물의 영역들 및 노출된 실리콘의 영역들을 포함한다. 이 방법들은 산화 플라즈마 배출물들을 생성하기 위해 원격 플라즈마 시스템에서 제1 원격 플라즈마를 형성하면서 제1 원격 플라즈마 영역 내로 질소-및-산소 함유 전구체를 유동시키는 단계를 더 포함한다. 이 방법들은 라디칼-불소를 생성하기 위해 제1 원격 플라즈마 영역과는 별개인 제2 원격 플라즈마 영역에서 제2 원격 플라즈마를 형성하면서 제2 원격 플라즈마 영역 내로 불소 함유 전구체를 유동시키는 단계를 더 포함한다. 이 방법들은 기판 처리 챔버에서 산화 플라즈마 배출물들을 라디칼-불소와 결합시키는 단계를 더 포함한다. 산화 플라즈마 배출물들과 라디칼-불소는 다중-채널 샤워헤드의 분리된 채널들을 통해 유동된다. 이 방법들은 노출된 실리콘보다 더 큰 에칭 레이트로 노출된 실리콘 질화물을 선택적으로 에칭하는 단계를 더 포함한다.
본 발명의 실시예들은 패터닝된 기판을 에칭하는 방법들을 포함한다. 이 방법들은 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내로 이송하는 단계를 포함한다. 패터닝된 기판은 노출된 실리콘 질화물의 영역들 및 노출된 실리콘의 영역들을 포함한다. 이 방법들은 산화 플라즈마 배출물들을 생성하기 위해 기판 처리 챔버 외부에 배치된 제1 원격 플라즈마 내로 N2O를 유동시키는 단계를 더 포함한다. 이 방법들은 불소 함유 플라즈마 배출물들을 생성하기 위해 제1 원격 플라즈마로부터 분리된 제2 원격 플라즈마 내로 NF3을 유동시키는 단계를 더 포함한다. NF3은 제1 원격 플라즈마에서는 실질적으로 여기되지 않는다. 이 방법들은 기판 처리 챔버에서 산화 플라즈마 배출물들을 불소 함유 플라즈마 배출물들과 결합시키는 단계를 더 포함한다. 이 방법들은 노출된 실리콘에 대해 노출된 실리콘 질화물을 선택적으로 에칭하는 단계를 더 포함한다.
추가적인 실시예들 및 특징들은 다음의 상세한 설명에서 일부 개시되며, 일부는 명세서의 검토 시에 본 기술분야의 통상의 기술자에게 명백해지거나, 실시예들의 실시에 의해 학습될 수 있다. 실시예들의 특징들 및 이점들은 본 명세서에 설명되는 도구들, 조합물들, 및 방법들에 의해 실현되고 달성될 수 있다.
실시예들의 속성 및 이점들의 추가적인 이해는 도면들 및 본 명세서의 나머지 부분들을 참조하여 실현될 수 있다.
도 1은 실시예들에 따른 실리콘 질화물 선택적 에칭 프로세스의 흐름도이다.
도 2는 실시예들에 따른 실리콘 질화물 선택적 에칭 프로세스의 흐름도이다.
도 3a는 실시예들에 따른 기판 처리 챔버를 도시한다.
도 3b는 실시예들에 따른 기판 처리 챔버의 샤워헤드를 도시한다.
도 4는 실시예들에 따른 기판 처리 시스템을 도시한다.
첨부 도면들에서, 유사한 컴포넌트들 및/또는 피처들은 동일한 참조 라벨을 가질 수 있다. 또한, 동일한 유형의 다양한 컴포넌트들은 참조 라벨 뒤의 대시(-) 및 유사한 컴포넌트들을 구분하는 제2 라벨에 의해 구분될 수 있도록 하였다. 명세서에서 제1 참조 라벨만이 이용되는 경우, 설명은 제2 참조 라벨과 관계없이 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 컴포넌트에 적용될 수 있다.
패터닝된 이종 구조체들 상의 실리콘 질화물을 에칭하는 방법이 설명되고, 그 방법은 불소 함유 전구체와 질소-및-산소 함유 전구체로부터 형성되는 원격 플라즈마 에칭을 포함한다. 두 원격 플라즈마로부터의 플라즈마 배출물들은 기판 처리 영역 내로 유동되고, 기판 처리 영역에서 플라즈마 배출물들은 실리콘 질화물과 반응한다. 플라즈마 배출물들은 패터닝된 이종 구조체들과 반응하여, 폴리실리콘과 같은 실리콘을 매우 서서히 제거하면서, 실리콘 질화물을 선택적으로 제거한다. 실리콘 질화물 선택도는, 직렬로 또는 병렬로 배열된 두 별개의 플라즈마들 내로의 불소 함유 전구체와 질소-및-산소 함유 전구체의 도입으로부터 부분적으로 기인한다. 질소-및-산소 함유 전구체는 직렬로 있는 양자 모두의 플라즈마들에서 여기될 수 있고, 불소 함유 전구체는 다운스트림 플라즈마에서만 여기될 수 있다. 대안적으로, 질소-및-산소 함유 전구체는 고 파워 플라즈마에서 여기될 수 있고, 불소 함유 전구체는 저 강도 플라즈마에서 여기될 수 있으며, 이러한 경우 각각의 플라즈마 배출물들은 듀얼 채널 샤워헤드를 이용하여 기판 처리 영역에서 결합된다.
본 발명을 더 잘 이해하고 인식하기 위해, 이제, 실시예들에 따른 실리콘 질화물 선택적 에칭 프로세스(100)의 흐름도인 도 1이 참조된다. 제1 동작 이전에, 패터닝된 기판에 구조체가 형성된다. 구조체는 실리콘 질화물과 실리콘의 노출된 영역들을 보유한다. 다음으로 기판은 동작(110)에서 기판 처리 영역 내로 전달된다.
아산화 질소(N2O)가 원격 플라즈마 시스템 내로 유동된다(동작(120)). N2O는 원격 플라즈마 영역에 형성되는 제1 원격 플라즈마에서 여기된다. 원격 플라즈마 시스템은 기판 처리 챔버 외부에 있다. 보다 일반적으로, 질소-및-산소 함유 전구체가 원격 플라즈마 시스템 내로 유동되고, 질소-및-산소 함유 전구체는 N2O, NO, N2O2, NO2로부터 선택되는 적어도 하나의 전구체를 포함할 수 있다. 질소-및-산소 함유 전구체는 질소 및 산소를 포함하거나 본질적으로 포함할 수 있다. 일부 질소-및-산소 함유 전구체들은 매우 음전성(electronegative)일 수 있고, 산화 플라즈마 배출물들을 형성하기 위해 높은 플라즈마 파워를 요구할 수 있다. 다음으로 산화 플라즈마 배출물들은 원격 플라즈마 영역 내로 넘겨지며, 제2 원격 플라즈마를 형성하기 위해 더 낮은 플라즈마 파워를 이용하여 여기될 수 있다. 배출물들은 원격 플라즈마 시스템으로부터 원격 플라즈마 영역으로 일반적으로 유동하지만 반대로는 유동하지 않는다는 점에서, 원격 플라즈마 시스템은 원격 플라즈마 영역으로부터 업스트림에 있다.
3불화 질소의 유동은 원격 플라즈마 영역 내로 도입되고 산화 플라즈마 배출물들과 결합된다(동작(125)). 실시예들에서, 3불화 질소는 원격 플라즈마 영역 내로 직접 유동되고, 업스트림 원격 플라즈마 시스템에 진입하지 않는다. 3불화 질소의 다른 유동이 업스트림 원격 플라즈마 경로에 직접 추가될 수 있고, 에칭 레이트를 조절하고/조절하거나 에칭 레이트 균일성을 향상시키는 것을 돕는 것으로 관찰되었다. 다른 불소의 소스들이 3불화 질소를 증가시키거나 대체하는데 이용될 수 있다. 일반적으로, 불소 함유 전구체는 플라즈마 영역 내로 유동될 수 있고, 불소 함유 전구체는 원자 불소, 2원자 불소, 3불화 브롬, 3불화 염소, 3불화 질소, 불화 수소, 6불화 황 및 2불화 크세논으로 구성되는 그룹으로부터 선택되는 적어도 하나의 전구체를 포함한다. 심지어 3불화 탄소, 트리플루오로메탄, 디플루오로메탄 및 플루오로메탄과 같은 탄소 함유 전구체들이 이미 나열된 그룹에 추가될 수 있다. 탄소 함유 전구체의 이용은, 기판 내로 통합되기 이전에 질소-및-산소 함유 전구체들이 탄소와 반응하기 위해서 증가된 유동 또는 플라즈마 파워를 일반적으로 요구한다.
원격 플라즈마 영역에 형성되는 플라즈마 배출물들은 기판 처리 영역 내로 유동된다(동작(130)). 노출된 실리콘 질화물이 노출된 실리콘보다 더 높은 레이트로 선택적으로 제거되도록 패터닝된 기판이 선택적으로 에칭된다(동작(135)). 실시예들에 따르면 질소 및 산소의 존재는 노출된 실리콘을 공격적으로 산화시켜, 실리콘 도메인들을 불소 함유 플라즈마 배출물들에 의해 본질적으로 에칭불가능하게 하는 것으로 관찰되었다. 노출된 실리콘 산화물의 영역들은 패터닝된 기판 상에 존재할 수도 있다. 반응성 화학종이 기판 처리 영역으로부터 제거되고, 다음으로 기판이 처리 영역으로부터 제거된다(동작(145)).
원격 플라즈마 시스템 내로의 그리고 다음으로 원격 플라즈마 영역 내로의 N2O(또는 다른 질소-및-산소 함유 전구체)의 유동은 기판 처리 영역 내로의 (라디칼-질소-산소를 포함하는) 산화 플라즈마 배출물들의 유동을 야기한다. 플라즈마 배출물들은 불소 함유 플라즈마 배출물들 및 산화 플라즈마 배출물들을 망라하는 것으로 본 명세서에서 이용될 것이다. 산화 플라즈마 배출물들은 라디칼-질소-산소를 포함한다. 라디칼-질소-산소는 질소 산화물(NO)을 함유하는 것으로 고려되고, 질소 산화물은 기판 처리 영역에 직접 전달하기에는 반응성이 너무 크다. 실시예들에서, 라디칼-질소-산소는, 질소 및 산화물을 포함하며 질소 및 산화물로 구성될 수 있는 라디칼들을 포함한다. 라디칼-질소-산소는 동작(130)에서 기판 처리 영역 내로 유동하는 플라즈마 배출물들의 성분(component)이다. 플라즈마 배출물들은 원격 플라즈마 영역 내로의 불소 함유 전구체의 유동으로부터 형성되는 라디칼-불소를 또한 포함한다. 기판 처리 영역 내로의 라디칼-질소-산소의 유동은 라디칼-불소로 하여금 노출된 실리콘의 제거율을 제한하면서 실리콘 질화물을 제거할 수 있게 한다. 기판 처리 영역 내로의 라디칼-질소-산소의 유동은 실리콘 산화물의 노출된 영역들에 대하여 거의 효과가 없고 라디칼-불소는 실리콘 산화물 영역들을 실질적으로 에칭할 수 없다.
본 명세서에 설명된 바와 같이, 질소-및-산소 함유 전구체 및 결과로 생기는 라디칼-질소-산소를 포함하는 것은, 실리콘 질화물의 에칭 레이트에 현저하게 영향을 미치지 않을 수 있지만 실리콘의 에칭 레이트를 감소시켜, 상대적으로 높은 선택도로 이어진다. 질소-및-산소 함유 전구체들은 놀랍게도 산소보다 더 공격적으로 실리콘을 산화시키고, 대체로 그리고 신뢰성있게 달성될 수 있는 선택도를 증가시키는 것으로 관찰되었다. 본 명세서에 설명되는 에칭 프로세스 파라미터들은 이하 설명되는 도 2에 기재된 실시예들을 포함하여 본 명세서에 개시되는 모든 실시예들에 적용된다. 에칭 프로세스(100)의 선택도(노출된 실리콘 질화물:노출된 실리콘)는 실시예들에서 약 20:1 이상, 약 25:1 이상 또는 약 30:1 이상이다. 불소 함유 전구체 및/또는 질소-및-산소 함유 전구체는 하나 이상의 상대적으로 비활성인 가스들(예를 들어, He, N2, Ar)을 더 포함할 수 있다. 불소 함유 전구체 및/또는 질소-및-산소 함유 전구체는 하나 이상의 반응성 가스들(예를 들어, H2, O2)을 더 포함할 수 있다. 비활성 가스는 플라즈마 안정성, 타격성(strikability) 또는 균일성을 향상시키는데 이용될 수 있다. 상이한 가스들의 유동률 및 비율은 에칭 레이트들 및 에칭 선택도를 제어하는데 이용될 수 있다. 실시예에서, 불소 함유 가스는, 약 5sccm(분당 표준 입방 센티미터) 내지 300sccm의 유동률의 NF3, 약 50sccm 내지 2slm(분당 표준 리터)의 유동률의 N20, 및 약 0sccm 내지 3000sccm의 유동률의 He를 포함한다. 특히, 플라즈마를 초기에 타격할 때, 플라즈마의 개시를 용이하게 하기 위해 아르곤이 포함될 수 있다. 본 기술분야의 통상의 기술자는, 처리 챔버 구성, 기판 크기, 에칭되는 피처들의 기하형상 및 레이아웃을 포함하는 다수의 인자들에 따라, 다른 가스들 및/또는 유동들이 이용될 수 있다는 점을 인식할 것이다.
일부 수소 함유 전구체들이 또한 다른 전구체들과 결합될 수 있거나 또는 플라즈마 영역 내로 개별적으로 유동될 수 있지만, 농도는 낮게 유지되어야 한다. 수소는 플라즈마에서 불소 함유 전구체와 상호작용하여, 산화물 표면 상에 고체 잔류 부산물들을 형성함으로써 실리콘 산화물을 제거하는 전구체들을 형성할 수 있다. 이러한 반응은 노출된 실리콘 산화물 영역들과 비교하여 노출된 실리콘 질화물 영역들의 선택도를 감소시킨다. 일부 수소가 도입하기에 유용할 수 있지만, 실시예들에 따르면 에칭 프로세스(100) 동안 플라즈마 영역 내로의 수소의 유동은 없을 수도 있거나 또는 본질적으로 없을 수도 있다.
일반적으로 말해서, 본 명세서에 설명되는 에칭 프로세스(100)는 직렬로 있는 2개의 원격 플라즈마 영역들을 갖는 다양한 챔버 구성들을 이용하여 수행될 수 있다. 제1 원격 플라즈마 영역은 제2 원격 플라즈마 영역으로부터의 업스트림이고, 제2 원격 플라즈마 영역은 기판 처리 영역으로부터의 업스트림이다. 도 1의 예에서, 원격 플라즈마 시스템은 제1 원격 플라즈마 영역을 나타냈고, 원격 플라즈마 영역은 제2 원격 플라즈마 영역이었다. 질소-및-산소 함유 전구체는 제1 원격 플라즈마 영역 내로 유동되고, 불소 함유 전구체는 제2 원격 플라즈마 영역 내로 유동된다. 질소-및-산소 함유 전구체는 더 음전성일 수 있고, 실시예들에 따라서 확장된 프로세스 윈도우를 이용하여 에칭 프로세스(100)를 생성하기 위해 일부 여분의 플라즈마 여기를 요구할 수 있다.
제1 원격 플라즈마 영역은, 제2 원격 플라즈마 영역에 형성되는 제2 원격 플라즈마의 제2 원격 플라즈마 파워보다 더 큰 제1 원격 플라즈마 파워를 이용하여 일반적으로 형성될 제1 원격 플라즈마를 형성하는데 이용된다. 이와 같이, 일반적으로 제2 원격 플라즈마 영역(제1 원격 플라즈마 영역으로부터의 다운스트림 및 기판 처리 영역으로부터의 업스트림) 내로 불소 함유 전구체를 유동시키는 것은 이온 농도를 감소시키고, 샤워헤드 또는 이온 억제제 요소가 기판 처리 영역에서의 이온 밀도를 더욱 감소시키는 것을 허용한다. 기판 처리 영역에서의 감소된 이온 농도는 에칭 프로세스(100)의 실리콘 질화물 선택도를 더욱 증가시킨다.
프로세스 윈도우가 바람직하게는, 불소 함유 전구체를 제2 원격 플라즈마 영역 내로 도입하는 한편 질소-및-산소 함유 전구체를 제1 원격 플라즈마 영역 업스트림 내로 도입함으로써 확장된다. 일부 불소 함유 전구체가 마찬가지로 제1 원격 플라즈마 영역 내로 유동될 수 있고, 노출된 재료들의 에칭 균일성, 에칭 선택도 및 에칭 레이트를 미세 조정하기 위해 이용될 수 있는 파라미터 공간을 간단하게 확장시킨다. 유사하게, 일부 질소-및-산소 함유 전구체가 제1 원격 플라즈마 영역을 먼저 통과하지 않고 제2 원격 플라즈마 영역으로 직접 유동될 수 있다. 캐리어 가스, 예를 들어 헬륨은 제1 원격 플라즈마 영역 및 제2 원격 플라즈마 영역 중 어느 하나 또는 양자 모두 내로 전구체들 각각을 운반하는데 이용될 수 있다.
이제, 실시예들에 따른 실리콘 질화물 선택적 에칭 프로세스(200)의 흐름도인 도 2를 참조한다. 제1 동작 이전에, 패터닝된 기판에 구조체가 형성된다. 구조체는 실리콘 질화물 및 실리콘(예를 들어, 단결정 실리콘 또는 폴리실리콘)의 노출된 영역들을 보유한다. 다음으로 기판은 동작(210)에서 기판 처리 영역 내로 전달된다.
아산화 질소(N2O)가 제1 원격 플라즈마 영역 내로 유동된다(동작(220)). N2O는 원격 플라즈마 영역에 형성되는 제1 원격 플라즈마에서 여기된다. 제1 원격 플라즈마 영역은 실시예들에서 기판 처리 챔버 외부 또는 내부일 수 있다. 질소-및-산소 함유 전구체는 산화 플라즈마 배출물들을 형성하기 위해 제1 플라즈마 영역에서의 제1 플라즈마에서 여기된다. 3불화 질소의 유동이 제2 원격 플라즈마 영역 내로 도입되고(동작(225)), (라디칼-불소를 포함하는) 에칭 플라즈마 배출물들을 형성하기 위해 제2 플라즈마에서 여기된다. 일반적으로 말해서, 질소-및-산소 함유 전구체는 제1 원격 플라즈마 영역 내로 유동되고, 불소 함유 전구체는 제2 플라즈마 영역 내로 유동된다. 질소-및-산소 함유 전구체와 불소 함유 전구체는 먼저 설명된 동일한 실시예들일 수 있다. 다음으로 산화 플라즈마 배출물들과 에칭 플라즈마 배출물들이 기판 처리 영역에서 결합된다(동작(230)). 산화 플라즈마 배출물들과 에칭 플라즈마 배출물들은 기판 처리 영역에 진입하기 이전에는 서로 마주치지 않는다. 제1 플라즈마 영역과 제2 플라즈마 영역은 서로 별개이다. 실시예들에 따르면 제1 플라즈마 영역과 제2 플라즈마 영역은 기판 처리 영역에 의해서 유체 결합만 된다.
노출된 실리콘 질화물이 노출된 실리콘보다 더 높은 레이트로 선택적으로 제거되도록 패터닝된 기판이 선택적으로 에칭된다(동작(235)). 앞서와 마찬가지로, 실시예들에 따르면 질소 및 산소의 존재는 노출된 실리콘을 공격적으로 산화시켜 실리콘 도메인들을 불소 함유 플라즈마 배출물들에 의해 본질적으로 에칭불가능하게 하는 것으로 관찰되었다. 노출된 실리콘 산화물의 영역들은 패터닝된 기판 상에 또한 존재할 수 있고, 또한 본질적으로 에칭불가능할 수도 있다. 반응성 화학종이 기판 처리 영역으로부터 제거되고, 다음으로 기판이 처리 영역으로부터 제거된다(동작(245)).
방법은, 플라즈마 배출물들을 생성하기 위해 불소 함유 전구체와 질소-및-산소 함유 전구체가 원격 플라즈마 영역들에 있는 동안 불소 함유 전구체와 질소-및-산소 함유 전구체에 파워를 인가하는 단계를 또한 포함한다. 본 기술분야의 통상의 기술자에 의해 이해되는 바와 같이, 플라즈마는 라디칼들과 이온들을 포함하는 다수의 대전된 그리고 중성인 종을 포함할 수 있다. 플라즈마는 알려진 기술들(예를 들어, RF, 용량 결합, 유도 결합)을 이용하여 생성될 수 있다. 실시예에서, 제1 원격 플라즈마 파워는 500W 내지 5kW 사이의 레벨로 제1 원격 플라즈마 영역에 인가된다. 실시예들에서, 제1 원격 플라즈마 파워는 유도 코일들을 이용하여 인가될 수 있고, 그런 경우에 제1 원격 플라즈마는 유도 결합 플라즈마(ICP)라고 지칭될 것이다. 실시예들에 따르면, 제2 원격 플라즈마 파워는 50W 내지 500W 사이의 레벨로 제2 원격 플라즈마 영역에 인가된다. 실시예들에 따르면, 제2 원격 플라즈마 파워는 제1 원격 플라즈마 파워의 약 20% 미만일 수 있다. 실시예들에서 제2 원격 플라즈마 파워는 용량 결합 플라즈마일 수 있다. 실시예들에서 제1 원격 플라즈마 영역, 제2 원격 플라즈마 영역 및 기판 처리 영역에서의 압력은 약 0.01토르 내지 30토르 사이 또는 약 0.1토르 내지 15토르 사이일 수 있다. 제1 원격 플라즈마 영역과 제2 원격 플라즈마 영역은 기판 처리 영역으로부터 원격으로 각각 배치된다. 제2 원격 플라즈마 영역은 제1 원격 플라즈마 영역과 기판 처리 영역 각각에 유체 결합된다. 제1 원격 플라즈마 영역은 제2 원격 플라즈마 영역을 통하는 것을 제외하고는 기판 처리 영역에 유체 결합되지 않는다. 제2 원격 플라즈마 영역은 이온 억제제 및/또는 샤워헤드에 의해 가스 반응 영역으로부터 분리될 수 있다.
전부 정확할 수 있거나 또는 전부 정확하지는 않을 수 있는 이론적인 메커니즘들에 청구항들의 커버리지를 구속시키기를 바라지 않으면서, 가능한 메커니즘들의 일부 논의가 유익한 것으로 판명될 수 있다. 라디칼-산소의 포함은, 실시예들에서 실리콘 산화물을 본질적으로 에칭하지 않은 채로 남겨두면서, 라디칼-불소가 실리콘과 실리콘 질화물을 선택적으로 에칭할 수 있게 한다. 실시예들에 따르면, 별개의 원격 플라즈마 영역들 내로 질소-및-산소 함유 전구체 및 불소 함유 전구체를 전달시킴으로써 라디칼-불소와 라디칼-질소-산소가 동시에 생성된다. 출원인들은, 소정 농도(a concentration)의 라디칼-불소 프래그먼트들(fragments), 불소 이온들 및 원자들이 생성되고, 기판 처리 영역 내로 전달된다고 가정한다. 출원인들은 추가로, 라디칼-질소-산소가 기판 처리 영역에 동시에 전달된다고 가정한다. 라디칼-질소-산소는 근처 표면 영역에서 노출된 실리콘 영역들과 반응하여 실리콘 산화물 층을 생성할 수 있어, 실리콘의 노출된 영역은 라디칼-산소가 이용되는 경우 실리콘 산화물의 노출된 영역들과 유사하게 거동한다. 따라서, 본 명세서에 개요가 서술되는 에칭 방법들은 실리콘 및 실리콘 산화물의 양자 모두에 대한 실리콘 질화물의 선택도를 달성한다.
실시예들에서, 예시적 장비 섹션에서 설명되는 바와 같은 이온 억제제는 실리콘 질화물을 선택적으로 에칭하기 위해 라디칼 및/또는 중성 종을 제공하는데 이용될 수 있다. 이온 억제제는 이온 억제 요소라고도 지칭될 수 있다. 실시예들에서, 예를 들어, 이온 억제제는 실리콘 질화물을 선택적으로 에칭하기 위해 (라디칼-불소를 포함하는) 에칭 플라즈마 배출물들을 필터링하는데 이용된다. 이온 억제제는 본 명세서에 설명되는 각각의 예시적 프로세스에 포함될 수 있다. 플라즈마 배출물들을 이용하면, 실리콘 및 실리콘 산화물에 대한 실리콘 산화물의 에칭 레이트 선택도가 달성될 수 있다.
이온 억제제는 이온들보다 더 높은 농도의 라디칼들을 갖는 반응성 가스를 제공하는데 이용될 수 있다. 이온 억제제는 플라즈마 생성 영역으로부터 기판으로 이동하는 이온성 대전된(ionically charged) 종을 극적으로 감소시키거나 실질적으로 제거하는 기능을 한다. 이온 억제제의 반대편 상의 원격 플라즈마 영역에서의 플라즈마의 여기 동안 기판 처리 영역에서 랭뮤어 프로브(Langmuir probe)를 이용하여 전자 온도가 측정될 수 있다. 실시예들에서, 전자 온도는 0.5eV 미만, 0.45eV 미만, 0.4eV 미만, 또는 0.35eV 미만일 수 있다. 전자 온도에 대한 이러한 매우 낮은 값들은 기판 처리 영역과 원격 플라즈마 영역 사이에 위치되는 샤워헤드 및/또는 이온 억제제의 존재에 의해서 가능하게 된다. 대전되지 않은 중성 및 라디칼 종들은 기판에서 반응하기 위해 이온 억제제에서의 개구들을 통과할 수 있다. 플라즈마의 대전 입자들 대부분이 이온 억제제에 의해 필터링되거나 제거되기 때문에, 기판이 에칭 프로세스 동안 반드시 바이어싱되는 것은 아니다. 라디칼들과 다른 중성 종을 이용하는 그러한 프로세스는 스퍼터링 및 충돌을 포함하는 종래의 플라즈마 에칭 프로세스들에 비해 플라즈마 손상을 감소시킬 수 있다. 이온 억제제는 반응 영역에서의 이온 종의 농도를 프로세스에 도움이 되는 레벨에서 제어하는 것을 돕는다. 본 발명의 실시예들은 또한 액체들의 표면 장력이 소형 피처들의 구부러짐(bending)과 박리(peeling)의 원인이 될 수 있는 종래의 습식 에칭 프로세스들에 비해 유리하다.
추가적 프로세스 파라미터들은 예시적인 처리 챔버와 시스템을 설명하는 과정에서 개시된다.
예시적인 처리 장비
도 3a는 실시예들에 따른 기판 처리 챔버(1001)이다. 원격 플라즈마 시스템(1010)은 불소 함유 전구체를 처리할 수 있으며, 이러한 불소 함유 전구체는 다음으로 가스 유입구 어셈블리(1011)를 통해 이동한다. 2개의 별개의 가스 공급 채널들을 가스 유입구 어셈블리(1011) 내에서 볼 수 있다. 제1 채널(1012)은 원격 플라즈마 시스템(1010)(RPS)을 막 통과한 전구체를 전도하는 한편, 제2 채널(1013)은 원격 플라즈마 시스템(1010)을 바이패스한 전구체를 전도한다. 제1 채널(1012)은 질소-및-산소 함유 전구체를 전도하고, 제2 채널(1013)은 불소 함유 전구체를 전도한다.
리드(또는 전도성 상단부)(1021)와 천공된 파티션(perforated partition)(1053)이 이들 사이의 절연 링(1024)과 함께 도시되어 있으며, 이는 천공된 파티션(1053)에 대하여 리드(1021)에 AC 전위가 인가되는 것을 허용한다. AC 전위는 챔버 플라즈마 영역(1020)에서의 플라즈마를 타격한다(strike). 라디칼-질소-산소(즉, 플라즈마 여기된 질소-및-산소 함유 전구체)는 제1 채널(1012)을 통해 챔버 플라즈마 영역(1020) 내로 이동할 수 있으며, 챔버 플라즈마 영역(1020)에서의 플라즈마에 의해 더욱 여기될 수 있다. 불소 함유 전구체는 제2 채널(1013)을 통해 유동하고, RPS(1010)에 의해서가 아니라 챔버 플라즈마 영역(1020)에 의해서만 여기된다. 천공된 파티션(샤워헤드라고도 지칭됨)(1053)은 샤워헤드(1053) 아래의 기판 처리 영역(1070)으로부터 챔버 플라즈마 영역(1020)을 분리시킨다. 샤워헤드(1053)는 챔버 플라즈마 영역(1020)에 존재하는 플라즈마가 기판 처리 영역(1070)에서의 가스들을 직접 여기시키는 것을 회피하는 것을 허용하는 한편, 여전히, 여기된 종들이 챔버 플라즈마 영역(1020)으로부터 기판 처리 영역(1070) 내로 이동하는 것을 허용한다.
샤워헤드(1053)는 챔버 플라즈마 영역(1020)과 기판 처리 영역(1070) 사이에 위치되며, 원격 플라즈마 시스템(1010) 및/또는 챔버 플라즈마 영역(1020) 내에서 생성되는 플라즈마 배출물들(전구체들 또는 다른 가스들의 여기된 파생물들)이 플레이트의 두께를 가로지르는 복수의 관통 구멍들(1056)을 통과하는 것을 허용한다. 또한, 샤워헤드(1053)는 하나 이상의 빈 용적들(hollow volumes)(1051)을 가지며, 실시예들에서, 이러한 용적들은 (RPS(1010) 내에 여기된 산화 플라즈마 배출물들과 같은) 증기 또는 가스 형태의 전구체로 채워질(filled) 수 있고, 작은 홀들(1055)을 통과하여 기판 처리 영역(1070) 내로 이어질 수 있지만 챔버 플라즈마 영역(1020) 내로 직접 이어지지는 않는다. 작은 홀들(1055)은 관통 구멍들(1056)과 같이 챔버 플라즈마 영역(1020)에 직접 유체 결합되지는 않는다는 점을 전달하기 위해 블라인드 홀들로 설명될 수 있다. 개시된 본 실시예에서, 샤워헤드(1053)는 관통 구멍들(1056)의 최소 직경(1050)의 길이보다 더 두껍다. 챔버 플라즈마 영역(1020)으로부터 기판 처리 영역(1070)으로 침투(penetrate)하는 여기된 종들의 상당한(significant) 농도를 유지하기 위해, 관통 구멍들의 최소 직경(1050)의 길이(1026)는 샤워헤드(1053)를 관통하는 중간에 관통 구멍들(1056)의 더 큰 직경 부분들을 형성함으로써 제한될 수 있다. 실시예들에서, 관통 구멍들(1056)의 최소 직경(1050)의 길이는 관통 구멍들(1056)의 최소 직경과 동일한 정도의 크기이거나 더 작을 수 있다.
도 3a에 도시된 바와 같이, 샤워헤드(1053)는 이온 억제제의 목적을 수행하도록 구성될 수 있다. 대안적으로, 기판 처리 영역(1070) 내로 이동하는 이온 농도를 억제하는 별개의 처리 챔버 엘리먼트가 포함될 수 있다(도시되지 않음). 리드(1021) 및 샤워헤드(1053)는 각각 제1 전극 및 제2 전극으로서 기능할 수 있으며, 이에 의해, 리드(1021) 및 샤워헤드(1053)는 상이한 전기 전압들을 수용할 수 있다. 이러한 구성들에서, 전기 전력(예를 들어, RF 전력)이 리드(1021), 샤워헤드(1053), 또는 양자 모두에 인가될 수 있다. 예를 들어, (이온 억제제의 역할을 하는) 샤워헤드(1053)가 접지되는 동안, 리드(1021)에 전기 전력이 인가될 수 있다. 기판 처리 시스템은 리드 및/또는 샤워헤드(1053)에 전기 전력을 제공하는 RF 생성기를 포함할 수 있다. 리드(1021)에 인가되는 전압은 챔버 플라즈마 영역(1020) 내에서의 플라즈마의 균일한 분배를 용이하게 할 수 있다(즉, 국부화된 플라즈마를 감소시킬 수 있다). 챔버 플라즈마 영역(1020)에서의 플라즈마의 형성을 가능하게 하기 위해, 절연 링(1024)은 샤워헤드(1053)로부터 리드(1021)를 전기적으로 절연시킬 수 있다. 절연 링(1024)은 세라믹으로 이루어질 수 있으며, 스파킹(sparking)을 회피하기 위해 높은 브레이크다운 전압을 가질 수 있다. 방금 설명된 용량 결합 플라즈마 컴포넌트들 근처의 기판 처리 챔버(1001)의 부분들은, 플라즈마에 노출된 표면들을 순환 냉각제(circulating coolant)(예를 들어, 물)로 냉각시키기 위해 하나 이상의 냉각 유체 채널들을 포함하는 냉각 유닛(도시되지 않음)을 더 포함할 수 있다.
도시된 실시예에서, 샤워헤드(1053)는 산소, 불소 및/또는 질소를 함유하는 프로세스 가스들, 및/또는 챔버 플라즈마 영역(1020)에서 플라즈마에 의해 여기될 때 그러한 프로세스 가스들의 플라즈마 배출물들을 (관통 구멍들(1056)을 통해) 분배할 수 있다. 실시예들에 따르면, 원격 플라즈마 시스템(1010) 및/또는 챔버 플라즈마 영역(1020) 내로 도입되는 프로세스 가스는 불소를 함유할 수 있다(예를 들어, F2, NF3 또는 XeF2). 또한, 프로세스 가스는, 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 플라즈마 배출물들은 프로세스 가스의 이온화된 또는 중성인 파생물들을 포함할 수 있으며, 도입된 프로세스 가스의 원자 성분을 참조하여 본 명세서에서는 라디칼-불소 전구체라고도 지칭될 수 있다.
관통 구멍들(1056)은 대전되지 않은 중성의 또는 라디칼 종들이 샤워헤드(1053)를 통해 기판 처리 영역(1070) 내로 통과하게 허용하면서 챔버 플라즈마 영역(1020)으로부터의 이온성 대전된 종들의 이동을 억제하도록 구성된다. 이러한 대전되지 않은 종들은, 관통 구멍들(1056)에 의해 덜 반응성인(less reactive) 캐리어 가스를 이용하여 운반되는 고도로 반응성인 종들을 포함할 수 있다. 위에 설명된 바와 같이, 관통 구멍들(1056)에 의한 이온성 종들의 이동이 감소될 수 있고, 일부 경우들에서는, 완전히 억제되거나 본질적으로 제거될 수 있다. 샤워헤드(1053)를 통과하는 이온성 종들의 양을 제어하는 것은, 기저 웨이퍼 기판과 접촉하게 되는 가스 혼합물에 대해 증가된 제어를 제공하고, 이는 결국, 가스 혼합물의 퇴적 및/또는 에칭 특성들의 제어를 증가시킨다. 예를 들어, 가스 혼합물의 이온 농도의 조절들은 가스 혼합물의 에칭 선택도(예를 들어, 실리콘 질화물:실리콘 에칭 비율들)를 상당히 변경시킬 수 있다.
실시예들에 따르면, 관통 구멍들(1056)의 개수는 약 60개 내지 약 2000개 사이일 수 있다. 관통 구멍들(1056)은 다양한 형상들을 가질 수 있지만, 가장 용이하게는 원형으로 이루어진다. 실시예들에서, 관통 구멍들(1056)의 최소 직경(1050)은 약 0.5㎜ 내지 약 20㎜ 사이이거나 약 1㎜ 내지 약 6㎜ 사이일 수 있다. 또한, 관통 구멍들의 단면 형상을 선택함에 있어서 융통성이 있으며, 단면 형상은 원뿔형, 원통형 또는 이 두 가지 형상들의 조합들로 이루어질 수 있다. 실시예들에서, 기판 처리 영역(1070) 내로 여기되지 않은 전구체들을 도입하는데 이용되는 작은 홀들(1055)의 개수는 약 100개 내지 약 5000개 사이이거나 약 500개 내지 약 2000개 사이일 수 있다. 작은 홀들(1055)의 직경은 약 0.1㎜ 내지 약 2㎜ 사이일 수 있다.
관통 구멍들(1056)은 플라즈마-활성화된(plasma-activated) 가스(즉, 이온성, 라디칼 및/또는 중성 종)의 샤워헤드(1053)를 통한 통과를 제어하도록 구성될 수 있다. 예를 들어, 샤워헤드(1053)를 통과하는 활성화된 가스에서의 이온성 대전된 종의 유동이 감소되도록, 홀들의 종횡비(즉, 홀 직경 대 길이) 및/또는 홀들의 기하형상이 제어될 수 있다. 샤워헤드(1053)에서의 관통 구멍들(1056)은 챔버 플라즈마 영역(1020)을 대면하는 테이퍼부(tapered portion)와, 기판 처리 영역(1070)을 대면하는 원통부(cylindrical portion)를 포함할 수 있다. 원통부는 기판 처리 영역(1070) 내로 통과하는 이온성 종의 유동을 제어하도록 비율이 정해지고(proportioned) 치수가 정해질(dimensioned) 수 있다. 샤워헤드(1053)를 통해 이온성 종의 유동을 제어하기 위한 추가적 수단으로서, 조정가능한 전기 바이어스가 샤워헤드(1053)에 또한 인가될 수 있다.
대안적으로, 관통 구멍들(1056)은 샤워헤드(1053)의 최상부면을 향해 더 작은 내경(ID)을 가질 수 있고, 바닥면을 향해 더 큰 ID를 가질 수 있다. 관통 구멍들(1056)은 샤워헤드(1053)의 최상부면을 향해 더 큰 내경을 가질 수 있고, 샤워헤드의 바닥면을 향해 더 작은 내경을 가질 수 있다. 또한, 관통 구멍들(1056)의 바닥 에지는, 플라즈마 배출물들이 샤워헤드를 빠져나갈 때 플라즈마 배출물들을 기판 처리 영역(1070)에 균등하게 분배하는 것을 돕기 위해 면취될(chamfered) 수 있으며, 플라즈마 배출물들 및 전구체 가스들의 균등한 분배를 촉진한다. 더 작은 ID가 관통 구멍들(1056)을 따라 다양한 위치들에 위치될 수 있으며, 샤워헤드(1053)가 기판 처리 영역(1070) 내에서의 이온 밀도를 감소시키는 것을 여전히 허용할 수 있다. 이온 밀도의 감소는 기판 처리 영역(1070) 내로 진입하기 이전에 벽(wall)들과의 충돌들의 횟수의 증가로부터 기인한다. 각각의 충돌은 벽으로부터의 전자의 획득 또는 손실에 의해 이온이 중성화되는 가능성(probability)을 증가시킨다. 일반적으로 말하면, 관통 구멍들(1056)의 더 작은 ID는 약 0.2㎜ 내지 약 20㎜ 사이일 수 있다. 실시예들에 따르면, 더 작은 ID는 약 1㎜ 내지 6㎜ 사이일 수 있거나 약 0.2㎜ 내지 약 5㎜ 사이일 수 있다. 추가로, 관통 구멍들(1056)의 종횡비들(즉, 더 작은 ID 대 홀 길이)은 대략 1 내지 20일 수 있다. 관통 구멍들의 더 작은 ID는 관통 구멍들의 길이를 따라 관찰되는 최소 ID일 수 있다. 관통 구멍들(1056)의 단면 형상은 일반적으로 원통형, 원뿔형 또는 이들의 임의의 조합일 수 있다.
도 3b는 실시예들에 따른 처리 챔버와 함께 이용하기 위한 샤워헤드(1053)의 저면도이다. 샤워헤드(1053)는 도 3a에 도시된 샤워헤드와 대응한다. 관통 구멍들(1056)은 샤워헤드(1053)의 바닥 상에서 더 큰 내경(ID)을 갖고 최상부에서 더 작은 ID를 갖는 것으로 도시된다. 실시예들에서, 작은 홀들(1055)이 샤워헤드의 표면 위에, 심지어는 관통 구멍들(1056) 사이에서 실질적으로 균등하게 분포되어 보다 균등한 혼합을 제공하는 것을 돕는다.
불소 함유 플라즈마 배출물들 및 산소 함유 플라즈마 배출물들이 샤워헤드(1053)에서의 관통 구멍들(1056)을 통해 도달할 때, 예시적인 패터닝된 기판은 기판 처리 영역(1070) 내에서의 페디스털(pedestal)(도시되지 않음)에 의해 지지될 수 있다. 비록 기판 처리 영역(1070)이 경화(curing)와 같은 다른 프로세스들을 위해 플라즈마를 지원하기 위해 갖춰질 수 있기는 하지만, 실시예들에서는, 패터닝된 기판을 에칭하는 동안 어떠한 플라즈마도 존재하지 않는다.
플라즈마는 샤워헤드(1053) 위의 챔버 플라즈마 영역(1020) 또는 샤워헤드(1053) 아래의 기판 처리 영역(1070) 중 어느 하나에서 점화될 수 있다. 불소 함유 전구체의 유입(inflow)으로부터 라디칼-불소 전구체들을 생성하기 위해 플라즈마가 챔버 플라즈마 영역(1020)에 존재한다. 퇴적 동안 챔버 플라즈마 영역(1020)에서 플라즈마를 점화시키기 위해, 통상적으로 무선 주파수(RF) 범위의 AC 전압이 처리 챔버의 전도성 상단부(리드(1021))와 샤워헤드(1053) 사이에 인가된다. RF 전력 공급부는 13.56㎒의 높은 RF 주파수를 생성하지만, 다른 주파수들을 단독으로 또는 13.56㎒ 주파수와 조합하여 또한 생성할 수 있다.
필름을 경화시키기거나 기판 처리 영역(1070)과 접하는 내부 표면들을 세정하기 위해 기판 처리 영역(1070)에서의 바닥 플라즈마(bottom plasma)가 턴온될(turned on) 때, 최상부 플라즈마(top plasma)는 저전력 또는 무전력으로 남겨질 수 있다. 기판 처리 영역(1070)에서의 플라즈마는 샤워헤드(1053)와 챔버의 페디스털 또는 바닥 사이에 AC 전압을 인가함으로써 점화된다. 플라즈마가 존재하는 동안 세정 가스가 기판 처리 영역(1070) 내로 도입될 수 있다.
페디스털은 열 교환 채널을 가질 수 있으며, 열 교환 채널을 통해 열 교환 유체가 기판의 온도를 제어하기 위해 유동한다. 이러한 구성은 비교적 낮은 온도들(-20℃ 내지 약 120℃)를 유지하기 위해 기판 온도가 냉각되는 것 또는 가열되는 것을 허용한다. 열 교환 유체는 에틸렌 글리콜과 물을 포함할 수 있다. 또한, 페디스털의 웨이퍼 지지 플래터(platter)(바람직하게는, 알루미늄, 세라믹, 또는 이들의 조합)는, 평행한 동심원들의 형태로 2개의 완전한 턴들(turns)을 만들도록 구성되는 내장형 단일 루프 내장 히터 요소를 이용하여 비교적 높은 온도들(약 120℃ 내지 약 1100℃)을 달성하기 위해 저항성으로 가열될 수 있다. 히터 엘리먼트의 외부 부분은 지지 플래터의 둘레(perimeter)에 인접하여 이어질 수 있는 반면, 내부 부분은 더 작은 반경을 갖는 동심원의 경로 상에서 이어진다. 히터 요소에 대한 배선은 페디스털의 스템(stem)을 통과한다.
챔버 플라즈마 영역 또는 원격 플라즈마 시스템에서의 영역은 원격 플라즈마 영역이라고 지칭될 수 있다. 실시예들에서, 라디칼 전구체들(예를 들어, 라디칼-불소 및 라디칼-질소-산소)은 원격 플라즈마 영역에 형성되고, 기판 처리 영역 내로 이동하며, 기판 처리 영역에서 결합물은 우선적으로 실리콘 질화물을 에칭한다. 실시예들에서, (함께 플라즈마 배출물들이라고 지칭될 수 있는) 라디칼-불소 및 라디칼-질소-산소가 기판 처리 영역에서 더 여기되지 않는 것을 보장하기 위해, 플라즈마 파워는 본질적으로 원격 플라즈마 영역에만 인가될 수 있다.
챔버 플라즈마 영역을 채용하는 실시예들에서, 여기된 플라즈마 배출물들은 퇴적 영역으로부터 파티셔닝되는 기판 처리 영역의 섹션에서 생성된다(또는 라디칼-질소-산소의 경우에는 더 여기된다). 본 명세서에서 기판 처리 영역이라고도 알려져 있는 퇴적 영역은, 패터닝된 기판(예를 들어, 반도체 웨이퍼)을 에칭하기 위해 플라즈마 배출물들이 혼합되고 반응하는 곳이다. 여기된 플라즈마 배출물들은 또한, 비활성 가스들(예시적인 경우, 헬륨)에 의해 동반될 수 있다. 기판 처리 영역은 본 명세서에서 패터닝된 기판을 에칭하는 동안 "무-플라즈마(plasma-free)"인 것으로서 설명될 수 있다. "무-플라즈마"가 반드시 영역에 플라즈마가 전혀 없다는 것을 의미하는 것은 아니다. 플라즈마 영역 내에서 생성되는 비교적 낮은 농도의 이온화된 종 및 자유 전자들은, 관통 구멍들(1056)의 형상들 및 크기들로 인해 파티션에서의 포어들(개구들)(샤워헤드/이온 억제제)을 통해 이동한다. 일부 실시예들에서는, 기판 처리 영역 내에 이온화된 종 및 자유 전자들의 농도가 본질적으로 존재하지 않는다. 챔버 플라즈마 영역에서의 플라즈마의 경계들은 정의하기 어렵고, 샤워헤드에서의 개구들을 통해 기판 처리 영역을 침범할 수 있다. 유도 결합 플라즈마의 경우, 소량의 이온화가 기판 처리 영역 내에서 직접 초래될 수 있다. 더욱이, 형성 필름의 피처들을 제거하지 않고도 기판 처리 영역에서 저 강도 플라즈마가 생성될 수 있다. 여기된 플라즈마 배출물들의 생성 동안 챔버 플라즈마 영역(또는, 그 점에 대해서는, 원격 플라즈마 영역) 보다 훨씬 더 낮은 강도 이온 밀도를 갖는 플라즈마에 대한 모든 원인들(causes)은 본 명세서에서 이용되는 바와 같은 "무-플라즈마"의 범위를 벗어나지 않는다.
실시예들에서, 3불화 질소(또는 다른 불소 함유 전구체)는, 약 5sccm 내지 약 500sccm 사이의, 약 10sccm 내지 약 300sccm 사이의, 약 25sccm 내지 약 200sccm 사이의, 약 50sccm 내지 약 150sccm 사이의, 또는 약 75sccm 내지 약 125sccm 사이의 레이트들로, 챔버 플라즈마 영역(1020) 내로 유동될 수 있다. 실시예들에서, 아산화 질소(또는 다른 질소-및-산소 함유 전구체)는, 약 250sccm 이상의, 약 500sccm 이상의, 약 1slm 이상의, 약 2slm 이상의 또는 약 5slm 이상의 레이트들로, (직렬로) 원격 챔버 플라즈마 영역(1010) 내로, 그리고 다음으로 챔버 플라즈마 영역(1020) 내로 유동될 수 있다.
챔버 내로의 불소 함유 전구체 및 질소-및-산소 함유 전구체의 결합된 유동률들은 전체 가스 혼합물의 용적에서 0.05% 내지 약 20%를 차지할 수 있으며, 나머지는 캐리어 가스들이다. 실시예들에 따르면, 불소 함유 전구체 및 질소-및-산소 함유 전구체가 원격 플라즈마 영역 내로 유동되지만, 플라즈마 배출물들은 동일한 용적 유동 비율(volumetric flow ratio)을 갖는다. 불소 함유 전구체의 경우, 원격 플라즈마 영역 내에서의 압력을 안정화하기 위해, 불소 함유 가스의 착수 이전에, 퍼지 또는 캐리어 가스가 원격 플라즈마 영역 내로 먼저 착수될 수 있다.
제1 원격 플라즈마 영역 및 제2 원격 플라즈마 영역에 인가되는 플라즈마 파워는 다양한 주파수들일 수 있거나 또는 다수 주파수들의 조합일 수 있고, 두 원격 플라즈마들 사이에서 상이할 수 있다. 예시적인 처리 시스템에서, 제2 원격 플라즈마는 리드(1021)와 샤워헤드(1053) 사이에 전달되는 RF 전력에 의해 제공된다. 실시예들에서, 제1 플라즈마 영역(본 예에서는 RPS(1010))에 인가되는 RF 전력은, 약 250와트 내지 약 1500와트 사이, 약 500와트 내지 약 5000와트 사이, 또는 약 1000와트 내지 약 2000와트 사이일 수 있다. 실시예들에 따르면, 제2 원격 플라즈마 영역(본 예에서는 챔버 플라즈마 영역(1020))에 인가되는 RF 전력은, 약 10와트 내지 약 1500와트 사이, 약 20와트 내지 약 1000와트 사이, 약 50와트 내지 약 500와트 사이, 또는 약 100와트 내지 약 200와트 사이일 수 있다. 실시예들에 따르면, 예시적인 처리 시스템에서 인가되는 RF 주파수는 약 200㎑ 미만의 낮은 RF 주파수들, 약 10㎒ 내지 약 15㎒ 사이의 높은 RF 주파수들, 또는 약 1 ㎓ 이상의 마이크로파 주파수들일 수 있다.
기판의 온도는 청구된 에칭 프로세스들 동안 약 -30℃와 약 150℃ 사이일 수 있다. 이 범위 내에서의 더 낮은 온도를 위해서는 에칭 레이트가 더 높아야 하는 것으로 관찰되었다. 실시예들에서, 본 명세서에 설명되는 에칭 프로세스들 동안 기판의 온도는 약 -20℃, 0℃ 이상, 약 5℃ 이상 또는 약 10℃ 이상이다. 실시예들에서, 기판 온도는 약 150℃ 미만, 약 100℃ 미만, 약 50℃ 미만, 약 30℃ 미만, 약 20℃ 미만, 약 15℃ 미만 또는 약 10℃ 미만일 수 있다. 온도나 압력에 대한 상한들 중 임의의 것은 추가적 실시예들을 형성하기 위해 하한들과 조합될 수 있다.
기판 처리 영역(1070) 내로의 캐리어 가스들과 플라즈마 배출물들의 유동 동안 기판 처리 영역(1070), 원격 플라즈마 시스템(1010) 또는 챔버 플라즈마 영역(1020)은 다양한 압력들로 유지될 수 있다. 기판 처리 영역 내에서의 압력은, 약 50Torr 이하, 약 30Torr 이하, 약 20Torr 이하, 약 10Torr 이하, 또는 약 5Torr 이하이다. 실시예들에서, 이 압력은, 약 0.01Torr 이상, 약 0.1Torr 이상, 약 0.2Torr 이상, 약 0.5Torr 이상, 또는 약 1Torr 이상일 수 있다. 압력에 대한 하한들은 추가적인 실시예들을 형성하기 위해 상한들과 조합될 수 있다. 데이터는 프로세스 압력과 하중 효과에서의 관련된 증가의 함수로서 에칭 레이트에서의 증가를 보여주며, 이것은 주어진 프로세스 흐름에 대해 바람직하거나 용인될 수 있거나 또는 그렇지 않을 수 있다.
실시예들에서, 기판 처리 챔버(1001)는 캘리포니아 산타 클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 ProducerTM GT, CenturaTM AP 및 EnduraTM 플랫폼들을 포함하는 다양한 다중 처리 플랫폼들 내에 통합될 수 있다. 그러한 처리 플랫폼은 진공을 깨뜨리지 않고 여러 처리 동작들을 수행할 수 있다. 본 명세서에 개시되는 방법들을 구현할 수 있는 처리 챔버들은, 다른 타입들의 챔버들 중에서, 유전체 에칭 챔버들 또는 다양한 화학 기상 증착 챔버들을 포함할 수 있다.
처리 챔버들은 집적 회로 칩들을 생성하기 위한 더 큰 제조 시스템들 내에 통합될 수 있다. 도 4는 실시예들에 따른 퇴적, 베이킹 및 경화 챔버들의 그러한 하나의 시스템(1101)을 도시한다. 도면에서, 한 쌍의 FOUP들(front opening unified pods)(1102)은 기판들(예를 들어, 300㎜ 직경의 웨이퍼들)을 공급하는데, 기판들은 로봇 아암들(1104)에 의해 수용(receive)되고, 웨이퍼 처리 챔버들(1108a-f) 중 하나 내로 위치되기 이전에 저압의 홀딩 영역들(1106) 내로 위치된다. 저압 홀딩 영역들(1106)로부터 웨이퍼 처리 챔버들(1108a-f)로 그리고 그 역으로 기판 웨이퍼들을 수송하는데 제2 로봇 아암(1110)이 이용될 수 있다. 각각의 웨이퍼 처리 챔버(1108a-f)는, 주기적 층 퇴적(CLD), 원자 층 퇴적(ALD), 화학 기상 증착(CVD), 물리적 기상 증착(PVD), 에칭, 예비 세정(pre-clean), 탈기(degas), 배향 및 다른 기판 프로세스들 이외에도 본 명세서에 설명되는 건식 에칭 프로세스들을 포함하는 다수의 기판 처리 동작들을 수행하도록 갖춰질 수 있다.
웨이퍼 처리 챔버들(1108a-f)은 기판 웨이퍼 상에 유전체 막을 퇴적, 어닐링, 경화 및/또는 에칭하기 위한 하나 이상의 시스템 컴포넌트들을 포함할 수 있다. 하나의 구성에서는, 기판 상에 유전체 재료를 퇴적하는데 2쌍의 처리 챔버(예를 들어, 1108c-d 및 1108e-f)가 이용될 수 있으며, 퇴적된 유전체를 에칭하는데 제3 쌍의 처리 챔버들(예를 들어, 1108a-b)이 이용될 수 있다. 다른 구성에서는, 모든 3쌍의 챔버들(예를 들어, 1108a-f)이 기판 상의 유전체 막을 에칭하도록 구성될 수 있다. 설명된 프로세스들 중 임의의 하나 이상의 프로세스는 실시예들에서 도시된 제조 시스템으로부터 분리된 챔버(들) 상에서 수행될 수 있다.
기판 처리 시스템은 시스템 제어기에 의해 제어된다. 예시적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 프로세서를 포함한다. 프로세서는 싱글-보드 컴퓨터(single-board computer; SBC), 아날로그 및 디지털 입/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템의 다양한 부분들은, 보드, 카드 케이지 및 커넥터 치수들과 타입들을 정의하는 VME(Versa Modular European) 표준을 따른다. 또한, 이러한 VME 표준은 16-비트 데이터 버스 및 24-비트 어드레스 버스를 갖는 것으로서 버스 구조를 정의한다.
시스템 제어기(1157)는 모터들, 밸브들, 유동 제어기들, 전력 공급부들 및 본 명세서에서 설명되는 프로세스 레시피들을 수행하기 위해 요구되는 다른 기능들을 제어하는데 이용된다. 웨이퍼 처리 챔버들(1108a-f) 중 하나 또는 모두에 가스들을 도입하기 위해 가스 핸들링 시스템(1155)도 시스템 제어기(1157)에 의해 제어될 수 있다. 시스템 제어기(1157)는 가스 핸들링 시스템(1155) 및/또는 웨이퍼 처리 챔버들(1108af)에서 이동가능한 기계적 어셈블리들의 위치를 결정하고 조절하기 위하여 광학 센서들로부터의 피드백에 의존할 수 있다. 기계적 어셈블리들은 시스템 제어기(1157)의 제어 하에서 모터들에 의해 움직이게 되는 로봇, 스로틀 밸브들 및 서셉터들을 포함할 수 있다.
예시적인 실시예에서, 시스템 제어기(1157)는 하드 디스크 드라이브(메모리), USB 포트들, 플로피 디스크 드라이브 및 프로세서를 포함한다. 시스템 제어기(1157)는 아날로그 및 디지털 입/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. 기판 처리 챔버(1001)를 포함하는 멀티-챔버 처리 시스템(1101)의 다양한 부분들이 시스템 제어기(1157)에 의해 제어된다. 시스템 제어기는 하드 디스크, 플로피 디스크 또는 플래시 메모리 썸(thumb) 드라이브와 같은 컴퓨터 판독가능 매체 상에 저장된 컴퓨터 프로그램의 형태인 시스템 제어 소프트웨어를 실행한다. 다른 타입들의 메모리도 이용될 수 있다. 컴퓨터 프로그램은, 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 특정 프로세스의 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다.
제어기에 의해 실행되는 컴퓨터 프로그램 제품을 이용하여, 기판 상에 필름을 에칭, 퇴적 또는 다른 방식으로 처리하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스가 구현될 수 있다. 컴퓨터 프로그램 코드는, 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼(Pascal), 포트란(Fortran) 또는 다른 언어들로 작성될 수 있다. 적합한 프로그램 코드는, 종래의 텍스트 에디터를 이용하여 단일의 파일 또는 다수의 파일들 내로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 이용가능 매체에 저장되거나 또는 구현된다. 입력된 코드 텍스트가 하이 레벨 언어로 이루어지는 경우에, 코드가 컴파일링되고, 다음으로, 그 결과인 컴파일러 코드는 프리컴파일링된 Microsoft Windows® 라이브러리 루틴들의 오브젝트 코드와 링크된다. 링크된, 컴파일링된 오브젝트 코드를 실행하기 위해, 시스템 이용자는 오브젝트 코드를 호출하여, 컴퓨터 시스템으로 하여금 코드를 메모리에 로딩하게 한다. 다음으로, 프로그램에서 식별된 태스크들을 수행하기 위해, CPU는 코드를 판독하고 실행한다.
이용자와 제어기 사이의 인터페이스는 터치 감지 모니터를 통해 이루어질 수 있고, 마우스와 키보드를 또한 포함할 수 있다. 일 실시예에서는, 2개의 모니터들이 이용되는데, 오퍼레이터들을 위해 청정실(clean room) 벽에 하나가 장착되고, 서비스 기술자들을 위해 벽 뒤에 다른 하나가 장착된다. 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그 경우에, 한 번에 하나의 모니터만이 입력을 수용하도록 구성된다. 특정 스크린 또는 기능을 선택하기 위해, 오퍼레이터는 손가락 또는 마우스를 이용하여 디스플레이 스크린 상의 지정된 영역을 터치한다. 터치된 영역이 그 터치된 영역의 하이라이트된 컬러를 변화시키거나, 또는 새로운 메뉴나 스크린이 디스플레이되어, 오퍼레이터의 선택을 확인한다.
본 명세서에서 이용된 바와 같이, "기판(substrate)"은 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다. 패터닝된 기판은 절연체일 수 있거나, 다양한 도핑 농도들 및 프로파일들의 반도체일 수 있으며, 예를 들어, 집적 회로들의 제조에 이용되는 타입의 반도체 기판일 수 있다. 패터닝된 기판의 노출된 "실리콘(silicon)"은 주로 Si이지만, 적은 농도의 질소, 산소, 수소 및 탄소와 같은 다른 원소 성분들을 포함할 수 있다. 패터닝된 기판의 노출된 "실리콘 질화물(silicon nitride)"은 주로 Si3N4이지만, 적은 농도의 산소, 수소 및 탄소와 같은 다른 원소 성분들을 포함할 수 있다. 패터닝된 기판의 노출된 "실리콘 산화물(silicon oxide)"은 주로 SiO2이지만, 적은 농도의 질소, 수소 및 탄소와 같은 다른 원소 성분들을 포함할 수 있다. 일부 실시예들에서, 본 명세서에 논의되는 실리콘 산화물 필름들은 본질적으로 실리콘과 산소로 구성된다.
"전구체(precursor)"라는 용어는 표면으로부터 재료를 제거하거나 표면 상에 재료를 퇴적하기 위해 반응에 참여하는 임의의 프로세스 가스를 지칭하는데 이용된다. "플라즈마 배출물들(plasma effluents)"은 챔버 플라즈마 영역으로부터 빠져나와 기판 처리 영역에 진입하는 가스를 설명한다. 플라즈마 배출물들은, 가스 분자들 중 적어도 일부가 진동 여기되고, 해리되고(dissociated) 및/또는 이온화된 상태들에 있는 "여기된 상태"에 있다. "라디칼 전구체(radical precursor)"는 표면으로부터 재료를 제거하거나 표면 상에 재료를 퇴적하기 위해 반응에 참여하는 플라즈마 배출물들(플라즈마를 빠져나가는 여기된 상태의 가스)을 설명하는데 이용된다. "라디칼-불소(radical-fluorine)"(또는 "라디칼-산소(radical-oxygen)" 또는 "라디칼-질소-산소(radical-nitrogen-oxygen)")는 불소(또는 산소 또는 질소&산소)를 함유하지만 다른 원소 성분들을 함유할 수 있는 라디칼 전구체들이다. "비활성 가스"라는 문구는 에칭 프로세스 동안에 또는 이후에 필름에서 화학적 결합들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 비활성 가스들은 노블 가스들(noble gas)을 포함하지만, (통상적으로) 미량(trace amounts)이 필름에 포함될(trapped) 때 화학적 결합들이 형성되지 않는 한 다른 가스들을 포함할 수 있다.
"갭(gap)"과 "트렌치(trench)"라는 용어들은 에칭되는 기하형상이 큰 수평 종횡비를 갖는다는 암시 없이 전반적으로 이용된다. 표면 위로부터 봤을 때, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. 트렌치는 재료의 섬(island) 주위의 해자(moat)의 형상일 수 있다. "비아(via)"라는 용어는 수직의 전기적 연결을 형성하기 위해 금속을 이용하여 채워질 수 있거나 또는 채워지지 않을 수 있는 (위로부터 봤을 때) 낮은 종횡비 트렌치를 지칭하는데 이용된다. 본 명세서에서 이용된 바와 같이, 등각(conformal) 에칭 프로세스는 표면과 동일한 형상으로 표면 상의 재료를 대체로 균일하게 제거하는 것을 지칭하며, 즉, 에칭된 층의 표면과 에칭전 표면이 대체로 평행하다. 본 기술분야의 통상의 기술자는 에칭된 인터페이스가 100% 등각일 수는 없을 것이고, 따라서 "대체로(generally)"라는 용어는 허용가능한 공차들을 감안한다는 점을 인식할 것이다.
몇몇 실시예들을 개시하였지만, 개시된 실시예들의 사상을 벗어나지 않고도 다양한 변형들, 대안적 구성들, 및 등가물들이 이용될 수 있다는 점을 본 기술분야의 통상의 기술자는 인식할 것이다. 부가적으로, 본 발명을 불필요하게 불명료하게 하는 것을 회피하기 위해서, 다수의 잘 알려져 있는 프로세스들 및 엘리먼트들은 설명되지 않았다. 따라서, 위 설명은 본 발명의 범위를 제한하는 것으로서 간주되어서는 안된다.
값들의 범위가 제공되는 경우, 그 문맥이 명확히 달리 지시하지 않는 한, 그 범위의 상한과 하한 사이에서, 하한의 십분의 일 단위까지 각각의 중간 값(intervening value)이 또한 구체적으로 개시되는 것으로 이해된다. 언급된 범위 내에 임의의 언급된 값 또는 중간 값과 그 언급된 범위 내의 임의의 다른 언급된 값 또는 중간 값 사이에 각각의 더 작은 범위가 망라된다. 이러한 더 작은 범위들의 상한들 및 하한들은 그 범위 내에 독립적으로 포함되거나 제외될 수 있으며, 언급된 범위에서 특정하게 제외되는 임의의 한계치에 따라, 한계치들 중 어느 하나가 더 작은 범위 내에 포함되거나, 한계치들 중 어느 쪽도 더 작은 범위 내에 포함되지 않거나, 또는 한계치들 양자 모두가 더 작은 범위 내에 포함되는 각각의 범위도 본 발명 내에서 망라된다. 언급된 범위가 한계치들 중 어느 하나 또는 양자 모두를 포함하는 경우, 그러한 포함된 한계치들 중 어느 하나 또는 양자 모두를 제외한 범위도 포함된다.
본 명세서, 및 첨부된 청구범위에서 이용된 바와 같이, 단수 형태("a", "an", 및 "the")는 문맥이 명확히 달리 지시하지 않으면 복수의 지시 대상들을 포함한다. 따라서, 예를 들어, "프로세스"라는 지칭은 복수의 그러한 프로세스들을 포함하고, "유전체 재료"라는 지칭은 하나 이상의 유전체 재료들과 본 기술분야의 통상의 기술자에게 알려진 그것의 균등물들에 대한 지칭 등을 포함한다.
포함("comprise", "comprising", "include", "including" 및 "includes")이라는 단어들은 본 명세서 및 다음의 청구항들에서 이용될 때, 언급된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 명시하고자 하는 것이지만, 하나 이상의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (15)

  1. 패터닝된 기판을 에칭하는 방법으로서,
    상기 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내로 이송하는 단계 - 상기 패터닝된 기판은 노출된 실리콘 질화물을 가짐 - ;
    산화 플라즈마 배출물들(oxidizing plasma effluents)을 생성하기 위해 제2 원격 플라즈마 영역에 유체 결합된 제1 원격 플라즈마 영역에서 제1 원격 플라즈마를 형성하면서 상기 제1 원격 플라즈마 영역 내로 질소-및-산소 함유 전구체를 유동시키는 단계;
    에칭 플라즈마 배출물들을 생성하기 위해 상기 기판 처리 영역에 유체 결합된 상기 제2 원격 플라즈마 영역 플라즈마 영역에서 제2 원격 플라즈마를 형성하면서 상기 제2 원격 플라즈마 영역 내로 불소 함유 전구체를 유동시키는 단계 - 상기 산화 플라즈마 배출물들은 상기 제2 원격 플라즈마에서 추가로 여기됨 - ;
    샤워헤드에서의 관통 구멍들을 통해 상기 기판 처리 영역 내로 상기 산화 플라즈마 배출물들 및 상기 에칭 플라즈마 배출물들 각각을 유동시키는 단계; 및
    상기 노출된 실리콘 질화물을 에칭하는 단계 - 상기 패터닝된 기판은 노출된 실리콘을 더 포함함 -
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 질소-및-산소 함유 전구체는 N2O, NO, NO2 또는 N2O2 중 하나를 포함하는, 방법.
  3. 제1항에 있어서,
    상기 제1 원격 플라즈마는 유도 결합 플라즈마인, 방법.
  4. 제1항에 있어서,
    상기 제2 원격 플라즈마는 용량 결합 플라즈마인, 방법.
  5. 제1항에 있어서,
    상기 에칭 동작의 선택도(노출된 실리콘 질화물:노출된 실리콘)는 약 20:1 이상인, 방법.
  6. 제1항에 있어서,
    상기 불소 함유 전구체는 NF3을 포함하는, 방법.
  7. 제1항에 있어서,
    상기 불소 함유 전구체는 불화 수소(hydrogen fluoride), 원자 불소(atomic fluorine), 2원자 불소(diatomic fluorine), 4불화 탄소(carbon tetrafluoride) 및 2불화 크세논(xenon difluoride)으로 구성되는 그룹으로부터 선택된 전구체를 포함하는, 방법.
  8. 패터닝된 기판을 에칭하는 방법으로서,
    상기 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내로 이송하는 단계 - 상기 패터닝된 기판은 노출된 실리콘 질화물 및 노출된 실리콘을 포함함 - ;
    산화 플라즈마 배출물들을 생성하기 위해 제1 원격 플라즈마 영역에서 제1 원격 플라즈마를 형성하면서 상기 제1 원격 플라즈마 영역 내로 질소-및-산소 함유 전구체를 유동시키는 단계;
    라디칼-불소를 생성하기 위해 상기 제1 원격 플라즈마 영역과는 별개인 제2 원격 플라즈마 영역에서 제2 원격 플라즈마를 형성하면서 상기 제2 원격 플라즈마 영역 내로 불소 함유 전구체를 유동시키는 단계;
    상기 기판 처리 챔버에서 상기 산화 플라즈마 배출물들을 상기 라디칼-불소와 결합시키는 단계 - 상기 산화 플라즈마 배출물들과 상기 라디칼-불소는 다중-채널 샤워헤드의 분리된 채널들을 통해 유동됨 - ; 및
    상기 노출된 실리콘보다 더 큰 에칭 레이트로 상기 노출된 실리콘 질화물을 선택적으로 에칭하는 단계
    를 포함하는 방법.
  9. 제8항에 있어서,
    상기 라디칼-불소와 상기 산화 플라즈마 배출물들은 상기 기판 처리 영역에 진입하기 이전에 서로 마주치지 않는, 방법.
  10. 제8항에 있어서,
    상기 질소-및-산소 함유 전구체는 질소와 산소로 구성되는, 방법.
  11. 제8항에 있어서,
    상기 질소-및-산소 함유 전구체는 N2O, NO, NO2 또는 N2O2 중 하나를 포함하는, 방법.
  12. 제8항에 있어서,
    상기 제1 원격 플라즈마는 유도 결합 플라즈마이고, 상기 제2 원격 플라즈마는 용량 결합 플라즈마인, 방법.
  13. 제8항에 있어서,
    상기 불소 함유 전구체는 NF3을 포함하는, 방법.
  14. 제8항에 있어서,
    상기 불소 함유 전구체는 불화 수소, 원자 불소, 2원자 불소, 4불화 탄소 및 2불화 크세논으로 구성되는 그룹으로부터 선택된 전구체를 포함하는, 방법.
  15. 패터닝된 기판을 에칭하는 방법으로서,
    상기 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내로 이송하는 단계 - 상기 패터닝된 기판은 노출된 실리콘 질화물 및 노출된 실리콘을 포함함 - ;
    산화 플라즈마 배출물들을 생성하기 위해 상기 기판 처리 챔버 외부에 배치된 제1 원격 플라즈마 내로 N2O를 유동시키는 단계;
    불소 함유 플라즈마 배출물들을 생성하기 위해 상기 제1 원격 플라즈마로부터 분리된 제2 원격 플라즈마 내로 NF3을 유동시키는 단계 - 상기 NF3은 상기 제1 원격 플라즈마에서는 실질적으로 여기되지 않음 - ;
    상기 기판 처리 챔버에서 상기 산화 플라즈마 배출물들을 상기 불소 함유 플라즈마 배출물들과 결합시키는 단계; 및
    상기 노출된 실리콘에 대해 상기 노출된 실리콘 질화물을 선택적으로 에칭하는 단계
    를 포함하는 방법.
KR1020167010020A 2013-09-16 2014-07-31 실리콘 질화물의 선택적 에칭 KR102305317B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361878444P 2013-09-16 2013-09-16
US61/878,444 2013-09-16
US14/089,182 2013-11-25
US14/089,182 US8956980B1 (en) 2013-09-16 2013-11-25 Selective etch of silicon nitride
PCT/US2014/049215 WO2015038252A1 (en) 2013-09-16 2014-07-31 Selective etch of silicon nitride

Publications (2)

Publication Number Publication Date
KR20160056935A true KR20160056935A (ko) 2016-05-20
KR102305317B1 KR102305317B1 (ko) 2021-09-28

Family

ID=52463598

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167010020A KR102305317B1 (ko) 2013-09-16 2014-07-31 실리콘 질화물의 선택적 에칭

Country Status (6)

Country Link
US (2) US8956980B1 (ko)
JP (1) JP6553049B2 (ko)
KR (1) KR102305317B1 (ko)
CN (1) CN105580118B (ko)
TW (1) TWI631614B (ko)
WO (1) WO2015038252A1 (ko)

Families Citing this family (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8916477B2 (en) * 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) * 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9653310B1 (en) 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10381235B2 (en) * 2016-05-29 2019-08-13 Tokyo Electron Limited Method of selective silicon nitride etching
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6763750B2 (ja) 2016-11-07 2020-09-30 東京エレクトロン株式会社 被処理体を処理する方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) * 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
KR102505902B1 (ko) * 2017-08-04 2023-03-06 마이크로머티어리얼즈 엘엘씨 개선된 금속 콘택 랜딩 구조
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10699911B2 (en) 2017-11-07 2020-06-30 Tokyo Electron Limited Method of conformal etching selective to other materials
KR102003362B1 (ko) * 2017-11-30 2019-10-17 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 장치 및 방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR101931742B1 (ko) * 2017-12-21 2018-12-24 무진전자 주식회사 반도체 기판의 건식 세정을 위한 플라즈마 장치
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200286742A1 (en) * 2019-03-06 2020-09-10 Kateeva, Inc. Remote plasma etch using inkjet printed etch mask
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
US11195718B2 (en) 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
TW202213517A (zh) * 2020-08-28 2022-04-01 日商東京威力科創股份有限公司 基板處理方法及電漿處理裝置
US11804380B2 (en) * 2020-11-13 2023-10-31 Tokyo Electron Limited High-throughput dry etching of films containing silicon-oxygen components or silicon-nitrogen components by proton-mediated catalyst formation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20120211462A1 (en) * 2011-02-22 2012-08-23 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films

Family Cites Families (753)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
WO1994000251A1 (en) 1992-06-22 1994-01-06 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
EP0809284B8 (en) 1995-12-28 2007-06-13 Taiyo Nippon Sanso Corporation Method and system for transporting substrate wafers
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
AU2343397A (en) 1996-03-25 1997-10-17 S. George Lesinski Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR20010042419A (ko) 1998-04-02 2001-05-25 조셉 제이. 스위니 낮은 k 유전체를 에칭하는 방법
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
EP1112125B1 (en) 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP2001274111A (ja) 1999-11-09 2001-10-05 Applied Materials Inc サリサイド・プロセス用の化学的プラズマ洗浄
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
DE10296935T5 (de) 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
KR20040018558A (ko) 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
TWI301644B (en) 2001-12-13 2008-10-01 Applied Materials Inc Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (ko) * 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4558285B2 (ja) * 2003-06-27 2010-10-06 東京エレクトロン株式会社 プラズマクリーニング方法および基板処理方法
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4644676B2 (ja) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド フェイスアップウェット処理用のウェーハ温度均一性を改善する装置
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
WO2005114749A1 (en) 2004-05-21 2005-12-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
WO2006069085A2 (en) 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
MX2010005945A (es) 2007-12-04 2011-03-03 Parabel Ag Elemento solar de varias capas.
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
CN102007565A (zh) 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 基片处理系统和基片处理方法
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
CN105088191B (zh) 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
WO2011051251A1 (en) * 2009-10-26 2011-05-05 Solvay Fluor Gmbh Etching process for producing a tft matrix
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
KR20110086540A (ko) * 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
KR20120048708A (ko) * 2009-08-31 2012-05-15 어플라이드 머티어리얼스, 인코포레이티드 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
JP2013503482A (ja) * 2009-08-31 2013-01-31 アプライド マテリアルズ インコーポレイテッド 炭素含有膜のシリコン選択的ドライエッチング
US20120211462A1 (en) * 2011-02-22 2012-08-23 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films

Also Published As

Publication number Publication date
CN105580118B (zh) 2019-03-22
US9209012B2 (en) 2015-12-08
TW201513215A (zh) 2015-04-01
US20150079797A1 (en) 2015-03-19
TWI631614B (zh) 2018-08-01
KR102305317B1 (ko) 2021-09-28
US8956980B1 (en) 2015-02-17
WO2015038252A1 (en) 2015-03-19
JP2016537824A (ja) 2016-12-01
CN105580118A (zh) 2016-05-11
JP6553049B2 (ja) 2019-07-31

Similar Documents

Publication Publication Date Title
KR102305317B1 (ko) 실리콘 질화물의 선택적 에칭
KR101909556B1 (ko) 실리콘-및-질소-함유 필름들에 대한 건식-식각
US9384997B2 (en) Dry-etch selectivity
US9472412B2 (en) Procedure for etch rate consistency
US8765574B2 (en) Dry etch process
KR101975236B1 (ko) 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제
KR101509010B1 (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식 식각 레이트의 선택적인 억제
US9390937B2 (en) Silicon-carbon-nitride selective etch
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US9190293B2 (en) Even tungsten etch for high aspect ratio trenches
KR101956837B1 (ko) 준안정 수소 종단을 통한 실리콘의 선택적인 에칭
US20150262829A1 (en) Gas-phase tungsten etch
KR20150056641A (ko) 라디칼-성분 산화물 에칭

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant