JP2016537824A - 窒化ケイ素の選択的なエッチング - Google Patents

窒化ケイ素の選択的なエッチング Download PDF

Info

Publication number
JP2016537824A
JP2016537824A JP2016541971A JP2016541971A JP2016537824A JP 2016537824 A JP2016537824 A JP 2016537824A JP 2016541971 A JP2016541971 A JP 2016541971A JP 2016541971 A JP2016541971 A JP 2016541971A JP 2016537824 A JP2016537824 A JP 2016537824A
Authority
JP
Japan
Prior art keywords
plasma
region
remote plasma
fluorine
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016541971A
Other languages
English (en)
Other versions
JP6553049B2 (ja
Inventor
チーチュン チェン,
チーチュン チェン,
ツーホイ リー,
ツーホイ リー,
アンチョアン ワン,
アンチョアン ワン,
ニティン ケー. イングル,
ニティン ケー. イングル,
シャンカー ヴェンカタラマン,
シャンカー ヴェンカタラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016537824A publication Critical patent/JP2016537824A/ja
Application granted granted Critical
Publication of JP6553049B2 publication Critical patent/JP6553049B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

パターン形成されたヘテロジニアス構造上で窒化ケイ素をエッチングする方法が、説明され、それはフッ素含有前駆体並びに窒素及び酸素含有前駆体から生成される遠隔プラズのマエッチングを含む。2つの遠隔プラズマからのプラズマ流出物が、基板処理領域の中に流され、そこでプラズマ流出物は窒化ケイ素と反応する。プラズマ流出物はパターン形成されたヘテロジニアス構造と反応し、ポリシリコンなどのケイ素を非常に遅く除去する一方で、選択的に窒化ケイ素を除去する。直列又は並列に配置され得る異なった(しかし、重なっている可能性もある)プラズマ経路を用いて、フッ素含有前駆体並びに窒素及び酸素含有前駆体を導入することによって、部分的に、窒化ケイ素の選択性がもたらされる。【選択図】図3A

Description

本発明の実施形態は、窒化ケイ素を選択的に除去することに関する。
集積回路は、基板表面上に複雑なパターンの物質層を形成するプロセスによって可能となる。基板上のパターン付きの物質を形成するには、露出した物質を取り除くための制御された方法が必要である。フォトレジスト内のパターンを下層の中へ転写すること、層を薄くすること、又は表面上に既に存在する特徴の横寸法を細くすることを含む様々な目的のために、化学エッチングが用いられる。1つの材料を別の材料より速く除去して、例えば、パターン転写プロセスが進行するのを助けるエッチング処理があれば、しばしば、それは望ましい。そのようなエッチング処理は、第1の物質に対して選択的であると言われる。材料、回路、及びプロセスの多様性の結果として、様々な材料に対して選択性を持ったエッチング処理が開発されて来た。しかしながら、ケイ素よりも速く窒化ケイ素を選択的に除去するオプションはほとんどない。
ドライエッチング処理は、半導体基板から材料を選択的に除去するために、しばしば望ましい。望ましさは、物理的な乱れを最小にして、微小構造から材料を穏やかに除去する能力から生じる。ドライエッチング処理は、気相試薬を除去することによって、エッチング速度が突然に止められることも可能にする。あるドライエッチング処理は、1以上の前駆体から生成された遠隔プラズマ副生成物への基板の曝露を含む。例えば、アンモニア及び三フッ化窒素の遠隔プラズマ励起は、プラズマ流出物が基板処理領域の中に流されるときに、酸化ケイ素がパターン形成された基板から選択的に除去されることを可能にする。遠隔プラズマエッチング処理は、窒化ケイ素を除去するためにも開発されてきたが、(ケイ素に対する)これらのエッチング処理の窒化ケイ素の選択性は、限られてきた。
ドライエッチング処理のために、ケイ素に対する窒化ケイ素の選択性を改良する方法が必要である。
パターン形成されたヘテロジニアス構造上で窒化ケイ素をエッチングする方法が、説明され、それはフッ素含有前駆体並びに窒素及び酸素含有前駆体から生成された遠隔プラズマのエッチングを含む。2つの遠隔プラズマからのプラズマ流出物が、基板処理領域の中に流され、そこでプラズマ流出物は窒化ケイ素と反応する。プラズマ流出物はパターン形成されたヘテロジニアス構造と反応し、ポリシリコンなどのケイ素を非常に遅く除去する一方で、選択的に窒化ケイ素を除去する。フッ素含有前駆体並びに窒素及び酸素含有前駆体を、直列又は並列に配置された2つの個別のプラズマの中へ導入することによって、部分的に、窒化ケイ素の選択性がもたらされる。窒素及び酸素含有前駆体は、連続した(直列の)両方のプラズマ内で励起され、フッ素含有前駆体(の少なくとも一部分)は、下流側のプラズマにおいてのみ励起され得る。代替的に、窒素及び酸素含有前駆体は、高電力プラズマ内で励起され得、フッ素含有前駆体は、低強度プラズマ内で励起され得、その場合には、二重チャネルのシャワーヘッドを使用して、それぞれのプラズマ流出物が基板処理領域内で混合される。
本発明の実施形態は、パターン形成された基板をエッチングする方法を含む。方法は、パターン形成された基板を基板処理チャンバの基板処理領域の中へ移送することを含む。パターン形成された基板は、露出された窒化ケイ素を有する。方法は、第2の遠隔プラズマ領域に流体結合された第1の遠隔プラズマ領域の中へ窒素及び酸素含有前駆体を流し、それと同時に、第1の遠隔プラズマ領域内に第1の遠隔プラズマを生成し、酸化性プラズマ流出物(oxidizing plasma effluent)を生み出すことを更に含む。方法は、基板処理領域に流体結合された第2の遠隔プラズマ領域の中へフッ素含有前駆体を流し、それと同時に、第2の遠隔プラズマ領域内に第2の遠隔プラズマを生成し、エッチングプラズマ流出物を生み出すことを更に含む。方法は、シャワーヘッド内の貫通孔を通して、酸化性プラズマ流出物及びエッチングプラズマ流出物の各々を、基板処理領域の中へ流すことを更に含む。方法は、露出された窒化ケイ素をエッチングすることを更に含む。パターン形成された基板は、露出されたケイ素を更に含む。
本発明の実施形態は、パターン形成された基板をエッチングする方法を含む。方法は、パターン形成された基板を基板処理チャンバの基板処理領域の中へ移送することを含む。パターン形成された基板は、露出された窒化ケイ素の領域及び露出されたケイ素の領域を含む。方法は、第1の遠隔プラズマ領域の中へ窒素及び酸素含有前駆体を流し、それと同時に、遠隔プラズマ領域内で第1の遠隔プラズマを生成し、酸化性プラズマ流出物を生み出すことを更に含む。方法は、第1のプラズマ領域とは異なる第2の遠隔プラズマ領域の中へフッ素含有前駆体を流し、それと同時に、第2の遠隔プラズマ領域内で第2の遠隔プラズマを生成し、ラジカルフッ素(radical‐fluorine)を生み出すことを更に含む。方法は、基板処理チャンバ内で、酸化性プラズマ流出物をラジカルフッ素と混合させることを更に含む。酸化性プラズマ流出物及びラジカルフッ素は、複数のチャネルを有するシャワーヘッドの個別のチャネルを通して流される。方法は、露出されたケイ素よりも速い速度で露出された窒化ケイ素を選択的にエッチングすることを更に含む。
本発明の実施形態は、パターン形成された基板をエッチングする方法を含む。方法は、パターン形成された基板を、基板処理チャンバの基板処理領域の中へ移送することを含む。パターン形成された基板は、露出された窒化ケイ素の領域及び露出されたケイ素の領域を含む。方法は、基板処理チャンバの外側に配置された第1の遠隔プラズマの中へNOを流し、酸化性プラズマ流出物を生み出すことを更に含む。方法は、第1の遠隔プラズマから分離された第2の遠隔プラズマの中へNFを流し、フッ素含有プラズマ流出物を生み出すことを更に含む。NFは、実質的に、第1の遠隔プラズマ内で励起されない。方法は、基板処理チャンバ内で、酸化性プラズマ流出物をフッ素含有プラズマ流出物と混合させることを更に含む。方法は、露出されたケイ素に対して露出された窒化ケイ素を選択的にエッチングすることを更に含む。
更なる実施形態と特徴が、以下の記載において部分的に説明され、本明細書を検証すると当業者に部分的に明らかになるか、又は本実施形態を実施することによって学ぶことができる。本実施形態の特徴及び利点が、本明細書で説明される装置、組み合わせ、及び方法の手段によって、実現され達成され得る。
本実施形態の性質及び利点の更なる理解が、本明細書の残りの部分と図面を参照することによって実現され得る。
実施形態による、窒化ケイ素の選択的なエッチング処理のフローチャートである。 実施形態による、窒化ケイ素の選択的なエッチング処理のフローチャートである。 実施形態による、基板処理チャンバを示す。 実施形態による、基板処理チャンバのシャワーヘッドを示す。 実施形態による、基板処理システムを示す。
添付の図面では、類似の構成要素及び/又は特徴に同じ参照ラベルが振られている場合がある。更に、同じ種類の様々な構成要素は、ダッシュ記号と、類似の構成要素を区別する第2のラベルにより、参照ラベルに従って区別することができる。第1の参照ラベルのみが本明細書において使用されている場合、この説明は、第2の参照ラベルに関わらず、同じ第1の参照ラベルを有する類似の構成要素及び/又は特徴の全てに適用可能である。
パターン形成されたヘテロジニアス構造上で窒化ケイ素をエッチングする方法が、説明され、それはフッ素含有前駆体並びに窒素及び酸素含有前駆体から生成される遠隔プラズマのエッチングを含む。2つの遠隔プラズマからのプラズマ流出物が、基板処理領域の中に流され、そこでプラズマ流出物は窒化ケイ素と反応する。プラズマ流出物はパターン形成されたヘテロジニアス構造と反応し、ポリシリコンなどのケイ素を非常に遅く除去する一方で、選択的に窒化ケイ素を除去する。フッ素含有前駆体並びに窒素及び酸素含有前駆体を、直列又は並列に配置された2つの個別のプラズマの中へ導入することによって、部分的に、窒化ケイ素の選択性がもたらされる。窒素及び酸素含有前駆体は、連続した(直列の)両方のプラズマ内で励起され得、フッ素含有前駆体は、下流側のプラズマにおいてのみ励起され得る。代替的に、窒素及び酸素含有前駆体は、高電力プラズマ内で励起され得、フッ素含有前駆体は、低強度プラズマ内で励起され得、その場合には、二重チャネルのシャワーヘッドを使用して、それぞれのプラズマ流出物が基板処理領域内で混合される。
本発明をよりよく理解し評価するために、今や、図1が参照され、図1は、実施形態による、窒化ケイ素の選択的なエッチング処理100のフローチャートである。最初の動作の前に、構造が、パターン形成された基板内に形成される。構造は、窒化ケイ素及びケイ素の露出された領域を持つ。基板は、その後、動作110において基板処理領域の中へ供給される。
亜酸化窒素(NO)が、遠隔プラズマシステムの中へ流される(動作120)。NOが、遠隔プラズマ領域内で生成された第1の遠隔プラズマ内で励起される。遠隔プラズマシステムは、基板処理チャンバの外側にある。より一般的には、窒素及び酸素含有前駆体が、遠隔プラズマシステムの中へ流され、窒素及び酸素含有前駆体は、NO、NO、N、NOから選択された少なくとも1つの前駆体を含み得る。窒素及び酸素含有前駆体は、本質的に窒素と酸素から成り、又は窒素と酸素から成る。ある窒素及び酸素含有前駆体は、非常に強い陰性(electronegative)であり、酸化性プラズマ流出物を生成するために高いプラズマ出力を必要とし得る。酸化性プラズマ流出物は、その後、より低いプラズマ出力で励起され得る遠隔プラズマ領域の中へパスされ、第2の遠隔プラズマを生成する。遠隔プラズマシステムは、遠隔プラズマ領域よりも上流にあり、流出物が概して遠隔プラズマシステムから遠隔プラズマ領域へ流れるが、逆は起きない。
三フッ化窒素の流れは、遠隔プラズマ領域の中へ導入され、酸化性プラズマ流出物と混合される(動作125)。三フッ化窒素は、遠隔プラズマ領域の中へ直接的に流され、実施形態における上流の遠隔プラズマシステムに入らない。三フッ化窒素の別の流れは、上流の遠隔プラズマ経路へ直接的に加えられ得、エッチングの速度を調整し及び/又はエッチング速度の均一性を改良する助けとなることが分かってきた。他のフッ素供給源を使用して、三フッ化窒素を補強する又は置換することができる。概して、フッ素含有前駆体が、プラズマ領域の中に流され得、該フッ素含有前駆体は、原子状フッ素、二原子フッ素、三フッ化臭素、三フッ化塩素、三フッ化窒素、フッ化水素、六フッ化硫黄、及び二フッ化キセノンから成る群から選択された少なくとも一つの前駆体を含む。四フッ化炭素、トリフルオロメタン、ジフルオロメタン、及びフルオロメタンなどの、炭素含有前駆体でさえも、すでに列挙された群に追加されることができる。炭素含有前駆体の使用は、概して、窒素及び酸素含有前駆体が、基板の中に組み込まれ得る前に炭素と反応するために、増加された流れ又は増加されたプラズマ出力を必要とする。
遠隔プラズマ領域内で生成されたプラズマ流出物は、基板処理領域の中へ流される(動作130)。露出された窒化ケイ素が、露出されたケイ素よりも速い速度で選択的に除去されるように、パターン形成された基板が選択的にエッチングされる(動作135)。実施形態によれば、窒素及び酸素の存在は、露出されたケイ素を活発に酸化し、フッ素含有プラズマ流出物によってケイ素領域を本質的にエッチングできない状態にすることが分かってきた。露出された酸化ケイ素の領域は、パターン形成された基板上にも存在し得る。反応性化学種が基板処理領域から除去され、その後、基板が処理領域から除去される(動作145)。
遠隔プラズマシステムの中へ、その後、遠隔プラズマ領域の中へのNO(又は別の窒素及び酸素含有前駆体)の流れは、基板処理領域の中への(ラジカル窒素酸素(radical‐nitrogen‐oxygen)を含有する)酸化性プラズマ流出物の流れをもたらす。本明細書中では、プラズマ流出物が、フッ素含有プラズマ流出物及び酸化性プラズマ流出物を包含するように使用される。酸化性プラズマ流出物は、ラジカル窒素酸素を含む。ラジカル窒素酸素は、一酸化窒素(NO)を含有すると考えられており、それは、反応性が強過ぎて、基板処理領域に直接的に供給できない。実施形態では、ラジカル窒素酸素が、窒素及び酸素を含むラジカルを含有し、窒素及び酸素から成り得る。ラジカル窒素酸素は、動作130において基板処理領域の中へ流れるプラズマ流出物の構成要素である。プラズマ流出物は、遠隔プラズマ領域の中へのフッ素含有前駆体の流れから生成されたラジカルフッ素も含む。基板処理領域の中へのラジカル窒素酸素の流れは、露出されたケイ素の除去速度を制限する一方で、ラジカルフッ素が窒化ケイ素を除去することを可能にする。基板処理領域の中へのラジカル窒素酸素の流れは、酸化ケイ素の露出された領域に対しほとんど影響せず、ラジカルフッ素は、酸化ケイ素領域を実質的にエッチングすることができない。
本明細書で説明されるように、窒素及び酸素含有前駆体並びに結果としてのラジカル窒素酸素を含むことは、窒化ケイ素のエッチング速度に重要な影響を与え得ないが、ケイ素のエッチング速度を低減させ、比較的高い選択性をもたらす。窒素及び酸素含有前駆体は、驚いたことに、酸素よりも活発にケイ素を酸化し、普遍的に且つ信頼可能に取得され得る選択性を増加させることが、分かってきた。本明細書で説明されるエッチング処理パラメータは、以下で説明される図2の中で説明される実施形態を含む、本明細書で開示される全ての実施形態に適用される。エッチング処理100の選択性(露出された窒化ケイ素:露出されたケイ素)は、実施形態において、約20:1以上であり、約25:1以上であり、又は約30:1以上である。フッ素含有前駆体及び/又は窒素及び酸素含有前駆体は、(例えば、He、N、Arなどの)1以上の比較的に不活性なガスを更に含み得る。フッ素含有前駆体及び/又は窒素及び酸素含有前駆体は、(例えば、H、Oなどの)1以上の反応性ガスを更に含み得る。不活性ガスは、プラズマの安定性、始動性(strikability)、又は均一性を改良するために使用され得る。種々のガスの流量及び比率を使用して、エッチング速度及びエッチング選択性を制御することができる。一実施形態では、フッ素含有ガスが、約5sccm(分当たりの標準立方センチメートル)及び300sccmの間の流量のNF、約50sccm及び2slm(分当たりの標準リットル)の間の流量のNO、及び約0sccm及び3000sccmの間の流量のHeを含む。特に最初にプラズマを始動(striking)する場合に、アルゴンが含まれて、プラズマの始動を容易にし得る。処理チャンバ構成、基板サイズ、エッチングされている特徴の形状寸法及びレイアウトを含む幾つかの要因に応じて、他のガス及び/又は流量が用いられ得るということを、当業者は認識するだろう。
幾つかの水素含有前駆体も、他の前駆体と混合され、又はプラズマ領域の中に別々に流されてよいが、濃度は低く保たれるべきである。水素は、プラズマ内でフッ素含有前駆体と相互作用し、酸化物表面上に固体残留副生成物を形成することによって酸化ケイ素を除去する、前駆体を形成し得る。この反応は、露出された酸化ケイ素領域と比較して、露出された窒化ケイ素領域の選択性を低減させる。実施形態によれば、幾らかの水素を導入することは有益であり得るが、エッチング処理100の間にプラズマ領域の中への水素の流れはなくてもよいし又は本質的になくてもよい。
一般的に述べると、本明細書で説明されるエッチング処理100は、連続した(直列の)2つの遠隔プラズマ領域を有する様々なチャンバ構成を用いて実行され得る。第1の遠隔プラズマ領域は第2の遠隔プラズマ領域よりも上流であり、第2の遠隔プラズマ領域は基板処理領域よりも上流である。図1の実施例では、遠隔プラズマシステムが第1の遠隔プラズマ領域を表しており、遠隔プラズマ領域が第2の遠隔プラズマ領域であった。窒素及び酸素含有前駆体が、第1の遠隔プラズマ領域の中へ流され、フッ素含有前駆体が、第2の遠隔プラズマ領域の中へ流される。実施形態によれば、窒素及び酸素含有前駆体は、より強い陰性であり得、広げられたプロセスウインドウを用いてエッチング処理100を生成するために、幾らかの追加のプラズマ励起を必要とし得る。
第1の遠隔プラズマ領域が使用されて、概して、第2の遠隔プラズマ領域内で生成された第2の遠隔プラズマの第2の遠隔プラズマ出力よりも大きい第1の遠隔プラズマ出力を使用して生成される、第1の遠隔プラズマを生成する。そのようにして、概して、(第1の遠隔プラズマ領域よりも下流であり、基板処理領域よりも上流である)第2の遠隔プラズマ領域の中へフッ素含有前駆体を流すことは、イオン濃度を低減させ、シャワーヘッド又はイオンサプレッサ要素が、基板処理領域内のイオン密度を更に低減させることを可能にする。基板処理領域内の低減されたイオン濃度は、エッチング処理100の窒化ケイ素の選択性を更に増加させる。
望ましくは、上流の第1の遠隔プラズマ領域の中へ窒素及び酸素含有前駆体を導入する一方で、第2の遠隔プラズマ領域の中へフッ素含有前駆体を導入することによって、プロセスウインドウが広げられる。同様に、第1の遠隔プラズマ領域の中へ幾らかのフッ素含有前駆体が流され得、露出された材料のエッチングの均一性、エッチングの選択性、及びエッチング速度を微調整するために利用可能なパラメータ空間を単純に広げる。同様に、最初に第1の遠隔プラズマ領域を通過することなしに、直接的に、第2の遠隔プラズマ領域へ幾らかの窒素及び酸素含有前駆体が流され得る。搬送ガス、例えば、ヘリウムが使用されて、第1の遠隔プラズマ領域及び第2の遠隔プラズマ領域のいずれか又は両方の中へ前駆体の各々を搬送する。
今度は図2が参照され、図2は、実施形態による、窒化ケイ素の選択的なエッチング処理200のフローチャートである。最初の動作の前に、構造が、パターン形成された基板内に形成される。構造は、窒化ケイ素及びケイ素(例えば、単結晶シリコン又はポリシリコン)の露出された領域を保有する。基板は、その後、動作210において基板処理領域の中へ供給される。
亜酸化窒素(NO)が、第1の遠隔プラズマ領域の中へ流される(動作220)。NOが、遠隔プラズマ領域内で生成された第1の遠隔プラズマ内で励起される。実施形態では、第1の遠隔プラズマ領域が、基板処理チャンバの外側又は内側であり得る。窒素及び酸素含有前駆体は、第1のプラズマ領域内の第1のプラズマ内で励起され、酸化性プラズマ流出物を生成する。三フッ化窒素の流れは、第2の遠隔プラズマ領域の中へ導入され(動作225)、第2のプラズマ内で励起されて(ラジカルフッ素を含む)エッチングプラズマ流出物を生成する。一般的に述べると、窒素及び酸素含有前駆体が、第1の遠隔プラズマ領域の中へ流され、フッ素含有前駆体が、第2の遠隔プラズマ領域の中へ流される。窒素及び酸素含有前駆体並びにフッ素含有前駆体は、前に説明した実施形態のものと同じであり得る。酸化性プラズマ流出物及びエッチングプラズマ流出物は、その後、基板処理領域内で混合される(動作230)。酸化性プラズマ流出物及びエッチングプラズマ流出物は、基板処理領域へ入る前に、互いに出会うことがない。第1のプラズマ領域及び第2のプラズマ領域は、互いから異なっている。実施形態によれば、第1のプラズマ領域及び第2のプラズマ領域は、基板処理領域によって流体結合されるのみである。
露出された窒化ケイ素が、露出されたケイ素よりも速い速度で選択的に除去されるように、パターン形成された基板が選択的にエッチングされる(動作235)。前述のように、実施形態によれば、窒素及び酸素の存在は、露出されたケイ素を活発に酸化し、フッ素含有プラズマ流出物によってケイ素領域を本質的にエッチングできない状態にすることが分かってきた。露出された酸化ケイ素の領域は、パターン形成された基板上にも存在し得、本質的にエッチング不可能でもあり得る。反応性化学種が基板処理領域から除去され、その後、基板が処理領域から除去される(動作245)。
本方法は、フッ素含有前駆体並びに窒素及び酸素含有前駆体に対し、それらが遠隔プラズマ領域の中にある間に、電力を印加し、プラズマ流出物を生成することも含む。当業者によって認識されるであろうように、プラズマは、ラジカル及びイオンを含む、幾つかの荷電種及び中性種を含み得る。プラズマは、既知の技術(例えば、RF、容量性結合、誘導性結合)を用いて、生成され得る。一実施形態では、第1の遠隔プラズマ出力が、500W及び5kWの間のレベルにおいて、第1の遠隔プラズマ領域に印加される。実施形態では、誘導コイルを使用して第1の遠隔プラズマ出力が印加され得、その場合に、第1の遠隔プラズマは誘導性結合プラズマ(ICP)と呼ばれる。実施形態によれば、第2の遠隔プラズマ出力が、50W及び500Wの間のレベルにおいて、第2の遠隔プラズマ領域に印加される。実施形態によれば、第2の遠隔プラズマ出力は、第1の遠隔プラズマ出力の約20%以下であり得る。実施形態では、第2の遠隔プラズマ出力が、容量性結合プラズマであり得る。実施形態では、第1の遠隔プラズマ領域、第2の遠隔プラズマ領域、及び基板処理領域内の圧力は、約0.01Torr及び30Torrの間、又は約0.1Torr及び15Torrの間であり得る。第1の遠隔プラズマ領域及び第2の遠隔プラズマ領域は、それぞれ、基板処理領域から離れて配置され得る。第2の遠隔プラズマ領域は、第1の遠隔プラズマ領域及び基板処理領域の各々に流体結合される。第1の遠隔プラズマ領域は、第2の遠隔プラズマ領域を介する場合を除いて、基板処理領域に流体結合されない。第2の遠隔プラズマ領域は、イオンサプレッサ及び/又はシャワーヘッドによって、ガス反応領域から分離され得る。
特許請求の範囲を、完全に正しいか又はそうでないか分からない理論的なメカニズムに結び付けることを望むものではないが、可能なメカニズムについての幾らかの議論が、有益となり得る。実施形態では、ラジカル酸素を含むことが、ラジカルフッ素がケイ素及び窒化ケイ素を選択的にエッチングすることを可能にし、一方、酸化ケイ素を本質的にエッチングされない状態にする。実施形態によれば、異なる遠隔プラズマ領域の中へ窒素及び酸素含有前駆体並びにフッ素含有前駆体を供給することによって、ラジカルフッ素及びラジカル窒素酸素が、同時に生成される。ラジカルフッ素フラグメント、フッ素イオン及び原子の集結が作られて、基板処理領域の中へ供給されることを、出願人は想定する。ラジカル窒素酸素が、基板処理領域へ同時に供給されることを、出願人は更に想定する。ラジカル窒素酸素が、近傍の表面領域内の露出されたケイ素領域と反応し得、酸化ケイ素の層を生成し、それによって、ラジカル酸素が使用される場合に、ケイ素の露出された領域が酸化ケイ素の露出された領域と同様の挙動を示す。結論として、本明細書で概要が説明されるエッチング方法は、ケイ素及び酸化ケイ素の両方に対して窒化ケイ素の選択性を獲得する。
実施形態では、例示的な装備のセクション内で説明されるイオンサプレッサが、窒化ケイ素を選択的にエッチングするために、ラジカル種及び/又は中性種を提供するように使用され得る。イオンサプレッサは、イオンサプレッション要素とも呼ばれ得る。実施形態では、例えば、イオンサプレッサが使用されて、(ラジカルフッ素を含む)エッチングプラズマ流出物をフィルタリングし、窒化ケイ素を選択的にエッチングする。イオンサプレッサは、本明細書で説明される各例示的なプロセス内に含まれ得る。プラズマ流出物を使用して、ケイ素及び酸化ケイ素に対する窒化ケイ素のエッチング速度の選択性が、獲得され得る。
イオンサプレッサは、イオンよりラジカルの濃度が高い反応ガスを供給するために、用いられ得る。イオンサプレッサは、プラズマ生成領域から基板まで移動しているイオン的に帯電した種を、徹底的に低減させ又は実質的に除去するように機能する。イオンサプレッサの他方の側の遠隔プラズマ領域内のプラズマの励起の間に、基板処理領域内でラングミュア探針(Langmuir probe)を使用して、電子温度が測定され得る。実施形態では、電子温度が、0.5eV未満、0.45eV未満、0.4eV未満、又は0.35eV未満であり得る。電子温度に対するこれらの極めて低い値は、基板処理領域と遠隔プラズマ領域との間に配置される、シャワーヘッド及び/又はイオンサプレッサの存在によって可能になる。荷電されていない中性種及びラジカル種は、イオンサプレッサの開口部を通過して、基板において反応し得る。プラズマの荷電粒子の大部分が、イオンサプレッサによってフィルタリング又は除去されるので、基板は、エッチング処理の間に必ずしもバイアスされない。ラジカル種及び他の中性種を用いるそのようなプロセスは、スパッタリング及びボンバードメントを含む従来のプラズマエッチング処理と比較して、プラズマ損傷を低減させることができる。イオンサプレッサは、プロセスを支援するレベルで、反応領域内のイオン種の濃度を制御する助けとなる。本発明の実施形態は、液体の表面張力が、小さい特徴の曲げ及び剥離を引き起こすことがあり得る、従来の湿式エッチング処理より有利でもある。
更なる処理パラメータが、例示的な処理チャンバ及びシステムを説明する過程で開示される。
例示的な処理システム
図3Aは、実施形態による基板処理チャンバ1001である。遠隔プラズマシステム1010は、その後にガス入り口アセンブリ1011を通過する、フッ素含有前駆体を処理し得る。2つの異なるガス供給チャネルが、ガス入り口アセンブリ1011の中に見える。第1のチャネル1012が、遠隔プラズマシステム1010(RPS)をちょうど通過した前駆体を導き、一方、第2のチャネル1013が、遠隔プラズマシステム1010を迂回した前駆体を導く。第1のチャネル1012が、窒素及び酸素含有前駆体を導き、第2のチャネル1013が、フッ素含有前駆体を導く。
リッド(又は導電性頂上部)1021及び貫通孔のあるパーティション1053が、その間にある絶縁リング1024とともに示され、このリングは、AC電位が、貫通孔のあるパーティション1053に対してリッド1021に印加されることを可能にする。AC電位が、チャンバプラズマ領域1020の中でプラズマを始動する。ラジカル窒素酸素(すなわち、プラズマ励起された窒素及び酸素含有前駆体)が、第1のチャネル1012を通りチャンバプラズマ領域1020の中へ移動し得、チャンバプラズマ領域1020内のプラズマによって更に励起され得る。フッ素含有前駆体が、第2のチャネル1013を通って流れ、RPS1010ではなく、チャンバプラズマ領域1020によってのみ励起される。(シャワーヘッドとも呼ばれる)貫通孔のあるパーティション1053が、チャンバプラズマ領域1020を、シャワーヘッド1053の下の基板処理領域1070から分離する。シャワーヘッド1053は、チャンバプラズマ領域1020内にあるプラズマが、基板処理領域1070内のガスを直接的に励起することを回避することを可能にするが、一方で、励起された種が、チャンバプラズマ領域1020から基板処理領域1070の中へ進むことを未だ可能にする。
シャワーヘッド1053が、チャンバプラズマ領域1020と基板処理領域1070との間に配置され、遠隔プラズマシステム1010及び/又はチャンバプラズマ領域1020の内部で作られるプラズマ流出物(前駆体の励起された誘導体又は他のガス)が、プレートの厚さを横切る複数の貫通孔1056を通過することを可能にする。実施形態では、シャワーヘッド1053が、(RPS1010内で励起された酸化性プラズマ流出物などの)蒸気又はガスの状態の前駆体によって満たされ得る1以上の中空の容積1051をも有し、それらは、小さい孔1055を通って基板処理領域1070の中へ進むが、直接的にチャンバプラズマ領域1020の中へは進まない。小さい孔1055は、止まり穴(blind hole)として説明され得、そのことは、小さい孔1055が貫通項1056のようにチャンバプラズマ領域1020と直接的に流体結合されていないことを意味する。この開示される実施形態では、シャワーヘッド1053が、貫通孔1056の最小直径1050の長さより厚い。チャンバプラズマ領域1020から基板処理領域1070へ通る励起された種のかなり高い濃度を維持するために、貫通孔の最小直径1050の長さ1026は、シャワーヘッド1053を通って途中まで、貫通孔1056のより大きな直径部分を形成することによって、限定され得る。実施形態では、貫通孔1056の最小直径1050の長さが、貫通孔1056の最小直径と同じ桁又はそれ未満の大きさであり得る。
図3Aで示されるように、シャワーヘッド1053は、イオンサプレッサの目的を果たすように構成され得る。代替的には、基板処理領域1070の中へ移動するイオン濃度を抑制する(図示せぬ)別の処理チャンバ要素が、含まれ得る。リッド1021及びシャワーヘッド1053が、異なる電圧を受けることができるように、リッド1021及びシャワーヘッド1053は、それぞれ、第1の電極及び第2の電極として機能し得る。これらの構成では、電力(例えば、RF電力)が、リッド1021、シャワーヘッド1053、又はそれらの両方に印加され得る。例えば、(イオンサプレッサとして働く)シャワーヘッド1053が接地される一方で、電力がリッド1021に印加され得る。基板処理システムは、リッド及び/又はシャワーヘッド1053に電力を供給するRFジェネレータを含み得る。リッド1021に印加される電圧は、チャンバプラズマ領域1020内のプラズマの均一な分布を促進し得る(すなわち、局所プラズマを低減させ得る)。チャンバプラズマ領域1020内のプラズマの生成を可能にするために、絶縁リング1024が、リッド1021をシャワーヘッド1053から電気的に絶縁し得る。絶縁リング1024は、セラミックスから作られ得、スパークを回避するために高い破壊電圧を有し得る。上述した容量性結合プラズマ構成要素の近傍の、基板処理チャンバ1001の部分は、プラズマに晒される表面を循環する冷却剤(例えば、水)で冷却するために、1以上の冷却流体チャネルを含む(図示せぬ)冷却ユニットを更に含み得る。
示された実施形態では、シャワーヘッド1053が、酸素、フッ素、及び/若しくは窒素を含有するプロセスガス、並びに/又はチャンバプラズマ領域1020内のプラズマによって励起された、そのようなプロセスガスのプラズマ流出物を(貫通孔1056を通じて)分配し得る。実施形態によれば、遠隔プラズマシステム1010及び/又はチャンバプラズマ領域1020の中へ導入されたプロセスガスは、フッ素(例えば、F、NF、又はXeF)を含有し得る。プロセスガスは、ヘリウム、アルゴン、窒素(N)などの搬送ガスをも含み得る。プラズマ流出物は、プロセスガスのイオン化された又は中性の誘導体を含み得、導入されるプロセスガスの原子成分を指すラジカルフッ素前駆体とも、本明細書で呼ばれ得る。
貫通孔1056は、チャンバプラズマ領域1020からのイオン帯電種の移動を抑制するが、一方、非帯電の中性種又はラジカル種がシャワーヘッド1053を通って基板処理領域1070の中へ進むことを可能にするように構成される。これらの非帯電種は、貫通孔1056によって反応性の低い搬送ガスと共に輸送される反応性の高い種を含み得る。上述のように、貫通孔1056によるイオン種の移動は、低減され得、ある場合では、完全に抑制又は本質的に除去され得る。シャワーヘッド1053を通過するイオン種の量を制御することは、下にあるウエハ基板と接触させられる混合ガスに対する制御を増大させ、これは次に、混合ガスの堆積及び/又はエッチング特性の制御を増大させる。例えば、混合ガスのイオン濃度の調整は、そのエッチング選択性(例えば、窒化ケイ素:ケイ素のエッチング比)を著しく変更することができる。
実施形態によれば、貫通孔1056の数は、約60及び約2000の間であり得る。貫通孔1056は、様々な形状を有し得るが、最も容易に円形状に作られ得る。実施形態では、貫通孔1056の最小直径1050が、約0.5mm及び約20mmの間、又は約1mm及び約6mmの間であり得る。貫通孔の断面形状を選択するに際にも自由裁量があり、それは、円錐形状、円筒形状、又はそれらの2つの形状の組み合わせで作られ得る。実施形態では、基板処理領域1070の中へ励起されていない前駆体を導入するために用いられる小さい孔1055の数が、約100及び約5000の間、又は約500及び約2000の間であり得る。小さい孔1055の直径は、約0.1mm及び約2mmの間であり得る。
貫通孔1056は、プラズマ活性ガス(すなわち、イオン種、ラジカル種、及び/又は中性種)のシャワーヘッド1053の通過を制御するように構成され得る。例えば、孔のアスペクト比(すなわち、孔の長さに対する直径)及び/又は孔の形状寸法は、シャワーヘッド1053を通る活性ガスの中のイオン帯電種の流量が減少するように制御され得る。シャワーヘッド1053内の貫通孔1056は、チャンバプラズマ領域1020に面するテーパ部、及び基板処理領域1070に面する円筒部を含み得る。円筒部は、基板処理領域1070の中へ進むイオン種の流量を制御するように比例形成及び寸法形成され得る。調整可能な電気的バイアスも、シャワーヘッド1053を通るイオン種の流量を制御する更なる手段として、シャワーヘッド1053に印加され得る。
代替的に、貫通孔1056は、シャワーヘッド1053の上面に向かって、より小さい内径(ID)を有し得、底面に向かって、より大きいIDを有し得る。貫通孔1056は、シャワーヘッド1053の上面に向かって、より大きい内径を有し得、シャワーヘッドの底面に向かって、より小さいIDを有し得る。更に、貫通孔1056の底部エッジは、プラズマ流出物がシャワーヘッドを出て行く際に、基板処理領域1070内のプラズマ流出物を均等に分布させるのを助け、プラズマ流出物及び前駆体ガスの均等な分布を促進するように、面取りされ得る。より小さいIDは、貫通孔1056に沿って様々な場所に置かれ得、シャワーヘッド1053が基板処理領域1070内のイオン密度を減少させることを、なお可能にし得る。イオン密度の減少は、基板処理領域1070の中に入る前の壁との衝突の数の増加に起因する。衝突の各々が、壁からの電子の獲得又は喪失によりイオンが中性化される確率を増加させる。一般的に述べると、貫通孔1056のより小さいIDは、約0.2mm及び約20mmの間であり得る。実施形態によれば、より小さいIDは、約1mm及び6mmの間、又は約0.2mm及び約5mmの間であり得る。更に、貫通孔1056のアスペクト比(すなわち、孔の長さに対する、より小さいIDの比)は、近似的に1対20であり得る。貫通孔のより小さいIDは、貫通孔の長さに沿って見い出される最小のIDであり得る。貫通孔1056の断面形状は、概して、円筒形状、円錐形状、又はそれらの任意の組合せであり得る。
図3Bは、実施形態による、処理チャンバで使用するためのシャワーヘッド1053の底面図である。シャワーヘッド1053は、図3Aで示されたシャワーヘッドに対応する。シャワーヘッド1053の底部に大きい内径(ID)を有し、上部に小さいIDを有する貫通孔1056が、描かれている。実施形態では、小さい孔1055が、シャワーヘッドの表面上にわたって実質的に均等に分布され、それは、より均等な混合を提供する助けとなる貫通孔1056の間でさえそうなっている。
フッ素含有プラズマ流出物及び酸素含有プラズマ流出物が、シャワーヘッド1053内の貫通孔1056を通って到達する際に、例示的なパターン形成された基板が、基板処理領域1070内の(図示せぬ)ペデスタルによって支持され得る。基板処理領域1070は、硬化などの他の処理のためにプラズマを維持するように装備され得るが、実施形態では、パターン形成された基板のエッチングの間にプラズマが存在しない。
シャワーヘッド1053の上のチャンバプラズマ領域1020内、又はシャワーヘッド1053の下の基板処理領域1070内のいずれかにおいて、プラズマが生成され得るフッ素含有前駆体の流入からラジカルフッ素を作るために、プラズマがチャンバプラズマ領域1020内に存在する。堆積の間にチャンバプラズマ領域1020内でプラズマを生成するために、典型的には高周波(RF)域内にあるAC電圧が、処理チャンバの導電性頂上部(リッド1021)とシャワーヘッド1053との間に印加される。RF電源供給は、13.56MHzの高いRF周波数を生成するが、単独で又は13.56MHz周波数と組み合わせて、他の周波数も生成し得る。
基板処理領域1070内の底部プラズマが、膜を硬化させるため又は基板処理領域1070を縁取る内装表面を洗浄するために生成される際に、上部プラズマは、低電力又は電力なしにおいて放置され得る。シャワーヘッド1053とペデスタル又はチャンバの底部の間にAC電圧を印加することによって、基板処理領域1070内にプラズマが生成される。プラズマが存在している間に、洗浄ガスが、基板処理領域1070の中へ導入され得る。
ペデスタルは、基板の温度を制御するために熱交換流体が通って流れるところの熱交換チャネルを有し得る。この構成は、基板温度が、比較的低い温度(−20℃から約120℃まで)を維持するように冷却又は加熱されることを可能にする。熱交換流体は、エチレングリコール及び水を含み得る。ペデスタルのウエハ支持体プラター(好ましくは、アルミニウム、セラミック、又はそれらの組み合わせ)も、平行な同心円の形態で2回転するように構成された埋め込まれた単一ループの埋め込まれたヒータ要素を用いて、比較的高い温度(約120℃から約1100℃まで)を達成するために、抵抗加熱され得る。ヒータ要素の内側部分が、より小さい半径を持つ同心円の軌道上を走る一方で、ヒータ要素の外側部分は、支持体プラターの周囲に隣接して走り得る。ヒータ要素への配線は、ペデスタルのステムを通る。
チャンバプラズマ領域又は遠隔プラズマシステム内の領域は、遠隔プラズマ領域と呼ばれ得る。実施形態では、ラジカル前駆体(例えば、ラジカルフッ素及びラジカル窒素酸素)が、遠隔プラズマ領域内で生成され、基板処理領域の中へ移動し、その混合物が、窒化ケイ素を優先的にエッチングする。(共にプラズマ流出物と呼ばれ得る)ラジカルフッ素及びラジカル窒素酸素が、基板処理領域内で更に励起されないことを保証するために、実施形態では、プラズマ出力が本質的に遠隔プラズマ領域に対してのみ印加され得る。
チャンバプラズマ領域を用いる実施形態では、励起されたプラズマ流出物が、堆積領域から仕切られた基板処理領域のセクション内で生成される(又はラジカル窒素酸素の場合では更に励起される)。本明細書中で基板処理領域としても知られる堆積領域は、パターン形成された基板(例えば、半導体ウエハ)をエッチングするために、プラズマ流出物が混合し反応するところである。励起されたプラズマ流出物も、不活性ガス(例示的な場合において、アルゴン)に伴われ得える。パターン形成された基板のエッチング処理の間に、基板処理領域は、本明細書中で「プラズマフリー(plasma‐free)」と記載され得る。「プラズマフリー」は、領域にプラズマがないということを必ずしも意味しない。プラズマ領域内で生成された比較的低い濃度のイオン化種及び自由電子が、貫通孔1056の形状及びサイズのために、パーティション(シャワーヘッド/イオンサプレッサ)内の孔(開孔)を通って移動する。ある実施形態では、基板処理領域内に、イオン化種及び自由電子の濃度が本質的に存在しない。チャンバプラズマ領域内のプラズマの境界は、画定することが難しく、シャワーヘッド内の開孔を通って基板処理領域に侵入し得る。誘導性結合プラズマの場合では、基板処理領域内で直接的に少量のイオン化が行われ得る。更に、低電力プラズマが、形成している膜の特徴を除去することなく、基板処理領域内で生成され得る。励起されたプラズマ流出物の生成の間に、プラズマがチャンバプラズマ領域(又は、その点において、遠隔プラズマ領域)よりもはるかに低い強度のイオン密度を有することの全ての原因が、本明細書で用いられる「プラズマフリー」の範囲から逸脱しない。
実施形態では、三フッ化窒素(又は他のフッ素含有前駆体)が、約5sccm及び約500sccmの間、約10sccmから約300sccmの間、約25sccmから約200sccmの間、約50sccm及び約150sccmの間、又は約75sccm及び約125sccmの間の速度で、チャンバプラズマ領域1020の中へ流され得る。亜酸化窒素(又は別の窒素及び酸素含有前駆体)は、約250sccm以上、約500sccm以上、約1slm以上、約2slm以上、又は約5slm以上の速度で、遠隔プラズマ領域1010、及び、その後、(連続して)チャンバプラズマ領域1020の中へ流され得る。
チャンバの中へのフッ素含有前駆体並びに窒素及び酸素含有前駆体の混合された流量が、全体のガス混合物の体積の0.05%から約20%までを占め得、残りは搬送ガスである。実施形態によれば、フッ素含有前駆体並びに窒素及び酸素含有前駆体は、遠隔プラズマ領域の中へ流されるが、プラズマ流出物は、同じ体積流量比を有する。フッ素含有前駆体の場合では、遠隔プラズマ領域内の圧力を安定化させるために、パージ又は搬送ガスが、フッ素含有ガスの前に、遠隔プラズマ領域の中へ最初に入れられ得る。
第1の遠隔プラズマ領域及び第2の遠隔プラズマ領域に印加されるプラズマ出力は、様々な周波数又は複数の周波数の組み合わせであり得、2つの遠隔プラズマの間で異なり得る。例示的な処理システムでは、第2の遠隔プラズマが、リッド1021とシャワーヘッド1053との間に供給されるRF電力によって提供される。実施形態では、第1の遠隔プラズマ領域(実施例において、RPS1010)に印加されるRF電力が、約250ワット及び約15000ワットの間、約500ワット及び約5000ワットの間、又は約1000ワット及び約2000ワットの間であり得る。実施形態によれば、第2の遠隔プラズマ領域(実施例において、チャンバプラズマ領域1020)に印加されるRF電力が、約10ワット及び約1500ワットの間、約20ワット及び約1000ワットの間、約50ワット及び約500ワットの間、又は約100ワット及び約200ワットの間であり得る。実施形態によれば、例示的な処理システム内で印加されるRF周波数は、約200kHz未満の低RF周波数、約10MHz及び約15MHzの間の高RF周波数、又は約1GHz以上のマイクロ波周波数であり得る。
要求される(clamied)エッチング処理の間に、基板の温度は、約−30℃及び約150℃の間であり得る。この範囲内のより低い方の温度に対して、エッチング速度は、より高いことが見出された。実施形態では、本明細書で説明されるエッチング処理の間の基板の温度が、約−20℃、約0℃以上、約5℃以上、又は約10℃以上であり得る。実施形態では、基板の温度は、約150℃以下、約100℃以下、約50℃以下、約30℃以下、約20℃以下、約15℃以下、又は約10℃以下であり得る。温度又は圧力についての任意の上限が、下限と組み合わされて、更なる実施形態を形成し得る。
基板処理領域1070、遠隔プラズマシステム1010、又はチャンバプラズマ領域1020は、基板処理領域1070の中への搬送ガス及びプラズマ流出物の流入の間に、様々な圧力において維持されることができる。基板処理領域内の圧力は、約50Torr以下、約30Torr以下、約20Torr以下、約10Torr以下、又は約5Torr以下である。実施形態では、圧力が、約0.01Torr以上、約0.1Torr以上、約0.2Torr以上、約0.5Torr以上、又は約1Torr以上であり得る。圧力の下限が圧力の上限と組み合わされて、更なる実施形態が生成され得る。データが、処理圧力の関数としてエッチング速度における増加を示し、負荷効果における関連した増加を示し、それらは、所与のプロセス流れに対して望ましい又は許容できるかもしれないし又はそうでないかもしれない。
実施形態では、基板処理チャンバ1001が、カリフォルニア州サンタクララのアプライドマテリアルズインコーポレイテッドから購入可能なProducer(登録商標)GT、Centura(登録商標)AP、及びEndura(登録商標)platformsを含む、様々なマルチプロセシングプラットフォームに統合され得る。そのような処理プラットフォームは、真空を壊すことなしに、幾つかの処理動作を実行することができる。本明細書で開示される方法を実施し得る処理チャンバは、数ある種類のチャンバの中で、誘電体エッチングチャンバ又は様々な化学気相堆積チャンバを含み得る。
処理チャンバは、集積回路チップを製造するために、より大きな製作システムに組み込まれ得る。図4は、実施形態による、堆積、焼成、及び硬化チャンバのうちの1つのそのようなシステム1101を示す。図面では、一対のFOUP(前面開口型統一ポッド)1102によって、基板(例えば、300mmの直径のウエハ)が供給され、この基板をロボットアーム1104が受け止め、ウエハ処理チャンバ1108a‐fのうちの1つの中へ配置される前に、低圧保持領域1106の中に配置される。第2のロボットアーム1110が使用されて、基板ウエハを低圧保持領域1106からウエハ処理チャンバ1108a‐fへ搬送し、戻すことができる。各ウエハ処理チャンバ1108a‐fが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理気相堆積(PVD)、エッチング、前洗浄、ガス抜き、配向、及び他の基板処理に加えて、本明細書で説明されるドライエッチング処理を含む、幾つかの基板処理作業を行うように、装備されることができる。
ウエハ処理チャンバ1108a‐fは、基板ウエハ上で誘電体膜を堆積し、アニールし、硬化し、及び/又はエッチングするための1以上のシステム構成要素を含み得る。1つの構成では、2対の処理チャンバ(例えば、1108c‐d及び1108e‐f)が、誘電体材料を基板上に堆積するために使用され得、第3の対の処理チャンバ(例えば、1108a‐b)が使用されて、堆積された誘電体をエッチングし得る。別の1つの構成では、3対の全てのチャンバ(例えば、1108a‐f)が、基板上の誘電体膜をエッチングするように構成され得る。説明された処理の任意の1以上は、実施形態で示される製作システムから分離されたチャンバ(複数可)上で実行され得る。
基板処理システムは、システムコントローラによって制御される。例示的な一実施形態では、システムコントローラが、ハードディスクドライブ、フロッピーディスクドライブ、及びプロセッサを含む。プロセッサは、シングルボードコンピュータ(SBC)、アナログ及びデジタル入力/出力ボード、インターフェースボード、及びステッパモータコントローラボードを含む。CVDシステムの様々な部品は、ボード、カードケージ、並びにコネクタの寸法及び型を規定するVersa Modular European(VME)規格に従う。VME規格は、バス構造を、16ビットデータバス及び24ビットアドレスバスを有するものとしても規定する。
システムコントローラ1157が、使用されて、モータ、バルブ、流量コントローラ、電源、及び本明細書で説明される処理レシピ(process recipe)を実行するために必要とされる他の機能を制御する。ガスハンドリングシステム1155も、システムコントローラ1157によって制御され、ガスをウエハ処理チャンバ1108a‐fのうちの1つ又は全てに導入し得る。システムコントローラ1157は、光学式センサからのフィードバックに依存して、ガスハンドリングシステム1155及び/又はウエハ処理チャンバ1108a‐f内の可動機械アセンブリの位置を決定し且つ調整し得る。機械アセンブリは、システムコントローラ1157の制御の下でモータによって動かされるロボット、スロットルバルブ、及びサセプタを含み得る。
例示的な一実施形態では、システムコントローラ1157が、ハードディスクドライブ(メモリ)、USBポート、フロッピーディスクドライブ、及びプロセッサを含む。システムコントローラ1157は、アナログ及びデジタル入力/出力ボード、インターフェースボード、及びステッパモータコントローラボードを含む。基板処理チャンバ1001を含むマルチチャンバ処理システム1101の様々な部分が、システムコントローラ1157によって制御される。システムコントローラは、ハードディスク、フロッピーディスク、又はフラッシュメモリサムドライブなどの、コンピュータ可読媒体に格納されたコンピュータプログラムの形態のシステム制御ソフトウェアを実行する。他の種類のメモリが使用されることも可能である。コンピュータプログラムは、特定のプロセスの、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタ位置、及び他のパラメータを指示する命令のセットを含む。
基板上で膜をエッチングする、堆積させる、若しくは他の方法で処理するためのプロセス、又はチャンバを洗浄するためのプロセスが、コントローラによって実行されるコンピュータプログラム製品を用いて実施され得る。コンピュータプログラムコードは、任意の伝統的なコンピュータ可読プログラミング言語、例えば、68000アセンブリ言語、C、C++、Pascal、Fortfan、又はその他で書くことができる。適当なプログラムコードが、通常のテキストエディタを用いて、単一のファイル又は複数のファイルの中に入れられ、コンピュータのメモリシステムなどの、コンピュータ使用可能媒体の中に格納又は取り入れられる。入れられたコードテキストが、高級言語である場合に、コードはコンパイルされ、結果として生じるコンパイラコードが、その後、プリコンパイルされたMicrosoft Windows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するために、システムのユーザは、オブジェクトコードを呼び出し、コンピュータシステムに対し、コードをメモリの中にロードするようにさせる。CPUは、それから、コードを読み、実行し、プログラムの中に同定されたタスクを行なう。
ユーザとコントローラとの間のインターフェースは、タッチセンサー式のモニタを介してもよく、マウス及びキーボードをも含み得る。一実施形態では、2つのモニタが用いられ、一方はオペレーターのためにクリーンルームの壁の中に据え付けられ、他方は保守技術者のために壁の後ろに据え付けられる。2つのモニタが、同じ情報を同時に表示してもよく、その場合に、一度に1つだけが、入力を受け取るように構成される。特定のスクリーン又は機能を選択するために、オペレーターは、表示スクリーン上の指定された領域を、指又はマウスでタッチする。タッチされた領域は、ハイライト表示された色を変化させ、又は新しいメニュー若しくはスクリーンが表示され、オペレーターの選択を確認する。
本明細書で使用されているように、「基板」は、その上に層が形成されるかどうかにかかわらず、支持基板であり得る。パターン形成された基板は、種々のドーピング濃度及びプロファイルの絶縁体又は半導体であってよく、例えば、集積回路の製造で使用される種類の半導体基板であり得る。パターン形成された基板の露出された「ケイ素」は、主にSiであるが、窒素、酸素、水素、及び炭素などの他の元素成分のわずかな濃度を含んでよい。パターン形成された基板の露出された「窒化ケイ素」は、主にSiであるが、酸素、水素、及び炭素などの他の元素成分のわずかな濃度を含んでよい。パターン形成された基板の露出された「酸化ケイ素」は、主にSiOであるが、窒素、水素、及び炭素などの他の元素成分のわずかな濃度を含んでよい。ある実施形態では、本明細書で議論される酸化ケイ素の膜が、本質的にケイ素と酸素から成る。
「前駆体」という用語は、材料を表面から除去するか又は材料を表面上に堆積させるための反応に関与する任意のプロセスガスを指すために用いられる。「プラズマ流出物」は、チャンバプラズマ領域から出て基板処理領域に入るガスを言う。プラズマ流出物は、ガス分子の少なくとも一部が、振動励起状態、解離状態、及び/又はイオン化状態にある「励起状態」である。「ラジカル前駆体」は、材料を表面から除去するか又は材料を表面上に堆積させるための反応に関与するプラズマ流出物(プラズマを出て行きつつある励起状態にあるガス)を記述するために用いられる。「ラジカルフッ素」(又は「ラジカル酸素」又は「ラジカル窒素酸素」)は、フッ素(又は酸素若しくは窒素及び酸素)を含有するラジカル前駆体であるが、他の元素成分を含有し得る。「不活性ガス」という語句は、エッチング処理の間又は後において化学結合を形成しない任意のガスを指す。代表的な不活性ガスは、希ガスを含むが、(典型的には)痕跡量が膜の中に捕えられる場合に、いかなる化学結合も形成されない限り、他のガスを含んでよい。
「間隙」及び「トレンチ」という用語は、エッチングされる形状寸法が大きな水平アスペクト比を有するということを含意せず、終始用いられる。表面上から見ると、トレンチは、円形、卵形、多角形、長方形、又は様々な他の形に見え得る。トレンチは、材料の島の周囲の堀の形であり得る。「ビア」という用語は、垂直の電気的接続を形成するために、金属で充填されていてもよいし又はされていなくてもよい低アスペクト比のトレンチ(上から見て)を指すために、用いられる。本明細書で使用されるとき、共形エッチング処理とは、表面と同じ形状での、表面上の材料の概して均一な除去を指し、すなわち、エッチングされた層の表面とエッチング前の表面とが概して平行である。当業者は、エッチングされるインターフェースが100%共形ということはありそうにないということを認めるであろうから、「概して」という用語は、受け入れ可能な許容範囲を見込む。
幾つかの実施形態を開示したが、当業者には、開示された実施形態の主旨から逸脱することなく、様々な変更例、代替構造物、及び同等物を使用することができることが理解されるだろう。更に、本発明を不必要に不明瞭にすることを回避するために、幾つかの既知のプロセス及び要素は説明されなかった。したがって、上記の記載により、本発明の範囲を限定するものと解釈すべきでない。
ある範囲の値が与えられる場合、その範囲の上限と下限との間の各介在値は、文脈上別途明示しない限り、下限の単位の10分の1まで明確に開示されていることを理解されたい。規定範囲における任意の規定値又は介在値と、その規定範囲における任意の他の規定値又は介在値との間のより小さい各々の範囲が包含される。これらのより小さい範囲の上限および下限は、独立してその範囲内に含まれても除外されてもよく、そのより小さな範囲内に限度のいずれかを含む範囲、又はどちらも含まない範囲、又は両方を含む範囲は、各々、規定範囲内で任意の特に除外された限度に従って、さらに本発明内に包含される。規定範囲が限度の一方又は両方を含む場合、これらの含まれる限度のいずれか又は両方を除外する範囲も含まれる。
本明細書及び添付の特許請求の範囲で使用される単数形「1つの(a)」、「1つの(an)」、及び「その(the)」は、文脈上別途明示しない限り複数の指示物を含む。したがって、例えば、「1つのプロセス(a process)」への参照は、複数のそのようなプロセスを含み、「その誘電体材料(the dielectric material)」への参照は、1以上の誘電体材料及び当業者に既知のその同等物への参照を含む、等々である。
さらに、「備える(comprise)」、「備えている(comprising)」、「含む(include)」、「含んでいる(including)」、及び「含む(includes)」という語は、本明細書及び添付の特許請求の範囲で使用されるとき、提示した特徴、完全体、構成要素、又はステップの存在を特定することを意図するが、1以上の他の特徴、完全体、構成要素、ステップ、作用、又は群の存在又は追加を排除しない。

Claims (15)

  1. パターン形成された基板をエッチングする方法であって、前記方法が、
    露出された窒化ケイ素を有する前記パターン形成された基板を、基板処理チャンバの基板処理領域の中へ移送すること、
    窒素及び酸素含有前駆体を、第2の遠隔プラズマ領域に流体結合された第1の遠隔プラズマ領域の中へ流しながら、前記第1の遠隔プラズマ領域内で第1の遠隔プラズマを生成して、酸化性プラズマ流出物を生み出すこと、
    フッ素含有前駆体を、前記基板処理領域に流体結合された前記第2の遠隔プラズマ領域の中へ流しながら、前記第2の遠隔プラズマ領域内で第2の遠隔プラズマを生成して、エッチングプラズマ流出物を生み出すことであって、前記酸化性プラズマ流出物が前記第2の遠隔プラズマ内で更に励起される、エッチングプラズマ流出物を生み出すこと、
    前記酸化性プラズマ流出物及び前記エッチングプラズマ流出物の各々を、シャワーヘッド内の貫通孔を通して前記基板処理領域の中へ流すこと、並びに
    前記露出された窒化ケイ素をエッチングすることであって、前記パターン形成された基板が露出されたケイ素を更に含む、エッチングすることを含む、方法。
  2. 前記窒素及び酸素含有前駆体が、NO、NO、NO、又はNのうちの1つを含む、請求項1に記載の方法。
  3. 前記第1の遠隔プラズマが、誘導性結合プラズマである、請求項1に記載の方法。
  4. 前記第2の遠隔プラズマが、容量性結合プラズマである、請求項1に記載の方法。
  5. 前記エッチング動作の選択性(露出された窒化ケイ素:露出されたケイ素)が、約20:1以上である、請求項1に記載の方法。
  6. 前記フッ素含有前駆体が、NFを含む、請求項1に記載の方法。
  7. 前記フッ素含有前駆体が、フッ化水素、原子状フッ素、二原子フッ素、四フッ化炭素、及び二フッ化キセノンから成る群から選択された前駆体を含む、請求項1に記載の方法。
  8. パターン形成された基板をエッチングする方法であって、前記方法が、
    露出された窒化ケイ素及び露出されたケイ素を含む前記パターン形成された基板を、基板処理チャンバの基板処理領域の中へ移送すること、
    窒素及び酸素含有前駆体を、第1の遠隔プラズマ領域の中へ流しながら、前記第1の遠隔プラズマ領域内で第1の遠隔プラズマを生成して、酸化性プラズマ流出物を生み出すこと、
    フッ素含有前駆体を、前記第1の遠隔プラズマ領域とは異なる第2の遠隔プラズマ領域の中へ流しながら、前記第2の遠隔プラズマ領域内で第2の遠隔プラズマを生成して、ラジカルフッ素を生み出すこと、
    前記基板処理チャンバ内で前記酸化性プラズマ流出物を前記ラジカルフッ素と混合させることであって、前記酸化性プラズマ流出物及び前記ラジカルフッ素が、複数のチャネルを有するシャワーヘッドの個別のチャネルを通して流される、混合させること、並びに
    前記露出されたケイ素よりも速いエッチング速度で前記露出された窒化ケイ素を選択的にエッチングすることを含む、方法。
  9. 前記ラジカルフッ素及び前記酸化性プラズマ流出物が、前記基板処理領域に入る前に、互いに出会うことがない、請求項8に記載の方法。
  10. 前記窒素及び酸素含有前駆体が、窒素及び酸素から成る、請求項8に記載の方法。
  11. 前記窒素及び酸素含有前駆体が、NO、NO、NO、又はNのうちの1つを含む、請求項8に記載の方法。
  12. 前記第1の遠隔プラズマが誘導性結合プラズマであり、前記第2の遠隔プラズマが容量性結合プラズマである、請求項8に記載の方法。
  13. 前記フッ素含有前駆体が、NFを含む、請求項8に記載の方法。
  14. 前記フッ素含有前駆体が、フッ化水素、原子状フッ素、二原子フッ素、四フッ化炭素、及び二フッ化キセノンから成る群から選択された前駆体を含む、請求項8に記載の方法。
  15. パターン形成された基板をエッチングする方法であって、前記方法が、
    露出された窒化ケイ素及び露出されたケイ素を含む前記パターン形成された基板を、基板処理チャンバの基板処理領域の中へ移送すること、
    Oを、前記基板処理チャンバの外側に配置された第1の遠隔プラズマの中へ流し、酸化性プラズマ流出物を生み出すこと、
    NFを、前記第1の遠隔プラズマから分離された第2の遠隔プラズマの中へ流し、フッ素含有プラズマ流出物を生み出すことであって、前記NFが前記第1の遠隔プラズマ内で実質的に励起されない、フッ素含有プラズマ流出物を生み出すこと、
    前記基板処理チャンバ内で前記酸化性プラズマ流出物を前記フッ素含有プラズマ流出物と混合させること、並びに
    前記露出されたケイ素に対して前記露出された窒化ケイ素を選択的にエッチングすることを含む、方法。
JP2016541971A 2013-09-16 2014-07-31 窒化ケイ素の選択的なエッチング Active JP6553049B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361878444P 2013-09-16 2013-09-16
US61/878,444 2013-09-16
US14/089,182 2013-11-25
US14/089,182 US8956980B1 (en) 2013-09-16 2013-11-25 Selective etch of silicon nitride
PCT/US2014/049215 WO2015038252A1 (en) 2013-09-16 2014-07-31 Selective etch of silicon nitride

Publications (2)

Publication Number Publication Date
JP2016537824A true JP2016537824A (ja) 2016-12-01
JP6553049B2 JP6553049B2 (ja) 2019-07-31

Family

ID=52463598

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016541971A Active JP6553049B2 (ja) 2013-09-16 2014-07-31 窒化ケイ素の選択的なエッチング

Country Status (6)

Country Link
US (2) US8956980B1 (ja)
JP (1) JP6553049B2 (ja)
KR (1) KR102305317B1 (ja)
CN (1) CN105580118B (ja)
TW (1) TWI631614B (ja)
WO (1) WO2015038252A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020529731A (ja) * 2017-08-04 2020-10-08 マイクロマテリアルズ エルエルシー 改善された金属コンタクトランディング構造
JP2020167277A (ja) * 2019-03-29 2020-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
US11515179B2 (en) 2018-02-15 2022-11-29 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8916477B2 (en) * 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) * 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9653310B1 (en) 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10381235B2 (en) * 2016-05-29 2019-08-13 Tokyo Electron Limited Method of selective silicon nitride etching
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6763750B2 (ja) 2016-11-07 2020-09-30 東京エレクトロン株式会社 被処理体を処理する方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) * 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10699911B2 (en) 2017-11-07 2020-06-30 Tokyo Electron Limited Method of conformal etching selective to other materials
KR102003362B1 (ko) * 2017-11-30 2019-10-17 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 장치 및 방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR101931742B1 (ko) * 2017-12-21 2018-12-24 무진전자 주식회사 반도체 기판의 건식 세정을 위한 플라즈마 장치
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200286742A1 (en) * 2019-03-06 2020-09-10 Kateeva, Inc. Remote plasma etch using inkjet printed etch mask
US11195718B2 (en) 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
TW202213517A (zh) * 2020-08-28 2022-04-01 日商東京威力科創股份有限公司 基板處理方法及電漿處理裝置
US11804380B2 (en) * 2020-11-13 2023-10-31 Tokyo Electron Limited High-throughput dry etching of films containing silicon-oxygen components or silicon-nitrogen components by proton-mediated catalyst formation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07153739A (ja) * 1993-07-08 1995-06-16 Air Prod And Chem Inc 半導体材料のプラズマ除去法
JP2005019853A (ja) * 2003-06-27 2005-01-20 Tokyo Electron Ltd プラズマクリーニング方法および基板処理方法
WO2012125656A2 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Methods for etch of sin films
JP2013503482A (ja) * 2009-08-31 2013-01-31 アプライド マテリアルズ インコーポレイテッド 炭素含有膜のシリコン選択的ドライエッチング
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
JP2013508990A (ja) * 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス

Family Cites Families (750)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
WO1994000251A1 (en) 1992-06-22 1994-01-06 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
EP0809284B8 (en) 1995-12-28 2007-06-13 Taiyo Nippon Sanso Corporation Method and system for transporting substrate wafers
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
AU2343397A (en) 1996-03-25 1997-10-17 S. George Lesinski Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR20010042419A (ko) 1998-04-02 2001-05-25 조셉 제이. 스위니 낮은 k 유전체를 에칭하는 방법
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
EP1112125B1 (en) 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP2001274111A (ja) 1999-11-09 2001-10-05 Applied Materials Inc サリサイド・プロセス用の化学的プラズマ洗浄
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
DE10296935T5 (de) 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
KR20040018558A (ko) 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
TWI301644B (en) 2001-12-13 2008-10-01 Applied Materials Inc Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (ko) * 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4644676B2 (ja) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド フェイスアップウェット処理用のウェーハ温度均一性を改善する装置
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
WO2005114749A1 (en) 2004-05-21 2005-12-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
WO2006069085A2 (en) 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
MX2010005945A (es) 2007-12-04 2011-03-03 Parabel Ag Elemento solar de varias capas.
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
CN102007565A (zh) 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 基片处理系统和基片处理方法
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
CN105088191B (zh) 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
KR20110086540A (ko) * 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07153739A (ja) * 1993-07-08 1995-06-16 Air Prod And Chem Inc 半導体材料のプラズマ除去法
JP2005019853A (ja) * 2003-06-27 2005-01-20 Tokyo Electron Ltd プラズマクリーニング方法および基板処理方法
JP2013503482A (ja) * 2009-08-31 2013-01-31 アプライド マテリアルズ インコーポレイテッド 炭素含有膜のシリコン選択的ドライエッチング
JP2013508990A (ja) * 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス
WO2012125656A2 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Methods for etch of sin films
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020529731A (ja) * 2017-08-04 2020-10-08 マイクロマテリアルズ エルエルシー 改善された金属コンタクトランディング構造
US11049695B2 (en) 2017-08-04 2021-06-29 Micromaterials Llc Metal contact landing structure
US11515179B2 (en) 2018-02-15 2022-11-29 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP2020167277A (ja) * 2019-03-29 2020-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置

Also Published As

Publication number Publication date
CN105580118B (zh) 2019-03-22
US9209012B2 (en) 2015-12-08
TW201513215A (zh) 2015-04-01
US20150079797A1 (en) 2015-03-19
TWI631614B (zh) 2018-08-01
KR20160056935A (ko) 2016-05-20
KR102305317B1 (ko) 2021-09-28
US8956980B1 (en) 2015-02-17
WO2015038252A1 (en) 2015-03-19
CN105580118A (zh) 2016-05-11
JP6553049B2 (ja) 2019-07-31

Similar Documents

Publication Publication Date Title
JP6553049B2 (ja) 窒化ケイ素の選択的なエッチング
US9384997B2 (en) Dry-etch selectivity
US8642481B2 (en) Dry-etch for silicon-and-nitrogen-containing films
JP6272873B2 (ja) 炭窒化ケイ素の選択的エッチング
US8765574B2 (en) Dry etch process
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US9299575B2 (en) Gas-phase tungsten etch
TWI687549B (zh) 用於高深寬比溝槽的均等鎢蝕刻
US9236265B2 (en) Silicon germanium processing
US8951429B1 (en) Tungsten oxide processing
US9287134B2 (en) Titanium oxide etch
US9064816B2 (en) Dry-etch for selective oxidation removal
KR101956837B1 (ko) 준안정 수소 종단을 통한 실리콘의 선택적인 에칭

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190703

R150 Certificate of patent or registration of utility model

Ref document number: 6553049

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250