KR101975236B1 - 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제 - Google Patents
실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제 Download PDFInfo
- Publication number
- KR101975236B1 KR101975236B1 KR1020137002108A KR20137002108A KR101975236B1 KR 101975236 B1 KR101975236 B1 KR 101975236B1 KR 1020137002108 A KR1020137002108 A KR 1020137002108A KR 20137002108 A KR20137002108 A KR 20137002108A KR 101975236 B1 KR101975236 B1 KR 101975236B1
- Authority
- KR
- South Korea
- Prior art keywords
- plasma
- silicon
- etching
- region
- patterned substrate
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims abstract description 75
- 229910052760 oxygen Inorganic materials 0.000 title claims abstract description 54
- 239000001301 oxygen Substances 0.000 title claims abstract description 54
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 40
- 239000010703 silicon Substances 0.000 title claims abstract description 40
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 title claims description 20
- 230000001629 suppression Effects 0.000 title description 2
- 238000000034 method Methods 0.000 claims abstract description 111
- 239000002243 precursor Substances 0.000 claims abstract description 70
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 44
- 239000011737 fluorine Substances 0.000 claims abstract description 44
- 239000001257 hydrogen Substances 0.000 claims abstract description 27
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 27
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 26
- 239000006227 byproduct Substances 0.000 claims abstract description 26
- 230000001681 protective effect Effects 0.000 claims abstract description 24
- 239000007787 solid Substances 0.000 claims abstract description 24
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 12
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 12
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 9
- 239000000758 substrate Substances 0.000 claims description 140
- 238000012545 processing Methods 0.000 claims description 95
- 238000001312 dry etching Methods 0.000 claims description 42
- 238000005530 etching Methods 0.000 claims description 42
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 36
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 25
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 17
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 16
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 11
- 229910052757 nitrogen Inorganic materials 0.000 claims description 10
- 229910021529 ammonia Inorganic materials 0.000 claims description 8
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 claims description 4
- 239000000126 substance Substances 0.000 claims description 4
- 239000003574 free electron Substances 0.000 claims description 3
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 3
- 229920005591 polysilicon Polymers 0.000 claims description 3
- 229930195733 hydrocarbon Natural products 0.000 claims description 2
- 150000002430 hydrocarbons Chemical class 0.000 claims description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 2
- 210000002381 plasma Anatomy 0.000 claims 20
- 238000001020 plasma etching Methods 0.000 abstract description 8
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 abstract description 3
- 230000008569 process Effects 0.000 description 47
- 239000007789 gas Substances 0.000 description 44
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 33
- 150000002500 ions Chemical class 0.000 description 23
- 235000012431 wafers Nutrition 0.000 description 12
- 150000003254 radicals Chemical class 0.000 description 10
- 238000000151 deposition Methods 0.000 description 9
- 229910052799 carbon Inorganic materials 0.000 description 8
- 230000008021 deposition Effects 0.000 description 7
- 239000011261 inert gas Substances 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- 230000007935 neutral effect Effects 0.000 description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 6
- 239000012159 carrier gas Substances 0.000 description 5
- 150000002431 hydrogen Chemical class 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000004590 computer program Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000001723 curing Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical class C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 3
- 238000000859 sublimation Methods 0.000 description 3
- 230000008022 sublimation Effects 0.000 description 3
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 230000005764 inhibitory process Effects 0.000 description 2
- 238000005192 partition Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- FQFKTKUFHWNTBN-UHFFFAOYSA-N trifluoro-$l^{3}-bromane Chemical compound FBr(F)F FQFKTKUFHWNTBN-UHFFFAOYSA-N 0.000 description 2
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 2
- 238000009827 uniform distribution Methods 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- -1 F 2 Chemical compound 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 229910017855 NH 4 F Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- 210000003811 finger Anatomy 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 239000003112 inhibitor Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- UUXZFMKOCRKVDG-UHFFFAOYSA-N methane;hydrofluoride Chemical compound C.F UUXZFMKOCRKVDG-UHFFFAOYSA-N 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 210000003813 thumb Anatomy 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
패터닝된 이질성 구조들 상의 노출된 실리콘-및-산소 함유 물질에 대한 에칭률을 억제하기 위한 방법이 개시되며, 이 방법은 2단계의 원격 플라즈마 에칭을 포함한다. 이 기술을 사용하여 그 선택성이 증대되는 물질들의 예들은 실리콘 질화물과 실리콘을 포함한다. 원격 플라즈마 에칭의 제 1 단계는, 실리콘-및-산소 함유 물질 상에 보호성 고체 부산물을 형성하기 위해, 플라즈마 유출물들과 패터닝된 이질성 구조들을 반응시킨다. 상기 제 1 단계의 플라즈마 유출물들은 질소 함유 전구체와 수소 함유 전구체를 포함하는 전구체들의 조합으로 이루어진 원격 플라즈마로부터 형성된다. 또한, 원격 플라즈마 에칭의 제 2 단계는, 상기 보호성 고체 부산물이 결여된 물질을 선택적으로 제거하기 위해, 플라즈마 유출물들과 상기 패터닝된 이질성 구조들을 반응시킨다. 상기 제 2 단계의 플라즈마 유출물들은 불소 함유 전구체의 원격 플라즈마로부터 형성된다.
Description
본원은 "실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제"란 명칭으로 2012년 4월 18일자로 출원된 미국 특허 출원번호 제13/449,543호의 PCT 출원이고, "실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제"란 명칭으로 2011년 8월 26일자로 출원된 미국 가특허 출원번호 제61/527,823호에 관한 것으로 이 가특허 출원을 우선권으로 주장하며, 이들 모두는 모든 목적들을 위해 그 전체 내용이 인용에 의해 본원에 포함된다.
기판 표면들 상에 복잡하게 패터닝된 물질 층들을 생성하는 프로세스들에 의하여, 집적 회로들이 가능하게 된다. 기판 상에 패터닝된 물질을 생성하는 것은 노출된 물질의 제거를 위한 제어된 방법들을 요구한다. 화학적 에칭은 포토레지스트의 패턴을 하부층들 내에 전사시키는 단계, 층들을 박형화하는 단계 또는 표면 상에 이미 존재하는 피쳐들의 측방향 치수들을 박형화하는 단계를 포함하는 다양한 목적들을 위하여 사용된다. 예컨대 패턴 전사 프로세스가 진행하는 것을 돕도록, 하나의 물질을 다른 물질보다 더 빠르게 에칭하는 에칭 프로세스를 갖는 것이 종종 바람직하다. 이러한 에칭 프로세스를 제 1 물질에 대해 선택적이라고 한다. 물질들, 회로들 및 프로세스들의 다양성의 결과로서, 다양한 물질들에 대한 선택성(selectivity)을 가진 에칭 프로세스들이 개발되어 왔다. 그러나, 실리콘 산화물보다 더 빠르게 실리콘을 선택적으로 에칭하기 위한 옵션들은 별로 없다.
반도체 기판들로부터 물질을 선택적으로 제거하는데 있어서, 건식 에칭 프로세스들이 종종 바람직하다. 이러한 바람직함은 물리적 외란을 최소로 하면서 미소 구조들로부터 물질을 부드럽게 제거하는 능력으로부터 비롯된다. 또한, 건식 에칭 프로세스들은 가스상(gas phase) 시약들을 제거함으로써 에칭률이 갑자기 정지될 수 있도록 허용한다. 몇몇 건식 에칭 프로세스들은 하나 또는 그 초과의 전구체들로부터 형성된 원격 플라즈마 부산물(remote plasma by-product)들에 대한 기판의 노출을 수반한다. 예컨대, 삼불화질소와 암모니아의 원격 플라즈마 여기(excitation)는, 플라즈마 유출물들이 기판 프로세싱 영역 내로 유동될 때, 패터닝된 기판으로부터 실리콘 산화물이 선택적으로 제거될 수 있도록 한다. 최근, 실리콘 산화물보다 더 빠르게 실리콘을 제거할 수 있는 건식 에칭 프로세스들이 개발되었지만, 몇몇 응용들은 강화된 선택성들을 요구할 수 있다.
건식 에칭 프로세스들을 사용하여 실리콘 산화물과 다른 실리콘 및 산소 함유 물질들에 비해 실리콘의 선택성을 개선시키기 위한 방법들이 요구된다.
패터닝된 이질성 구조들 상의 노출된 실리콘-및-산소 함유 물질에 대한 에칭률을 억제하기 위한 방법이 설명되고, 이 방법은 2단계의 원격 플라즈마 에칭을 포함한다. 이 기술을 사용하여 그 선택성이 증대되는 물질들의 예들은 실리콘 질화물과 실리콘을 포함한다. 원격 플라즈마 에칭의 제 1 단계는, 실리콘-및-산소 함유 물질 상에 보호성 고체 부산물(protective solid by-product)을 형성하기 위해, 플라즈마 유출물들과 패터닝된 이질성 구조들을 반응시킨다. 상기 제 1 단계의 플라즈마 유출물들은 질소 함유 전구체와 수소 함유 전구체를 포함하는 전구체들의 조합으로 이루어진 원격 플라즈마로부터 형성된다. 또한, 원격 플라즈마 에칭의 제 2 단계는, 상기 보호성 고체 부산물이 결여된 물질을 선택적으로 제거하기 위해, 플라즈마 유출물들과 상기 패터닝된 이질성 구조들을 반응시킨다. 상기 제 2 단계의 플라즈마 유출물들은 불소 함유 전구체의 원격 플라즈마로부터 형성된다.
본 발명의 실시예들은 기판 프로세싱 챔버의 기판 프로세싱 영역 내에서, 패터닝된 기판을 에칭하기 위한 방법들을 포함한다. 상기 패터닝된 기판은 노출된 실리콘-및-산소 함유 영역과, 상기 노출된 실리콘-및-산소 함유 영역과 상이한 화학량론(chemical stoichiometry)을 가진 제 2 물질의 노출된 영역을 갖는다. 상기 방법은 (1) 제 1 플라즈마 유출물들을 생성하기 위해, 플라즈마 영역 내에서 제 1 플라즈마를 형성하면서, 상기 기판 프로세싱 영역에 유체적으로(fluidly) 커플링된 원격 플라즈마 영역 내로 수소 함유 전구체 및 제 1 불소 함유 전구체의 각각을 유동시키는 단계와, 보호된 실리콘-및-산소 함유 영역을 형성하기 위해, 상기 노출된 실리콘-및-산소 함유 영역 상에 보호성 고체 부산물을 형성하는 단계를 포함하는, 제 1 건식 에칭 단계; (2) 제 2 플라즈마 유출물들을 생성하기 위해, 상기 플라즈마 영역 내에서 제 2 플라즈마를 형성하면서, 상기 원격 플라즈마 영역 내로 제 2 불소 함유 전구체를 유동시키는 단계와, 샤워헤드의 관통홀들을 통해 상기 기판 프로세싱 영역 내로 상기 플라즈마 유출물들을 유동시킴으로써, 상기 보호된 실리콘-및-산소 함유 영역보다 더 빠르게 상기 제 2 물질의 노출된 영역을 에칭하는 단계를 포함하는, 제 2 건식 에칭 단계; 및 (3) 상기 패터닝된 기판의 온도를 상승시킴으로써 상기 보호된 실리콘-및-산소 함유 영역으로부터 상기 보호성 고체 부산물을 승화(sublimate)시키는 단계의 순차적인 단계들을 포함한다. 상기 보호성 고체 부산물을 형성하는 단계는 상기 샤워헤드를 통해 상기 기판 프로세싱 영역 내로 상기 제 1 플라즈마 유출물들을 유동시키는 단계를 포함한다.
추가적인 실시예들 및 특징들이 후술하는 설명에서 일부가 제시되어 있고, 그리고 일부는 명세서의 검토를 통해 당업자에게 명확해지거나, 또는 개시된 실시예들의 실시에 의해 학습될 수 있을 것이다. 본 명세서에 설명된 수단들, 조합들, 및 방법들을 이용하여, 개시된 실시예들의 특징들 및 장점들이 실현되고 획득될 수 있다.
명세서의 나머지 부분들과 도면들을 참조하여, 개시된 실시예들의 본질 및 장점들의 추가적인 이해가 실현될 수 있을 것이다.
도 1은 개시된 실시예들에 따라 선택적으로 억제된 실리콘 산화물 에칭률을 갖는 건식 에칭 프로세스의 흐름도이다.
도 2a는 본 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시하고 있다.
도 2b는 본 발명의 실시예들에 따른 기판 프로세싱 챔버의 샤워헤드를 도시하고 있다.
도 3은 본 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시하고 있다.
첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 참조 부호를 가질 수 있다. 또한, 동일한 타입의 다양한 컴포넌트들은 참조 부호에 이어서, 대시 및 유사한 컴포넌트들을 구별하는 2차 부호를 뒤따르게 함으로써 구별될 수 있다. 만일 본 명세서에서 1차 참조 부호만이 사용되는 경우, 2차 참조 부호와는 무관하게, 동일한 1차 참조 부호를 갖는 유사한 컴포넌트들 중 임의의 것에 대해 설명이 적용될 수 있다.
도 1은 개시된 실시예들에 따라 선택적으로 억제된 실리콘 산화물 에칭률을 갖는 건식 에칭 프로세스의 흐름도이다.
도 2a는 본 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시하고 있다.
도 2b는 본 발명의 실시예들에 따른 기판 프로세싱 챔버의 샤워헤드를 도시하고 있다.
도 3은 본 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시하고 있다.
첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 참조 부호를 가질 수 있다. 또한, 동일한 타입의 다양한 컴포넌트들은 참조 부호에 이어서, 대시 및 유사한 컴포넌트들을 구별하는 2차 부호를 뒤따르게 함으로써 구별될 수 있다. 만일 본 명세서에서 1차 참조 부호만이 사용되는 경우, 2차 참조 부호와는 무관하게, 동일한 1차 참조 부호를 갖는 유사한 컴포넌트들 중 임의의 것에 대해 설명이 적용될 수 있다.
패터닝된 이질성 구조들 상의 노출된 실리콘-및-산소 함유 물질에 대한 에칭률을 억제하기 위한 방법이 설명되고, 이 방법은 2단계의 원격 플라즈마 에칭을 포함한다. 이 기술을 사용하여 그 선택성이 증대되는 물질들의 예들은 실리콘 질화물과 실리콘을 포함한다. 원격 플라즈마 에칭의 제 1 단계는 실리콘-및-산소 함유 물질 상에 보호성 고체 부산물을 형성하기 위해 플라즈마 유출물들을 패터닝된 이질성 구조들과 반응시킨다. 상기 제 1 단계의 플라즈마 유출물들은 질소 함유 전구체와 수소 함유 전구체를 포함하는 전구체들의 조합으로 이루어진 원격 플라즈마로부터 형성된다. 또한, 원격 플라즈마 에칭의 제 2 단계는 상기 보호성 고체 부산물이 결여된 물질을 선택적으로 제거하기 위해 플라즈마 유출물들을 상기 패터닝된 이질성 구조들과 반응시킨다. 상기 제 2 단계의 플라즈마 유출물들은 불소 함유 전구체의 원격 플라즈마로부터 형성된다.
본 발명을 더 잘 이해하고 인식하기 위해, 이제, 개시된 실시예들에 따른 실리콘 선택적 에칭 프로세스의 흐름도인 도 1을 참조한다. 실리콘은 본 명세서에 제시된 방법들을 사용하여 그 선택성이 증대될 수 있는 물질의 예이다. 제 1 작업(operation)에 앞서, 패터닝된 기판에 구조가 형성된다. 상기 구조는 실리콘과 실리콘 산화물의 분리된(separate) 노출된 영역들을 갖는다. 그 다음, 상기 기판이 프로세싱 영역 내로 전달된다(작업 (110)). 상기 기판 프로세싱 영역으로부터 분리된 플라즈마 영역 내로의 삼불화질소와 암모니아의 유동들이 시작된다(작업 (113)). 상기 분리된 플라즈마 영역은 본 명세서에서 원격 플라즈마 영역으로 지칭될 수 있고, 프로세싱 챔버와는 별개의 모듈이거나 프로세싱 챔버 내부의 격실일 수 있다. 원격 플라즈마 유출물들(즉, 원격 플라즈마로부터의 생성물들)이 상기 프로세싱 영역 내로 유동되고, 기판 표면과 상호작용하도록 허용된다(작업 (115)). 노출된 실리콘 산화물 위에 보호성 고체 부산물이 선택적으로 형성되지만, 실리콘 위에는 형성되지 않는다(작업 (118)). 상기 보호성 고체 부산물의 형성은 상기 실리콘 산화물의 상층(top layer)을 소모하며, 상기 보호성 고체 부산물은 상기 플라즈마 유출물로부터의 물질과 상기 실리콘 산화물로부터의 물질을 갖는다. 상기 프로세스 동안 매우 적은 실리콘 산화물이 소모된다(그리고, 심지어 작업(135)까지 표면을 떠나지 않는다)는 사실에도 불구하고, 상기 작업들(113 내지 118)은 본 명세서에서는 집합적으로 제 1 건식 에칭 단계로 지칭된다.
암모니아와 삼불화질소로부터 생성되는 플라즈마 유출물들은 다양한 분자들, 분자 토막들(molecular fragments) 및 이온화된 종들(species)을 포함한다. 보호성 고체 부산물 형성의 현재 고려되고 있는(entertained) 이론적인 메커니즘들이 전적으로 옳거나 옳지 않을 수 있으나, 플라즈마 유출물들은 본 명세서에서 설명되는 저온의 노출된 실리콘-및-산소 함유 영역들과 쉽게 반응하는 NH4F 및 NH4F.HF를 포함하는 것으로 여겨진다. 플라즈마 유출물들은 실리콘 산화물 표면과 반응하여, 예를 들어 (NH4)2SiF6, NH3 및 H2O 생성물들을 형성할 수 있다. 본 명세서에서 설명되는 프로세싱 조건들하에서, NH3와 H2O는 증기들이고, 진공 펌프에 의해 기판 프로세싱 영역으로부터 제거될 수 있다. 패터닝된 기판 표면의 실리콘 산화물 부분 상에는 (NH4)2SiF6 고체 부산물의 얇은 층이 남게 된다. 실리콘(Si)은 노출된 실리콘 산화물로부터 비롯되고, (NH4)2SiF6의 나머지를 형성하는 질소, 수소 및 불소는 상기 플라즈마 유출물들로부터 비롯된다. 원격 플라즈마 영역 내로 다양한 비율들의 삼불화질소 대 암모니아가 사용될 수 있으나, 1:1 내지 4:1 또는 약 2:1 비율의 암모니아 대 삼불화질소가 본 발명의 실시예들에서 사용될 수 있다.
일반적으로, 상기 제 1 건식 에칭 단계 동안 유동되는 수소 함유 전구체는 원자 수소, 분자 수소, 암모니아, 완전 탄화수소(perhydrocarbon) 및 불완전하게 할로겐으로 치환된(halogen-substituted) 탄화수소로 이루어진 군으로부터 선택된 적어도 하나의 전구체를 포함한다. 원자 수소와 분자 수소는 단결정 실리콘 및 폴리실리콘 형태의 실리콘을 에칭하는 동안 실리콘-및-산소 함유 물질을 보호하는 데에 적합하기는 하지만, 실리콘 질화물과 같은 실리콘-및-질소 함유 물질을 에칭할 때에는 이들의 사용을 피해야 한다. 원자 수소와 분자 수소는 실리콘-및-질소 함유 물질과 유사한 방식으로 실리콘-및-질소 함유 물질 상에 보호성 고체 부산물을 성장시키는 것으로 밝혀졌다. 나머지 수소 소스들은 실리콘이나 실리콘-및-질소 함유 물질을 선택적으로 에칭하기 위해 사용될 수 있다.
본 발명자들은 상기 (보호성) 고체 부산물이 후속하는 건식 에칭 단계에 대한 효과적인 배리어임을 발견하였다. 그런 다음, 상기 원격 플라즈마 영역 내로의 삼불화질소의 유동이 도입된다(작업 (120)). 이 단계 동안, 본 발명의 실시예들에서, 수소는 상기 원격 플라즈마 영역 내로 거의 또는 전혀 함께 도입(co-introduce)되지 않는다. 이러한 제 2 불소 함유 전구체는 수소 소스와 혼합되지 않을 수 있으며, 이렇게 되면 제 2 플라즈마 유출물들은 본질적으로 수소가 없을 수 있다. 노출된 실리콘 영역들의 고도로 선택적인 에칭률을 약화시키지 않으면서, 소량의 암모니아 또는 수소(예컨대, 1:5 또는 1:10 미만의 H:F 원자 유동 비율)가 첨가될 수 있다. 삼불화질소를 보강(augment)하거나 대체하기 위해 다른 불소 소스들이 사용될 수 있다. 일반적으로, 불소 함유 전구체가 상기 플라즈마 영역 내로 유동될 수 있고, 상기 불소 함유 전구체는 원자 불소, 이원자 불소, 삼불화브롬, 삼불화염소, 삼불화질소, 불화수소, 육불화황 및 이불화제논으로 이루어진 군으로부터 선택된 적어도 하나의 전구체를 포함한다. 심지어, 사불화탄소, 삼불화메탄, 이불화메탄, 불화메탄 등과 같은 탄소 함유 전구체들도 이미 나열된 군에 추가될 수 있다.
그 다음에, 상기 원격 플라즈마 영역 내에서 형성된 플라즈마 유출물들은 상기 기판 프로세싱 영역 내로 유동된다(작업 (125)). 상기 보호성 고체 부산물의 커버리지(coverage)에 부분적으로 기인하여, 노출된 실리콘이 실리콘 산화물의 에칭률보다 상당히 더 큰 에칭률로 제거되도록, 패터닝된 기판이 선택적으로 에칭된다(작업 (130)). 작업들(120 내지 130)은 본 명세서에서 집합적으로 제 2 건식 에칭 단계로 지칭된다. 개시된 실시예들에서, 에칭 선택성은 약 20:1 또는 그 초과, 약 30:1 또는 그 초과, 약 50:1 또는 그 초과, 또는 약 80:1 또는 그 초과일 수 있다. 이러한 에칭 선택성 범위들은 (실리콘):(실리콘 산화물) 뿐만 아니라, 더 일반적인 (실리콘):(실리콘-및-산소 함유 물질)에도 적용된다. 패터닝된 기판을 가열함으로써, 상기 기판 프로세싱 영역으로부터 반응성 화학종들이 제거된다(작업 (135)). 그 다음에, 프로세싱 영역으로부터 기판이 제거된다(작업 (145)).
일반적으로, 제 1 건식 에칭 단계와 같이, 불소 함유 전구체는 원자 불소, 이원자 불소, 삼불화브롬, 삼불화염소, 삼불화질소, 불화수소, 육불화황 및 이불화제논, 사불화탄소, 삼불화메탄, 이불화메탄, 불화메탄 등으로 이루어진 군으로부터 선택된 적어도 하나의 전구체를 포함할 수 있다. 일반적으로, 탄소 함유 전구체의 사용은, 제 2 건식 에칭 단계 동안, 탄소가 기판 내에 포함될 수 있기 전에 탄소와 반응하도록, 산소 함유 전구체의 동시 유동으로부터 이익을 얻을 수 있다.
또한, 본 명세서에 제시되는 원격 플라즈마 에칭 프로세스들은 일반적으로 실리콘 산화물 또는 실리콘-및-산소 함유 물질에 대해 실리콘-및-질소 함유 물질(예컨대, 실리콘 질화물)의 선택적 에칭을 돕는 것으로 밝혀졌다. 종래의 건식 에칭들은 약 2:1 정도로 높은 (실리콘 질화물):(실리콘 산화물)의 선택성을 달성했다. 본 명세서에서 제시되는 방법들을 사용하면, (예컨대, 실리콘-및-질소 함유 물질):(실리콘-및-산소 함유 물질)에 대한 건식 에칭 선택성은, 본 발명의 실시예들에서, 약 5:1, 10:1, 20:1, 50:1 또는 100:1 또는 그 초과일 수 있다. 실리콘과 실리콘-및-질소 함유 물질 모두와 관련하여 본 명세서에 보고된 선택성들의 임의의 측정값(measurement)은 제거될 더 높은 에칭률 물질의 양에 의해 기본적으로 제한된다. 실시예들에서, 더 빠르게 에칭되는 물질(예컨대, 실리콘과 실리콘-및-질소 함유 물질)은 본질적으로 산소가 없을 수 있다.
제 1 건식 에칭 단계 동안 상기 패터닝된 기판의 온도는 본 발명의 실시예들에서 60℃, 50℃, 40℃ 또는 35℃ 중 하나의 온도보다 아래일 수 있다. 제 1 건식 에칭 단계 동안 형성되는 상기 보호성 고체 부산물은 제 2 건식 에칭 단계 동안 상기 패터닝된 기판 상에 남아 있으며, 후속 승화 단계 동안 제거된다. 제 2 건식 에칭 단계 동안 상기 기판의 온도는 상기 보호성 고체 부산물이 조기에 제거되지 않도록 보장하기 위해 일반적으로 약 -30℃ 내지 약 80℃일 수 있다. 유익하게는, 이러한 범위 내에서 더 낮은 온도들에 대해 에칭률이 더 높은 것으로 밝혀졌다. 실시예들에서, 제 2 건식 에칭 단계 동안의 기판 온도는 약 -20℃ 또는 그 초과, 0℃ 또는 그 초과, 약 5℃ 또는 그 초과, 또는 약 10℃ 또는 그 초과일 수 있다. 또한, 개시된 실시예들에서, 제 2 건식 에칭 단계 동안의 기판 온도는 약 75℃ 또는 그 미만, 약 50℃ 또는 그 미만, 약 30℃ 또는 그 미만, 약 20℃ 또는 그 미만, 약 15℃ 또는 그 미만, 또는 약 10℃ 또는 그 미만일 수 있다. 본 발명의 실시예들에서, 고체 부산물과 패터닝된 기판의 온도는 최대(full) 승화 동안 90℃, 100℃, 120℃ 또는 140℃ 중 하나의 온도를 초과하여 상승될 수 있다.
제 1 건식 에칭 단계는 약 3초, 5초 또는 10초 또는 그 보다 더 길게 지속될 수 있다. 제 1 건식 에칭 단계는 본 발명의 실시예들에서 약 30초, 20초 또는 10초 또는 그 미만으로 지속될 수 있다. 실시예들에서, 제 2 건식 에칭 단계는 약 15초 또는 약 30초 또는 그보다 더 길게 지속될 수 있다. 제 2 건식 에칭 단계는 본 발명의 실시예들에서 약 2분 또는 약 1분 또는 그 미만으로 지속될 수 있다. 추가적으로 개시되는 실시예들에 존재하는 추가적인 범위들을 제공하기 위해, 임의의 상한들이 임의의 하한들과 조합될 수 있다. 개시된 실시예들에서, 승화의 지속 시간은 45초, 60초, 75초, 90초 또는 120초 중 하나의 시간을 초과할 수 있다.
제 1 건식 에칭 단계 동안, 불소 함유 전구체 및/또는 수소 함유 전구체는 He, N2, Ar 등과 같은 하나 또는 그 초과의 상대적으로 비활성인 가스들을 더 포함할 수 있다. 비활성 가스는 플라즈마 안정성을 개선시키기 위해 사용될 수 있다. 일 실시예에서, 불소 함유 가스는 약 5sccm(분당 표준 입방 센티미터) 내지 300sccm의 유량의 NF3, 약 10sccm 내지 5slm(분당 표준 리터)의 유량의 NH3, 약 0sccm 내지 5slm의 유량의 He, 및 약 0sccm 내지 5slm의 유량의 Ar을 포함한다. 제 2 건식 에칭 단계 동안에는, 단지 불소 함유 전구체만 필요하다. 불소 함유 전구체는 He, N2, Ar 등과 같은 하나 또는 그 초과의 상대적으로 비활성인 가스들을 더 포함할 수 있다. 비활성 가스는 플라즈마 안정성을 개선시키기 위해 사용될 수 있다. 일 실시예에서, 불소 함유 가스는 약 5sccm(분당 표준 입방 센티미터) 내지 300sccm의 유량의 NF3, 약 0sccm 내지 3slm(분당 표준 리터)의 유량의 He, 및 약 0sccm 내지 3slm의 유량의 Ar을 포함한다. 본 발명의 실시예들에서, 제 2 건식 에칭 단계 동안, NH3(또는 다른 수소 함유 전구체)는 거의 또는 본질적으로 전혀 유동되지 않는다. 명백하게, 제 2 건식 에칭 단계 동안 약간의 수소 함유 전구체들이 사용될 수 있다. 이러한 수소 함유 전구체들은 다른 전구체들과 조합되거나 상기 플라즈마 영역 내로 개별적으로 유동될 수 있지만, 농도는 낮게 유지되어야 한다. 수소는, 산화물 표면 상에 고체 부산물을 형성함으로써 추가적인 실리콘 산화물을 제거하는 전구체들을 형성하도록, 플라즈마 내에서 불소 함유 전구체들과 상호작용할 수 있다. 이러한 반응은, 노출된 실리콘 산화물 영역들과 비교하여, 노출된 실리콘, 실리콘 질화물 또는 실리콘-및-질소 함유 영역들의 선택성을 감소시킨다. 비록 몇몇 실시예들에서는 약간의 수소를 도입시키는 것이 유용하기는 하지만, 다른 실시예들에서는 또한, 에칭 프로세스 동안 플라즈마 영역 내로의 수소의 유동이 전혀 없거나, 또는 본질적으로 없을 수 있다. 프로세싱 챔버 구성, 기판 크기, 에칭되는 피쳐들의 기하구조(geometry)와 레이아웃 등을 포함하는 많은 요인들에 따라, 다른 가스들 및/또는 유동들이 사용될 수 있음을 당업자들은 인식할 것이다.
제 1 건식 에칭 단계 동안, 상기 방법은 불소 함유 전구체와 수소 함유 전구체가 플라즈마 유출물들을 발생시키기 위해 원격 플라즈마 영역에 있는 동안 이러한 불소 함유 전구체와 상기 수소 함유 전구체에 에너지를 인가하는 단계를 포함한다. 제 2 건식 에칭 단계 동안, 상기 방법은 불소 함유 전구체가 플라즈마 유출물들을 발생시키기 위해 원격 플라즈마 영역에 있는 동안 이러한 불소 함유 전구체에 에너지를 인가하는 단계를 포함한다. 당업자가 이해할 수 있는 바와 같이, 어느 하나의 단계 동안의 플라즈마는 라디칼들과 이온들을 포함하는 많은 대전된 종들과 중성종들을 포함할 수 있다. 플라즈마는 공지의 기술들(예컨대, RF, 용량적으로 커플링(capacitively coupled), 유도적으로 커플링(inductively coupled) 등)을 사용하여 발생될 수 있다. 일 실시예에서, 약 10W 내지 15000W의 소스 전력 및 약 0.2Torr 내지 30Torr의 압력으로, 용량적으로 커플링된 플라즈마 유닛을 사용하여 에너지가 인가될 수 있다. 용량적으로 커플링된 플라즈마 유닛은 프로세싱 챔버의 가스 반응 영역으로부터 멀리에(remote) 배치될 수 있다. 예컨대, 용량적으로 커플링된 플라즈마 유닛과 플라즈마 발생 영역은 샤워헤드 및/또는 이온 억제기에 의해 가스 반응 영역으로부터 분리될 수 있다.
제 1 건식 에칭 단계 및/또는 제 2 건식 에칭 단계 동안 기판 프로세싱 영역 내의 압력은 약 50Torr 또는 그 미만, 약 30Torr 또는 그 미만, 약 20Torr 또는 그 미만, 약 10Torr 또는 그 미만, 또는 약 5Torr 또는 그 미만이다. 이 단계들 동안의 압력은 본 발명의 실시예들에서 약 0.1Torr 또는 그 초과, 약 0.2Torr 또는 그 초과, 약 0.5Torr 또는 그 초과, 또는 약 1Torr 또는 그 초과일 수 있다. 추가적인 실시예들을 형성하기 위해, 압력 또는 온도에 대한 임의의 상한들이 하한들과 조합될 수 있다. 보호성 고체 부산물을 생성하는 전구체들을 형성하기 위한 전구체 조합들에 대한 의존성 때문에, 제 1 건식 에칭 단계 동안의 압력이 제 2 건식 에칭 단계 동안보다 더 높을 수 있다.
일반적으로, 본 명세서에 설명되는 프로세스들은 (실리콘 산화물 만이 아니라) 실리콘 및 산소를 함유하는 필름들의 건식 에칭률을 억제하는 데에 사용될 수 있다. 본 발명의 실시예들에서, 상기 원격 플라즈마 에칭 프로세스들은 원자 농도로 약 30% 또는 그 초과의 실리콘 및 약 30% 또는 그 초과의 산소를 포함하는 실리콘-및-산소 함유 물질을 보호할 수 있다. 또한, 상기 실리콘-및-산소 함유 물질은, 작은 도펀트(dopant) 농도들의 다른 바람직하지 않은 또는 바람직한 소수의(minority) 첨가제들과 고려하면서, 본질적으로 실리콘 및 산소로 구성될 수 있다. 물론, 본 발명의 실시예들에서, 상기 실리콘-및-산소 함유 물질은 실리콘 산화물일 수 있다.
전술한 바와 같이, 상기 원격 플라즈마 에칭 프로세스들은 실리콘-및-산소 함유 물질보다 더 빠르게 실리콘-및-질소 함유 물질을 에칭할 수 있다. 상기 실리콘-및-질소 함유 물질은 본 발명의 실시예들에서 원자 농도로 약 30% 또는 그 초과의 실리콘과 약 30% 또는 그 초과의 질소를 포함할 수 있다. 또한, 상기 실리콘-및-질소 함유 물질은, 작은 농도들의 바람직하지 않은 또는 바람직한 소수의 첨가제들을 고려하면서, 본질적으로 실리콘 및 질소로 구성될 수 있다. 물론, 본 발명의 실시예들에서, 상기 실리콘-및-질소 함유 물질은 실리콘 질화물일 수 있다.
예시적인 프로세싱 챔버와 시스템을 설명하는 동안 추가적인 프로세스 파라미터들이 개시된다.
예시적인 프로세싱 시스템
본 발명의 실시예들을 구현할 수 있는 프로세싱 챔버들은 캘리포니아, 산타 클라라에 소재하는 어플라이드 머티어리얼사로부터 입수할 수 있는 CENTURA® 및 PRODUCER® 시스템들과 같은 프로세싱 플랫폼들 내부에 포함될 수 있다. 본 발명의 예시적인 방법들과 함께 사용될 수 있는 기판 프로세싱 챔버들의 예들은, "유전체 갭충진을 위한 프로세스 챔버"란 명칭으로 2006년 5월 30일자로 루보미르스키 등에 의해 출원되었으며 본원과 양수인이 동일한 미국 가특허 출원번호 제60/803,499호에 도시되고 개시된 것들을 포함할 수 있고, 이의 전체 내용들은 모든 목적들을 위해 인용에 의해 본원에 포함된다. 추가의 예시적인 시스템들은 미국 특허 번호 제6,387,207호 및 제6,830,624호에 도시되고 개시된 것들을 포함할 수 있고, 이들 또한 모든 목적들을 위해 인용에 의해 본원에 포함된다.
도 2a는 개시된 실시예들에 따른 기판 프로세싱 챔버(200)이다. 원격 플라즈마 시스템(210)은 불소 함유 전구체를 프로세스할 수 있으며, 이러한 불소 함유 전구체는 이후 가스 유입 조립체(gas inlet assembly)(211)를 통해 이동한다. 2개의 분리된 가스 공급 채널들을 가스 유입 조립체(211) 내에서 볼 수 있다. 제 1 채널(212)은 원격 플라즈마 시스템(RPS)(210)을 통과하는 가스를 운반하는 반면, 제 2 채널(213)은 원격 플라즈마 시스템(210)을 바이패스한다. 실시예들에서, 어느 채널이든 불소 함유 전구체를 위해 사용될 수 있다. 한편, 제 1 채널(212)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(213)은 트리트먼트 가스(treatment gas)를 위해 사용될 수 있다. 덮개(또는 전도성 상단부)(221)와 천공된 격벽(perforated partition) 또는 샤워헤드(253)가 이들 사이의 절연링(224)과 함께 도시되어 있으며, 상기 절연링은 AC 전위가 샤워헤드(253)에 대해서 덮개(221)에 인가될 수 있게 한다. AC 전위는 챔버 플라즈마 영역(220)에서 플라즈마와 충돌한다. 프로세스 가스는 제 1 채널(212)을 통해 챔버 플라즈마 영역(220) 내로 이동할 수 있으며, 챔버 플라즈마 영역(220)에서 플라즈마에 의해 단독으로, 또는 원격 플라즈마 시스템(210)과 조합하여 여기될 수 있다. 프로세스 가스(불소 함유 전구체)가 제 2 채널(213)을 통해 유동하면, 챔버 플라즈마 영역(220) 만이 여기를 위해 사용된다. 챔버 플라즈마 영역(220) 및/또는 원격 플라즈마 시스템(210)의 조합은 본 명세서에서 원격 플라즈마 시스템으로 지칭될 수 있다. (또한 '샤워헤드'라고도 지칭되는) 천공된 격벽(253)은 샤워헤드(253) 아래의 기판 프로세싱 영역(270)으로부터 챔버 플라즈마 영역(220)을 분리시킨다. 샤워헤드(253)는 챔버 플라즈마 영역(220) 내에 존재하는 플라즈마가 기판 프로세싱 영역(270) 내의 가스들을 직접 여기시키지 않도록 하는 한편, 여기된 종들이 챔버 플라즈마 영역(220)으로부터 기판 프로세싱 영역(270) 내로 여전히 이동할 수 있게 한다.
샤워헤드(253)는 챔버 플라즈마 영역(220)과 기판 프로세싱 영역(270) 사이에 위치되며, 원격 플라즈마 시스템(210) 및/또는 챔버 플라즈마 영역(220) 내에서 생성되는 플라즈마 유출물들(전구체들 또는 다른 가스들의 여기된 유도체들)로 하여금, 판(plate)의 두께를 횡단하는 복수의 관통홀들(256)을 통과할 수 있도록 허용한다. 또한, 샤워헤드(253)는 하나 또는 그 초과의 빈 볼륨들(hallow volumes)(251)을 가지며, 이러한 볼륨들은 증기 또는 가스 형태의 전구체로 충진될 수 있고, 작은 홀들(255)을 통해 기판 프로세싱 영역(270) 내로 통과하지만, 챔버 플라즈마 영역(220) 내로는 직접 통과되지 않는다. 개시된 본 실시예에서, 샤워헤드(253)는 관통홀들(256)의 최소 직경(250)의 길이보다 더 두껍다. 챔버 플라즈마 영역(220)으로부터 기판 프로세싱 영역(270)으로 침투(penetrate)하는 여기된 종들의 상당한(significant) 농도를 유지하기 위해, 관통홀들의 최소 직경(250)의 길이(226)는 샤워헤드(253)를 관통하는 중간에 관통홀들(256)의 더 큰 직경 부분들을 형성함으로써 제한될 수 있다. 개시된 실시예들에서, 상기 관통홀들(256)의 최소 직경(250)의 길이는 관통홀들(256)의 최소 직경과 동일한 크기(same order of magnitude)이거나 그 보다 작을 수 있다.
기판 프로세싱 영역내로 통과하는 이온 밀도를 제어하기 위해 이온 억제기가 사용될 수 있다. 이는 보호된 실리콘-및-산소 함유 물질과 실리콘 또는 실리콘-및-질소 함유 물질 간의 에칭률 차이를 더욱 증가시키는 역할을 할 수 있다. 이온 억제 엘리먼트는 플라즈마 발생 영역으로부터 기판으로 이동하는 이온적으로 대전된 종들을 감소시키거나 없애는 기능을 한다. 대전되지 않은 중성종들과 라디칼종들은 기판에서 반응하기 위해 이온 억제기의 개구들을 통과할 수 있다. 기판을 둘러싸고 있는 반응 영역 내에서 이온적으로 대전된 종들을 완전하게 제거하는 것이 항상 요구되는 목표는 아님을 주목해야 한다. 많은 경우들에서, 이온종들은 에칭 및/또는 증착 프로세스를 수행하기 위해 기판에 도달할 필요가 있다. 이러한 경우들에서, 이온 억제기는 프로세스를 보조하는 수준(level)으로 반응 영역 내에서의 이온종들의 농도를 제어하는 것을 돕는다.
본 발명의 몇몇 실시예들에 따르면, 본 명세서에 설명되는 이온 억제기는 기판들을 선택적으로 에칭하기 위해 라디칼 및/또는 중성 종들을 제공하는데 사용될 수 있다. 일 실시예에서, 예컨대, 이온 억제기는 실리콘 또는 실리콘 질화물을 보다 선택적으로 에칭하기 위해 불소 함유 플라즈마 유출물들을 제공하는데 사용된다. 보호성 고체 부산물에 부가하여 이온적으로 필터링된 플라즈마 유출물들을 사용하게 되면, 예컨대, 실리콘 산화물에 대한 실리콘의 에칭률 선택성은 본 명세서에 설명되는 값들까지 더 증대될 수 있다. 이온 억제기는 이온들 보다 더 높은 농도의 라디칼들을 갖는 반응 가스를 제공하는 데에 사용될 수 있다. 플라즈마의 대부분의 대전된 입자들은 이온 억제기에 의해 필터링되거나 제거되기 때문에, 에칭 프로세스 동안 기판이 반드시 바이어스되는 것은 아니다. 라디칼들과 다른 중성 종들을 사용하는 그러한 프로세스는 스퍼터링 및 충돌(bombardment)을 포함하는 전형적인 플라즈마 에칭 프로세스들에 비해 플라즈마 손상을 감소시킬 수 있다.
샤워헤드(253)는 도 2a에 도시된 바와 같이 이온 억제기의 목적을 수행하도록 구성될 수 있다. 대안적으로, 기판 프로세싱 영역(270) 내로 이동하는 이온 농도를 억제하는 개별적인 프로세싱 챔버 엘리먼트(미도시)가 포함될 수 있다. 덮개(221)와 샤워헤드(253)는 각각 제 1 전극과 제 2 전극으로서 기능할 수 있으며, 이에 의해, 덮개(221)와 샤워헤드(253)는 서로 다른 전압들을 인가받을 수 있다. 이러한 구성들에서, 전력(예컨대, RF 전력)이 덮개(221), 샤워헤드(253) 또는 이들 모두에 인가될 수 있다. 예컨대, (이온 억제기 역할을 하는) 샤워헤드(253)가 접지되는 동안, 덮개(221)에 전력이 인가될 수 있다. 기판 프로세싱 시스템은 덮개 및/또는 샤워헤드(253)에 전력을 제공하는 RF 제너레이터를 포함할 수 있다. 덮개(221)에 인가되는 전압은 챔버 플라즈마 영역(220) 내에서의 플라즈마의 균일한 분포를 용이하게 할 수 있다(즉, 국부화된 플라즈마를 줄일 수 있다). 챔버 플라즈마 영역(220) 내에서의 플라즈마의 형성을 가능하게 하기 위하여, 절연 링(224)이 샤워헤드(253)로부터 덮개(221)를 전기적으로 절연시킬 수 있다. 절연 링(224)은 세라믹으로 제조될 수 있으며, 스파킹(sparking)을 피하기 위해 높은 항복 전압을 가질 수 있다. 전술된 용량적으로 커플링된 플라즈마 구성요소들 근처의 기판 프로세싱 챔버(200)의 부분들은, 플라즈마에 노출된 표면들을 순환 냉각제(circulating coolant)(예컨대, 물)로 냉각시키기 위해 하나 또는 그 초과의 냉각 유체 채널들을 포함하는 냉각 유닛(미도시)을 더 포함할 수 있다.
도시된 실시예에서, 샤워헤드(253)는 산소, 불소 및/또는 질소를 포함하는 프로세스 가스들, 및/또는 챔버 플라즈마 영역(220)의 플라즈마에 의해 여기될 때 그러한 프로세스 가스들의 플라즈마 유출물을 (관통홀들(256)을 통해) 분배할 수 있다. 실시예들에서, 원격 플라즈마 시스템(210) 및/또는 챔버 플라즈마 영역(220) 내로 도입되는 프로세스 가스는 불소(예컨대, F2, NF3 또는 XeF2)를 포함할 수 있다. 또한, 상기 프로세스 가스는 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 플라즈마 유출물은 프로세스 가스의 이온화된 또는 중성의 유도체들을 포함할 수 있으며, 도입된 프로세스 가스의 원자 성분을 참조(refer)하여 본 명세서에서는 라디칼-불소(radical-fluorine)로 또한 지칭될 수 있다.
관통홀들(256)은 챔버 플라즈마 영역(220)으로부터의 이온적으로 대전된 종들의 이동을 억제하는 한편, 대전되지 않은 중성 또는 라디칼 종들이 샤워헤드(253)를 통해 기판 프로세싱 영역(270) 내로 통과하는 것을 허용하도록 구성된다. 이러한 대전되지 않은 종들은 관통홀들(256)에 의해 덜 반응성인 캐리어 가스와 함께 운반되는 고도로 반응성인 종들을 포함할 수 있다. 전술한 바와 같이, 관통홀들(256)에 의한 이온종들의 이동이 감소될 수 있고, 몇몇 경우들에서는, 완전히 억제될 수 있다. 샤워헤드(253)를 통과하는 이온종들의 양을 제어하는 것은 하부의 웨이퍼 기판과 접촉하게 되는 가스 혼합물에 대한 증대된 제어를 제공하고, 이는 결국 상기 가스 혼합물의 증착 및/또는 에칭 특성들의 제어를 증대시킨다. 예컨대, 상기 가스 혼합물의 이온 농도의 조절들은 가스 혼합물의 에칭 선택성(예컨대, 실리콘 질화물:실리콘 산화물 에칭 비율들)을 상당히 변화시킬 수 있다.
실시예들에서, 관통홀들(256)의 개수는 약 60 내지 약 2000개일 수 있다. 관통홀들(256)은 다양한 형상들을 가질 수 있으나, 가장 용이하게는 원형으로 제조된다. 개시된 실시예들에서, 관통홀들(256)의 최소 직경(250)은 약 0.5㎜ 내지 약 20㎜이거나, 약 1㎜ 내지 약 6㎜일 수 있다. 또한, 관통홀들의 단면 형상을 자유롭게 선택할 수 있으며, 상기 단면 형상은 원뿔형, 원통형 또는 이 두가지 형상들의 조합들로 제조될 수 있다. 개시된 실시예들에서, 여기되지 않은 전구체들을 기판 프로세싱 영역(270) 내로 도입시키는 데에 사용되는 작은 홀들(255)의 개수는 약 100 내지 약 5000개이거나, 약 500 내지 약 2000개일 수 있다. 상기 작은 홀들(255)의 직경은 약 0.1㎜ 내지 약 2㎜일 수 있다.
관통홀들(256)은 플라즈마 활성화된 가스(즉, 이온종들, 라디칼종들 및/또는 중성종들)의 샤워헤드(253)를 통한 통과를 제어하도록 구성될 수 있다. 예를 들어, 샤워헤드(253)를 통과하는 활성화된 가스 내의 이온적으로 대전된 종들의 유동이 감소되도록, 홀들의 종횡비(즉, 홀 직경 대 길이) 및/또는 홀들의 기하구조가 제어될 수 있다. 샤워헤드(253)의 관통홀들(256)은 챔버 플라즈마 영역(220)을 대면하는 테이퍼부(tapered portion)와, 기판 프로세싱 영역(270)을 대면하는 원통부를 포함할 수 있다. 상기 원통부는 기판 프로세싱 영역(270) 내로 통과하는 이온종들의 유동을 제어하도록 균형잡히고(proportioned) 치수가 정해질 수 있다. 샤워헤드(253)를 통한 이온종들의 유동을 제어하기 위한 추가적 수단으로서, 조절가능한 전기적 바이어스가 샤워헤드(253)에 또한 인가될 수 있다.
대안적으로, 관통홀들(256)은 샤워헤드(253)의 상면을 향해 더 작은 내부 직경(ID)과, 바닥면을 향해 더 큰 ID를 가질 수 있다. 또한, 관통홀들(256)의 바닥 에지는, 플라즈마 유출물들이 샤워헤드를 빠져나갈 때 상기 플라즈마 유출물들을 기판 프로세싱 영역(270)에 균일하게 분배하는 것을 돕고 그에 의해 플라즈마 유출물들과 전구체 가스들의 균일한 분배를 촉진하도록 면취(chamfered)될 수 있다. 더 작은 ID는 관통홀들(256)을 따라 다양한 위치들에 배치될 수 있으며, 샤워헤드(253)가 기판 프로세싱 영역(270) 내부의 이온 밀도를 감소시키도록 여전히 허용한다. 이온 밀도의 감소는 기판 프로세싱 영역(270) 내로 진입하기 전에 벽(wall)들과의 충돌들의 횟수의 증대에 기인한다. 각각의 충돌은 벽으로부터의 전자의 획득 또는 손실에 의해 이온이 중성화될 가능성을 증대시킨다. 일반적으로, 관통홀들(256)의 더 작은 ID는 약 0.2㎜ 내지 약 20㎜일 수 있다. 다른 실시예들에서, 더 작은 ID는 약 1㎜ 내지 약 6㎜이거나, 약 0.2㎜ 내지 약 5㎜일 수 있다. 또한, 관통홀들(256)의 종횡비(즉, 더 작은 ID 대 홀 길이)는 약 1 내지 20일 수 있다. 관통홀들의 더 작은 ID는 관통홀들의 길이를 따라 발견되는 최소 ID일 수 있다. 관통홀들(256)의 단면 형상은 일반적으로 원통형, 원뿔형 또는 이들의 임의의 조합일 수 있다.
도 2b는 개시된 실시예들에 따른 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(253)의 저면도이다. 샤워헤드(253)는 도 2a에 도시된 샤워헤드와 대응한다. 관통홀들(256)은 샤워헤드(253)의 바닥에서 더 큰 내부 직경(ID)을 갖고 상단에서 더 작은 ID를 갖는 것으로 도시되어 있다. 작은 홀들(255)이 샤워헤드의 표면에 걸쳐, 심지어는 관통홀들(256) 사이에서도 실질적으로 균일하게 분포됨으로써, 본 명세서에 설명되는 다른 실시예들 보다 더 균일한 혼합을 제공하는 것을 돕는다.
불소 함유 플라즈마 유출물들과 산소 함유 플라즈마 유출물들이 샤워헤드(253) 내의 관통홀들(256)을 통해 도달할 때, 예시적인 패터닝된 기판은 기판 프로세싱 영역(270) 내부의 페데스탈(pedestal)(미도시)에 의해 지지될 수 있다. 비록 기판 프로세싱 영역(270)이 경화(curing)와 같은 다른 프로세스들을 위해 플라즈마를 지원하도록 설비될 수 있기는 하지만, 본 발명의 실시예들에서는, 패터닝된 기판을 에칭하는 동안 어떠한 플라즈마도 존재하지 않는다.
플라즈마는 샤워헤드(253) 위의 챔버 플라즈마 영역(220) 또는 샤워헤드(253) 아래의 기판 프로세싱 영역(270) 중 어느 하나에서 점화될 수 있다. 불소 함유 전구체의 유입으로부터 라디칼-불소를 생성하기 위해 플라즈마가 챔버 플라즈마 영역(220)에 존재한다. 증착 동안 챔버 플라즈마 영역(220)에서 플라즈마를 점화하기 위해, 통상적으로 무선 주파수(RF) 범위의 AC 전압이 샤워헤드(253)와 프로세싱 챔버의 전도성 상단부(덮개 (221)) 사이에 인가된다. RF 전원공급장치는 13.56㎒의 높은 RF 주파수를 발생시키지만, 또한 다른 주파수들을 단독으로 또는 13.56㎒ 주파수와 조합하여 발생시킬 수 있다.
기판 프로세싱 영역(270)과 접한 내부 표면들을 세정(clean)하거나 필름을 경화시키기 위해 기판 프로세싱 영역(270)에서 하위 플라즈마(bottom plasma)가 턴온(turn on)될 때, 상위 플라즈마(top plasma)는 저전력 또는 무전력으로 유지될 수 있다. 기판 프로세싱 영역(270) 내의 플라즈마는 샤워헤드(253)와 챔버의 페데스탈 또는 바닥 사이에 AC 전압을 인가함으로써 점화된다. 플라즈마가 존재하는 동안, 세정 가스(cleaning gas)가 기판 프로세싱 영역(270) 내로 도입될 수 있다.
페데스탈은 열 교환 채널을 가질 수 있으며, 이러한 열 교환 채널을 통해 열 교환 유체가 유동하여 기판의 온도를 제어할 수 있다. 이러한 구성은 기판 온도가 비교적 저온들(실온 내지 약 120℃까지)로 유지되도록 냉각 또는 가열될 수 있도록 한다. 열 교환 유체는 에틸렌 글리콜과 물을 포함할 수 있다. 또한, 페데스탈(바람직하게는, 알루미늄, 세라믹, 또는 이들의 조합)의 웨이퍼 지지 플래터(platter)는, 평행한 동심원들의 형태로 2개의 완전한 턴들(turns)을 만들도록 구성된 내장식 단일 루프 내장식 히터 엘리먼트를 사용하여 비교적 고온들(약 120℃ 내지 약 1100℃)을 달성하기 위해 저항식으로 가열될 수 있다. 히터 엘리먼트의 바깥 부분은 지지 플래터의 주변(perimeter) 근처에서 연장될 수 있는 반면, 안쪽 부분은 더 작은 반경을 가진 동심원의 경로 상으로 연장된다. 상기 히터 엘리먼트에 대한 배선은 페데스탈의 스템(stem)을 통과한다.
상기 챔버 플라즈마 영역 또는 원격 플라즈마 시스템 내의 영역은 원격 플라즈마 영역으로 지칭될 수 있다. 실시예들에서, 라디칼 전구체(즉, 라디칼-불소)가 원격 플라즈마 영역에서 형성되어, 실리콘 또는 실리콘-및-질소 함유 물질이 우선적으로 에칭되는 기판 프로세싱 영역 내로 이동한다. 라디칼-불소와 라디칼-산소(이들은 함께 플라즈마 유출물들로 지칭될 수 있음)가 기판 프로세싱 영역에서 더 여기되지 않도록 보장하기 위하여, 실시예들에서, 플라즈마 전력은 본질적으로 원격 플라즈마 영역에만 인가될 수 있다.
챔버 플라즈마 영역을 사용하는 실시예들에서, 여기된 플라즈마 유출물들은 증착 영역으로부터 구획되는 기판 프로세싱 영역의 섹션에서 발생된다. 본 명세서에서 기판 프로세싱 영역으로 또한 알려져있는 증착 영역은, 패터닝된 기판(예컨대, 반도체 웨이퍼)을 에칭하기 위해 플라즈마 유출물들이 혼합되고 반응하는 곳이다. 여기된 플라즈마 유출물들은 또한 비활성 가스들(예시적인 경우, 아르곤)을 수반할 수 있다. 상기 기판 프로세싱 영역은, 본 명세서에서, 패터닝된 기판의 에칭들 동안, "무-플라즈마(plasma-free)"인 것으로서 설명될 수 있다. "무-플라즈마"가 반드시 상기 영역에 플라즈마가 없다는 것을 의미하지는 않는다. 플라즈마 영역 내에서 생성되는 비교적 낮은 농도의 자유 전자들 및 이온화된 종들은 관통홀들(256)의 형상들과 크기들로 인하여 구획(샤워헤드/이온 억제기)의 구멍들(개구들)을 통해 이동한다. 몇몇 실시예들에서는, 제 1 또는 제 2 에칭 단계들 동안, 기판 프로세싱 영역 내에는 자유 전자들 및 이온화된 종들의 농도가 본질적으로 존재하지 않는다. 챔버 플라즈마 영역에서의 플라즈마의 경계들은 정의하기 어렵고, 샤워헤드의 개구들을 통해 기판 프로세싱 영역을 침범할 수 있다. 유도적으로 커플링된 플라즈마의 경우, 소량의 이온화가 직접적으로 기판 프로세싱 영역 내에서 이루어질 수 있다. 또한, 형성하는 필름의 바람직한 특징들을 없애지 않으면서, 기판 프로세싱 영역 내에서 저 강도(intensity)의 플라즈마가 생성될 수 있다. 여기된 플라즈마 유출물들을 생성하는 동안 챔버 플라즈마 영역(또는, 그 점에 대해서는, 원격 플라즈마 영역)보다 훨씬 더 낮은 강도의 이온 밀도를 갖는 플라즈마에 대한 모든 원인들(causes)은 본 명세서에 사용되는 "무-플라즈마"의 범위를 벗어나지 않는다.
개시된 실시예들에서, 삼불화질소(또는 다른 불소 함유 전구체)는 약 25sccm 내지 약 200sccm, 약 50sccm 내지 약 150sccm, 또는 약 75sccm 내지 약 125sccm의 유량들로 챔버 플라즈마 영역(220) 내로 유동될 수 있다. 개시된 실시예들에서, 산소(O2)는 약 250sccm 또는 그 초과, 약 500sccm 또는 그 초과, 또는 약 1slm 또는 그 초과의 유량들로 챔버 플라즈마 영역(220) 내로 유동될 수 있다.
챔버 내로의 불소 함유 전구체와 산소 함유 전구체의 조합된 유량들은 전체 가스 혼합물의 0.05체적% 내지 약 20체적%를 차지할 수 있으며, 나머지는 캐리어 가스들이다. 실시예들에서, 불소 함유 전구체와 산소 함유 전구체가 원격 플라즈마 영역 내로 유동되지만, 플라즈마 유출물들은 동일한 체적 유동 비율을 갖는다. 불소 함유 전구체의 경우, 원격 플라즈마 영역 내의 압력을 안정화하기 위해, 불소 함유 가스의 개시 전에, 퍼지 가스 또는 캐리어 가스가 원격 플라즈마 영역 내로 먼저 개시될 수 있다.
원격 플라즈마 영역에 인가되는 플라즈마 전력은 다양한 주파수들이거나 다중 주파수들의 조합일 수 있다. 예시적인 프로세싱 시스템에서, 플라즈마는 덮개(221)와 샤워헤드(253) 사이에 전달되는 RF 전력에 의해 제공된다. 개시된 실시예들에서, RF 전력은 약 10Watts 내지 약 15000Watts, 약 20Watts 내지 약 1500Watts, 또는 약 50Watts 내지 약 500Watts일 수 있다. 개시된 실시예들에서, 예시적인 프로세싱 시스템에서 인가되는 RF 주파수는 약 200㎑ 미만의 낮은 RF 주파수들, 약 10㎒ 내지 약 15㎒의 높은 RF 주파수들, 또는 약 1㎓ 또는 그 초과의 극초단파 주파수들일 수 있다.
캐리어 가스들과 플라즈마 유출물들이 기판 프로세싱 영역(270) 내로 유동하는 동안, 기판 프로세싱 영역(270)은 다양한 압력들로 유지될 수 있다. 기판 프로세싱 영역 내부의 압력은 약 50Torr 또는 그 미만, 약 30Torr 또는 그 미만, 약 20Torr 또는 그 미만, 약 10Torr 또는 그 미만, 또는 약 5Torr 또는 그 미만이다. 상기 압력은 본 발명의 실시예들에서 약 0.1Torr 또는 그 초과, 약 0.2Torr 또는 그 초과, 약 0.5Torr 또는 그 초과, 또는 약 1Torr 또는 그 초과일 수 있다. 본 발명의 추가적인 실시예들에 도달하기 위해, 압력에 대한 하한들이 압력에 대한 상한들과 조합될 수 있다.
하나 또는 그 초과의 실시예들에서, 기판 프로세싱 챔버(200)는 캘리포니아, 산타 클라라에 소재하는 어플라이드 머티어리얼사로부터 입수할 수 있는 ProducerTM GT, CenturaTM AP 및 EnduraTM 플랫폼들을 포함하는 다양한 멀티-프로세싱 플랫폼들 내에 통합될 수 있다. 이러한 프로세싱 플랫폼은 진공을 깨뜨리지 않으면서 여러개의 프로세싱 작업들을 수행할 수 있다. 본 발명의 실시예들을 구현할 수 있는 프로세싱 챔버들은, 다른 유형들의 챔버들 중에서도, 유전체 에칭 챔버들 또는 다양한 화학기상증착 챔버들을 포함할 수 있다.
증착 시스템들의 실시예들은 집적 회로 칩들을 생산하기 위한 보다 큰 제조 시스템들에 통합될 수 있다. 도 3은 개시된 실시예들에 따른 증착, 베이킹(baking) 및 경화 챔버들의 그러한 하나의 시스템(300)을 도시한다. 도면에서, 한 쌍의 FOUP들(front opening unified pods)(전면 개방형 통합 포드들)(302)이 기판들(예컨대, 300㎜ 직경의 웨이퍼들)을 공급하는 바, 이러한 기판들은 로봇 암들(304)에 의해 수용(receive)되고, 웨이퍼 프로세싱 챔버들(308a 내지 308f) 중 하나 내에 배치되기 전에 저압의 홀딩 영역들(306) 내에 배치된다. 저압의 홀딩 영역들(306)로부터 웨이퍼 프로세싱 챔버들(308a 내지 308f)로 그리고 그 역으로 기판 웨이퍼들을 운반하기 위해, 제 2 로봇 암(310)이 사용될 수 있다. 각각의 웨이퍼 프로세싱 챔버들(308a 내지 308f)은 순환식 층 증착(cyclical layer deposition)(CLD), 원자 층 증착(ALD), 화학기상증착(CVD), 물리기상증착(PVD), 에칭, 예비세정(pre-clean), 탈기(degas), 배향 및 다른 기판 프로세스들에 부가하여 본 명세서에 설명된 건식 에칭 프로세스들을 포함하는 다수의 기판 프로세싱 작업들을 수행하도록 갖춰질 수 있다.
웨이퍼 프로세싱 챔버들(308a 내지 308f)은 기판 웨이퍼 상에 유동성 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 또는 그 초과의 시스템 구성요소들을 포함할 수 있다. 하나의 구성에서, 유전체 물질을 기판 상에 증착하기 위해 2쌍의 프로세싱 챔버(예컨대, 308c와 308d 및 308e와 308f)가 사용될 수 있으며, 증착된 유전체를 에칭하기 위해 제 3 쌍의 프로세싱 챔버들(예컨대, 308a와 308b)이 사용될 수 있다. 다른 구성에서, 모든 3쌍의 챔버들(예컨대, 308a 내지 308f)은 기판 상의 유전체 필름을 에칭하도록 구성될 수 있다. 설명된 프로세스들 중 임의의 하나 또는 그 초과의 프로세스는, 개시된 실시예들에 도시된 제조 시스템으로부터 분리된 챔버(들)에서 실시될 수 있다.
기판 프로세싱 시스템은 시스템 제어기에 의해 제어된다. 예시적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 프로세서를 포함한다. 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템의 다양한 부분들은, 보드, 카드 케이지 및 커넥터 치수들과 유형들을 정의하는 VME(Versa Modular European) 표준을 따른다. 또한, VME 표준은 버스 구조를 16비트 데이타 버스와 24비트 어드레스 버스를 갖는 것으로서 정의한다.
시스템 제어기(357)는 모터들, 밸브들, 유동 제어기들, 전력 공급기들 및 본 명세서에 설명되는 프로세스 레시피들을 실시하기 위해 요구되는 다른 기능들을 제어하는 데에 사용된다. 웨이퍼 프로세싱 챔버들(308a 내지 308f) 중 하나 또는 모두에 가스들을 도입하도록, 가스 핸들링 시스템(355) 또한 시스템 제어기(357)에 의해 제어될 수 있다. 시스템 제어기(357)는 가스 핸들링 시스템(355) 및/또는 웨이퍼 프로세싱 챔버들(308a 내지 308f) 내에서 이동가능한 기계 조립체들의 위치를 결정하고 조정하기 위하여 광학 센서들로부터의 피드백에 의존할 수 있다. 기계 조립체들은 시스템 제어기(357)의 제어하에서 모터들에 의해 움직이는 서셉터들, 스로틀 밸브들 및 로봇을 포함할 수 있다.
예시적인 실시예에서, 시스템 제어기(357)는 하드 디스크 드라이브(메모리), USB 포트들, 플로피 디스크 드라이브 및 프로세서를 포함한다. 시스템 제어기(357)는 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. 기판 프로세싱 챔버(200)를 포함하는 멀티-챔버 프로세싱 시스템(300)의 다양한 부분들은 시스템 제어기(357)에 의해 제어된다. 시스템 제어기는 하드 디스크, 플로피 디스크 또는 플래시 메모리 섬(thumb) 드라이브와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램 형태의 시스템 제어 소프트웨어를 실행한다. 다른 유형들의 메모리가 또한 사용될 수 있다. 컴퓨터 프로그램은 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 특정 프로세스의 다른 파라미터들을 지시하는 명령어들의 세트(set)들을 포함한다.
기판 상의 필름을 에칭, 증착 또는 다른 처리를 하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스는 상기 제어기에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 실시될 수 있다. 컴퓨터 프로그램 코드는 종래의 임의의 컴퓨터 판독 가능한 프로그래밍 언어, 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 기타 언어들로 기록될 수 있다. 적합한 프로그램 코드가 통상의 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일들에 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장되거나 내장된다. 입력된 코드 텍스트가 고수준 언어라면, 코드는 컴파일된 다음, 결과적인 컴파일러 코드가 프리컴파일형(precompiled) Microsoft Windows® 라이브러리 루틴들의 목적 코드와 링크된다. 링크되는 컴파일된 목적 코드를 실행하기 위해, 시스템 유저는 목적 코드를 호출하여, 컴퓨터 시스템으로 하여금 메모리에 코드를 로딩하게 한다. 그 다음, CPU는 코드를 판독하고 실행하여, 프로그램에서 식별된 임무들을 수행한다.
사용자와 제어기 사이의 인터페이스는 터치 감응형 모니터를 통해 이루어질 수 있고, 마우스와 키보드를 또한 포함할 수 있다. 일 실시예에서는, 2개의 모니터들이 사용되는데, 하나는 작업자들을 위해서 클린룸 벽에 장착되고, 다른 하나는 서비스 기술자들을 위해서 벽 뒤에 장착된다. 이러한 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있는 바, 이 경우, 한번에 단지 하나의 모니터 만이 입력을 받아들이도록 구성된다. 특정 스크린 또는 기능을 선택하기 위해서, 작업자는 손가락 또는 마우스로 디스플레이 스크린 상의 지정된 영역을 터치한다. 터치된 영역은 자신의 하이라이트된 색을 변화시키거나, 새로운 메뉴 또는 스크린이 디스플레이되어, 작업자의 선택을 확인한다.
본 명세서에서 사용된 바와 같이, "기판"은 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다. 패터닝된 기판은 절연체이거나, 다양한 도핑 농도들과 프로파일들을 가진 반도체일 수 있으며, 예컨대, 집적 회로들의 제조에 사용되는 유형의 반도체 기판일 수 있다. 패터닝된 기판의 노출된 "실리콘"은 주로 Si이지만, 붕소, 인, 질소, 산소, 수소, 탄소 등과 같은 다른 원소 성분들의 소수의 농도들을 포함할 수 있다. 용어 "실리콘"은 단결정 실리콘 또는 폴리실리콘을 나타낼 수 있다. 패터닝된 기판의 노출된 "실리콘 질화물"은 주로 Si3N4이지만, 산소, 수소, 탄소 등과 같은 다른 원소 성분들의 소수의 농도들을 포함할 수 있다. 패터닝된 기판의 노출된 "실리콘 산화물"은 주로 SiO2이지만, 질소, 수소, 탄소 등과 같은 다른 원소 성분들의 농도들을 포함할 수 있다. 몇몇 실시예들에서, 본 명세서에 개시된 방법들을 사용하여 에칭된 실리콘 산화물 필름들은 본질적으로 실리콘과 산소로 이루어진다. 용어 "전구체"는 표면으로부터 물질을 제거하거나 표면에 물질을 증착하기 위해 반응에 참여하는 임의의 프로세스 가스를 나타내기 위해 사용되었다. "플라즈마 유출물들"은 챔버 플라즈마 영역으로부터 빠져나와 기판 프로세싱 영역에 들어가는 가스를 설명한다. 플라즈마 유출물들은, 가스 분자들 중 적어도 일부가 진동 여기되거나, 분해되거나 및/또는 이온화된 상태인 "여기된 상태"에 있다. "라디칼 전구체"는 표면으로부터 물질을 제거하거나 표면에 물질을 증착하기 위해 반응에 참여하는 플라즈마 유출물들(플라즈마를 빠져나가는 여기된 상태의 가스)을 설명하는데 사용된다. "라디칼-불소"(또는 "라디칼-산소")는 불소(또는 산소)를 함유한 라디칼 전구체들이지만, 다른 원소 성분들을 포함할 수 있다. "비활성 가스"의 문구(phrase)는, 필름을 에칭하거나 또는 필름 내에 포함될 때 화학적 결합들을 형성하지 않는 임의의 가스를 나타낸다. 예시적인 비활성 가스들은 영족 기체(noble gas)들을 포함하지만, (전형적으로) 소량(trace amounts)이 필름에 혼입(trapped)될 때 화학적 결합들이 형성되지 않는 한 다른 가스들을 포함할 수 있다.
용어들 "갭"과 "트렌치"는 에칭되는 기하구조가 큰 수평적 종횡비를 갖는다는 암시 없이 전체적으로 사용되었다. 표면 위에서 봤을 때, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. 트렌치는 물질의 섬(island) 주위에 해자(moat) 형태일 수 있다. 용어 "비아(via)"는 수직의 전기적인 연결을 형성하기 위해 금속으로 충진되거나 또는 충진되지 않을 수 있는 (위에서 봤을 때) 낮은 종횡비 트렌치를 나타내기 위해 사용되었다. 본 명세서에서 사용된 바와 같이, 컨포멀한(conformal) 에칭 프로세스는 표면과 동일한 형상으로 표면 상의 물질을 대체로 균일하게 제거하는 것을 나타내고, 즉, 에칭된 층의 표면과 에칭전 표면이 대체로 평행하다. 당업자라면, 에칭된 인터페이스가 100% 컨포멀할 수는 없으므로, 용어 "대체로"는 허용가능한 공차들을 허용한다는 것을 인식할 수 있을 것이다.
몇몇 실시예들을 개시하였지만, 개시된 실시예들의 사상을 벗어나지 않으면서 다양한 변형들, 대안적 구성들, 및 등가물들이 이용될 수 있다는 것을 당업자들은 인식할 것이다. 아울러, 본 발명이 불필요하게 불명료해지는 것을 방지하기 위해서, 많은 주지의 프로세스들 및 엘리먼트들은 설명하지 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되어서는 안된다.
수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 본 발명에 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 본 발명에 포함된다.
본 명세서 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태들 "a" "an" 및 "the"는 문맥상 명백히 달리 지시되지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 언급은 복수의 이러한 프로세스들을 포함하며, "유전체 물질(the dielectric material)"이라는 언급은 당업자에게 알려진 하나 또는 그 초과의 유전체 물질들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 유사하다.
또한, "포함하다(comprise)", "포함하는(comprising)", "구비하다(include)", "구비하는(including)", 및 "구비하다(includes)"라는 단어들은, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 명시된 특징들, 정수들, 구성요소들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 또는 그 초과의 다른 특징들, 정수들, 구성요소들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.
Claims (20)
- 기판 프로세싱 챔버의 기판 프로세싱 영역 내에서, 패터닝된 기판을 에칭하기 위한 방법 ― 상기 패터닝된 기판은 노출된 실리콘-및-산소 함유 영역과, 상기 노출된 실리콘-및-산소 함유 영역과는 다른 화학량론(chemical stoichiometry)을 갖는 제 2 물질의 노출된 영역을 가지고, 상기 제 2 물질은 실리콘 또는 실리콘-및-질소 함유 물질임 ― 으로서,
(1) 제 1 플라즈마 유출물들을 생성하기 위해, 원격 플라즈마 영역 내에서 제 1 플라즈마를 형성하면서, 상기 기판 프로세싱 영역에 유체적으로(fluidly) 커플링된 상기 원격 플라즈마 영역 내로 제 1 불소 함유 전구체 및 수소 함유 전구체를 각각 유동시키는 단계와,
보호된 실리콘-및-산소 함유 영역을 형성하기 위해, 상기 노출된 실리콘-및-산소 함유 영역 상에 보호성 고체 부산물(protective solid by-product)을 형성하는 단계 ― 상기 보호성 고체 부산물을 형성하는 단계는 샤워헤드를 통해 상기 기판 프로세싱 영역 내로 상기 제 1 플라즈마 유출물들을 유동시키는 단계를 포함함 ― 를 포함하는, 제 1 건식 에칭 단계;
(2) 제 2 플라즈마 유출물들을 생성하기 위해, 상기 원격 플라즈마 영역 내에서 제 2 플라즈마를 형성하면서, 상기 원격 플라즈마 영역 내로 제 2 불소 함유 전구체를 유동시키는 단계와,
상기 샤워헤드의 관통홀들을 통해 상기 기판 프로세싱 영역 내로 상기 제 2 플라즈마 유출물들을 유동시킴으로써, 상기 보호된 실리콘-및-산소 함유 영역보다 더 빠르게 상기 제 2 물질의 상기 노출된 영역을 에칭하는 단계를 포함하는, 제 2 건식 에칭 단계; 및
(3) 상기 패터닝된 기판의 온도를 상승시킴으로써, 상기 보호된 실리콘-및-산소 함유 영역으로부터 상기 보호성 고체 부산물을 승화(sublimate)시키는 단계의 순차적인 단계들을 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 노출된 실리콘-및-산소 함유 영역은 실리콘 산화물인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 노출된 실리콘-및-산소 함유 영역은 본질적으로 실리콘과 산소로 이루어지는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 노출된 실리콘-및-산소 함유 영역은 30% 또는 그 초과의 실리콘과 30% 또는 그 초과의 산소를 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 1 건식 에칭 단계와 상기 제 2 건식 에칭 단계의 각각 동안에, 상기 패터닝된 기판의 온도는 -20℃ 또는 그 초과이고, 75℃ 또는 그 미만인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 1 건식 에칭 단계와 상기 제 2 건식 에칭 단계의 각각 동안에, 상기 기판 프로세싱 영역 내의 압력은 50Torr 또는 그 미만이고, 0.1Torr 또는 그 초과인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 원격 플라즈마 영역 내에서 상기 제 1 플라즈마를 형성하고 상기 원격 플라즈마 영역 내에서 상기 제 2 플라즈마를 형성하는 것은, 상기 제 1 건식 에칭 단계와 상기 제 2 건식 에칭 단계의 각각 동안 상기 원격 플라즈마 영역에 10Watts 내지 15000Watts의 RF 전력을 인가하는 것을 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 1 플라즈마와 상기 제 2 플라즈마는 모두 용량적으로 커플링된 플라즈마들(capacitively-coupled plasmas)인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 물질은 단결정 실리콘 또는 폴리실리콘인,
패터닝된 기판을 에칭하기 위한 방법. - 제 9 항에 있어서,
상기 패터닝된 기판을 에칭하기 위한 방법의 에칭 선택성(etch selectivity)(상기 제 2 물질의 노출된 영역 : 노출된 실리콘-및-산소 함유 영역)은 20:1 또는 그 초과인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 물질은 실리콘과 질소를 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 11 항에 있어서,
상기 패터닝된 기판을 에칭하기 위한 방법의 에칭 선택성(상기 제 2 물질의 노출된 영역 : 노출된 실리콘-및-산소 함유 영역)은 5:1 또는 그 초과인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 물질은 원자 퍼센트로 30% 또는 그 초과의 실리콘과 30% 또는 그 초과의 질소를 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 물질은 실리콘 질화물인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 1 건식 에칭 단계와 상기 제 2 건식 에칭 단계의 각각 동안에, 상기 기판 프로세싱 영역은 본질적으로 무-플라즈마(plasma-free)인,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 1 불소 함유 전구체는 원자 불소, 이원자 불소, 삼불화질소, 사불화탄소, 이불화제논 중 적어도 하나를 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 수소 함유 전구체는 원자 수소, 분자 수소, 암모니아, 완전 탄화수소(perhydrocarbon) 및 불완전하게 할로겐으로 치환된(halogen-substituted) 탄화수소 중 적어도 하나를 포함하는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 불소 함유 전구체는 수소의 소스와 혼합되지 않으며, 상기 제 2 플라즈마 유출물들은 본질적으로 수소가 없는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 건식 에칭 단계 동안, 상기 기판 프로세싱 영역 내부에는 이온화된 종들과 자유 전자들의 농도가 본질적으로 없는,
패터닝된 기판을 에칭하기 위한 방법. - 제 1 항에 있어서,
상기 제 2 물질은 본질적으로 산소가 없는,
패터닝된 기판을 에칭하기 위한 방법.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161527823P | 2011-08-26 | 2011-08-26 | |
US61/527,823 | 2011-08-26 | ||
US13/449,543 | 2012-04-18 | ||
US13/449,543 US8679982B2 (en) | 2011-08-26 | 2012-04-18 | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
PCT/US2012/049768 WO2013032638A1 (en) | 2011-08-26 | 2012-08-06 | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20140065369A KR20140065369A (ko) | 2014-05-29 |
KR101975236B1 true KR101975236B1 (ko) | 2019-05-07 |
Family
ID=47744321
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020137002108A KR101975236B1 (ko) | 2011-08-26 | 2012-08-06 | 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제 |
Country Status (5)
Country | Link |
---|---|
US (1) | US8679982B2 (ko) |
KR (1) | KR101975236B1 (ko) |
CN (1) | CN103748666B (ko) |
TW (1) | TWI541890B (ko) |
WO (1) | WO2013032638A1 (ko) |
Families Citing this family (174)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8551891B2 (en) * | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9299956B2 (en) * | 2012-06-13 | 2016-03-29 | Aixtron, Inc. | Method for deposition of high-performance coatings and encapsulated electronic devices |
US10283615B2 (en) | 2012-07-02 | 2019-05-07 | Novellus Systems, Inc. | Ultrahigh selective polysilicon etch with high throughput |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US8945414B1 (en) | 2013-11-13 | 2015-02-03 | Intermolecular, Inc. | Oxide removal by remote plasma treatment with fluorine and oxygen radicals |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9502218B2 (en) * | 2014-01-31 | 2016-11-22 | Applied Materials, Inc. | RPS assisted RF plasma source for semiconductor processing |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9558962B2 (en) * | 2014-08-12 | 2017-01-31 | Tokyo Electron Limited | Substrate processing method |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9558928B2 (en) * | 2014-08-29 | 2017-01-31 | Lam Research Corporation | Contact clean in high-aspect ratio structures |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9385003B1 (en) * | 2015-02-16 | 2016-07-05 | Lam Research Corporation | Residue free systems and methods for isotropically etching silicon in tight spaces |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US11384432B2 (en) | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US9595452B2 (en) * | 2015-05-27 | 2017-03-14 | Lam Research Corporation | Residue free oxide etch |
US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
CN107924816B (zh) * | 2015-06-26 | 2021-08-31 | 东京毅力科创株式会社 | 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻 |
US9922840B2 (en) | 2015-07-07 | 2018-03-20 | Applied Materials, Inc. | Adjustable remote dissociation |
US9659791B2 (en) | 2015-07-16 | 2017-05-23 | Applied Materials, Inc. | Metal removal with reduced surface roughness |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN108292602B (zh) * | 2015-12-18 | 2023-08-18 | 应用材料公司 | 清洁方法 |
US10008388B2 (en) * | 2016-04-13 | 2018-06-26 | Applied Materials, Inc. | Device conformity control by low temperature, low pressure, inductively coupled ammonia-nitrogen trifluoride plasma |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP7008918B2 (ja) * | 2016-05-29 | 2022-01-25 | 東京エレクトロン株式会社 | 選択的窒化シリコンエッチングの方法 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
KR20190038945A (ko) | 2016-08-29 | 2019-04-09 | 도쿄엘렉트론가부시키가이샤 | 실리콘 질화물의 준원자 층 에칭 방법 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) * | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
WO2018156985A1 (en) * | 2017-02-23 | 2018-08-30 | Tokyo Electron Limited | Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures |
TWI756367B (zh) * | 2017-02-23 | 2022-03-01 | 日商東京威力科創股份有限公司 | 矽氮化物之準原子層蝕刻方法 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
JP6929148B2 (ja) * | 2017-06-30 | 2021-09-01 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
JP6951548B2 (ja) | 2017-08-01 | 2021-10-20 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 金属酸化物の後処理の方法 |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN107731678B (zh) * | 2017-08-24 | 2020-04-14 | 长江存储科技有限责任公司 | 三维存储器的制作方法 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
CN109166857A (zh) * | 2018-09-03 | 2019-01-08 | 长江存储科技有限责任公司 | 半导体结构及其形成方法 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11195718B2 (en) * | 2019-07-03 | 2021-12-07 | Beijing E-town Semiconductor Technology Co., Ltd. | Spacer open process by dual plasma |
Family Cites Families (578)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2369620A (en) | 1941-03-07 | 1945-02-13 | Battelle Development Corp | Method of coating cupreous metal with tin |
US3451840A (en) | 1965-10-06 | 1969-06-24 | Us Air Force | Wire coated with boron nitride and boron |
US4632857A (en) | 1974-05-24 | 1986-12-30 | Richardson Chemical Company | Electrolessly plated product having a polymetallic catalytic film underlayer |
US4232060A (en) | 1979-01-22 | 1980-11-04 | Richardson Chemical Company | Method of preparing substrate surface for electroless plating and products produced thereby |
US4397812A (en) | 1974-05-24 | 1983-08-09 | Richardson Chemical Company | Electroless nickel polyalloys |
US4006047A (en) | 1974-07-22 | 1977-02-01 | Amp Incorporated | Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates |
US3937857A (en) | 1974-07-22 | 1976-02-10 | Amp Incorporated | Catalyst for electroless deposition of metals |
US4265943A (en) | 1978-11-27 | 1981-05-05 | Macdermid Incorporated | Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions |
US4234628A (en) | 1978-11-28 | 1980-11-18 | The Harshaw Chemical Company | Two-step preplate system for polymeric surfaces |
US4214946A (en) | 1979-02-21 | 1980-07-29 | International Business Machines Corporation | Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
IT1130955B (it) | 1980-03-11 | 1986-06-18 | Oronzio De Nora Impianti | Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti |
US4368223A (en) | 1981-06-01 | 1983-01-11 | Asahi Glass Company, Ltd. | Process for preparing nickel layer |
DE3205345A1 (de) | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "verfahren zur herstellung von fluordotierten lichtleitfasern" |
US4585920A (en) | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
JPS6060060A (ja) | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4656052A (en) | 1984-02-13 | 1987-04-07 | Kyocera Corporation | Process for production of high-hardness boron nitride film |
US4571819A (en) | 1984-11-01 | 1986-02-25 | Ncr Corporation | Method for forming trench isolation structures |
US4807016A (en) | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
US4714520A (en) | 1985-07-25 | 1987-12-22 | Advanced Micro Devices, Inc. | Method for filling a trench in an integrated circuit structure without producing voids |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63204726A (ja) | 1987-02-20 | 1988-08-24 | Anelva Corp | 真空処理装置 |
US4868071A (en) | 1987-02-24 | 1989-09-19 | Polyonics Corporation | Thermally stable dual metal coated laminate products made from textured polyimide film |
US5322976A (en) | 1987-02-24 | 1994-06-21 | Polyonics Corporation | Process for forming polyimide-metal laminates |
KR910006164B1 (ko) | 1987-03-18 | 1991-08-16 | 가부시키가이샤 도시바 | 박막형성방법과 그 장치 |
US5198034A (en) | 1987-03-31 | 1993-03-30 | Epsilon Technology, Inc. | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
DE3884653T2 (de) | 1987-04-03 | 1994-02-03 | Fujitsu Ltd | Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant. |
US4753898A (en) | 1987-07-09 | 1988-06-28 | Motorola, Inc. | LDD CMOS process |
US4886570A (en) | 1987-07-16 | 1989-12-12 | Texas Instruments Incorporated | Processing apparatus and method |
US4810520A (en) | 1987-09-23 | 1989-03-07 | Magnetic Peripherals Inc. | Method for controlling electroless magnetic plating |
US4981551A (en) | 1987-11-03 | 1991-01-01 | North Carolina State University | Dry etching of silicon carbide |
US4865685A (en) | 1987-11-03 | 1989-09-12 | North Carolina State University | Dry etching of silicon carbide |
US4851370A (en) | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
US4904341A (en) | 1988-08-22 | 1990-02-27 | Westinghouse Electric Corp. | Selective silicon dioxide etchant for superconductor integrated circuits |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US5030319A (en) | 1988-12-27 | 1991-07-09 | Kabushiki Kaisha Toshiba | Method of oxide etching with condensed plasma reaction product |
US4985372A (en) | 1989-02-17 | 1991-01-15 | Tokyo Electron Limited | Method of forming conductive layer including removal of native oxide |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5270125A (en) | 1989-07-11 | 1993-12-14 | Redwood Microsystems, Inc. | Boron nutride membrane in wafer structure |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US4994404A (en) | 1989-08-28 | 1991-02-19 | Motorola, Inc. | Method for forming a lightly-doped drain (LDD) structure in a semiconductor device |
DE69111493T2 (de) | 1990-03-12 | 1996-03-21 | Ngk Insulators Ltd | Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten. |
JP2960466B2 (ja) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
US5089441A (en) | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5147692A (en) | 1990-05-08 | 1992-09-15 | Macdermid, Incorporated | Electroless plating of nickel onto surfaces such as copper or fused tungston |
US5238499A (en) | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
JPH04228572A (ja) | 1990-08-10 | 1992-08-18 | Sumitomo Electric Ind Ltd | 硬質窒化ホウ素合成法 |
US5235139A (en) | 1990-09-12 | 1993-08-10 | Macdermid, Incorprated | Method for fabricating printed circuits |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
KR930011413B1 (ko) | 1990-09-25 | 1993-12-06 | 가부시키가이샤 한도오따이 에네루기 겐큐쇼 | 펄스형 전자파를 사용한 플라즈마 cvd 법 |
US5549780A (en) | 1990-10-23 | 1996-08-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for plasma processing and apparatus for plasma processing |
JP2640174B2 (ja) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
JP3206916B2 (ja) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス |
US5578130A (en) | 1990-12-12 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for depositing a film |
WO1992012535A1 (en) | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
JP2697315B2 (ja) | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | フッ素含有シリコン酸化膜の形成方法 |
JP2787142B2 (ja) | 1991-03-01 | 1998-08-13 | 上村工業 株式会社 | 無電解錫、鉛又はそれらの合金めっき方法 |
US5897751A (en) | 1991-03-11 | 1999-04-27 | Regents Of The University Of California | Method of fabricating boron containing coatings |
JP2920848B2 (ja) * | 1991-03-19 | 1999-07-19 | 東京エレクトロン株式会社 | シリコン層のエッチング方法 |
JPH04341568A (ja) | 1991-05-16 | 1992-11-27 | Toshiba Corp | 薄膜形成方法及び薄膜形成装置 |
WO1992020833A1 (en) | 1991-05-17 | 1992-11-26 | Lam Research Corporation | A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT |
JP2699695B2 (ja) | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | 化学気相成長法 |
US5203911A (en) | 1991-06-24 | 1993-04-20 | Shipley Company Inc. | Controlled electroless plating |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5240497A (en) | 1991-10-08 | 1993-08-31 | Cornell Research Foundation, Inc. | Alkaline free electroless deposition |
JPH05226480A (ja) | 1991-12-04 | 1993-09-03 | Nec Corp | 半導体装置の製造方法 |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5352636A (en) | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
JP3084497B2 (ja) | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
JP2773530B2 (ja) | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2792335B2 (ja) | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | 半導体装置の製造方法 |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3688726B2 (ja) | 1992-07-17 | 2005-08-31 | 株式会社東芝 | 半導体装置の製造方法 |
US5380560A (en) | 1992-07-28 | 1995-01-10 | International Business Machines Corporation | Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5306530A (en) | 1992-11-23 | 1994-04-26 | Associated Universities, Inc. | Method for producing high quality thin layer films on substrates |
US5382311A (en) | 1992-12-17 | 1995-01-17 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5500249A (en) | 1992-12-22 | 1996-03-19 | Applied Materials, Inc. | Uniform tungsten silicide films produced by chemical vapor deposition |
US5756402A (en) | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5345999A (en) | 1993-03-17 | 1994-09-13 | Applied Materials, Inc. | Method and apparatus for cooling semiconductor wafers |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
JP3236111B2 (ja) | 1993-03-31 | 2001-12-10 | キヤノン株式会社 | プラズマ処理装置及び処理方法 |
US5695568A (en) | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
KR0142150B1 (ko) | 1993-04-09 | 1998-07-15 | 윌리엄 티. 엘리스 | 붕소 질화물을 에칭하기 위한 방법 |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
US5591269A (en) | 1993-06-24 | 1997-01-07 | Tokyo Electron Limited | Vacuum processing apparatus |
US5560779A (en) | 1993-07-12 | 1996-10-01 | Olin Corporation | Apparatus for synthesizing diamond films utilizing an arc plasma |
WO1995002900A1 (en) | 1993-07-15 | 1995-01-26 | Astarix, Inc. | Aluminum-palladium alloy for initiation of electroless plating |
EP0637063B1 (en) | 1993-07-30 | 1999-11-03 | Applied Materials, Inc. | Method for depositing silicon nitride on silicium surfaces |
US5483920A (en) | 1993-08-05 | 1996-01-16 | Board Of Governors Of Wayne State University | Method of forming cubic boron nitride films |
US5468597A (en) | 1993-08-25 | 1995-11-21 | Shipley Company, L.L.C. | Selective metallization process |
US5384284A (en) | 1993-10-01 | 1995-01-24 | Micron Semiconductor, Inc. | Method to form a low resistant bond pad interconnect |
SE501888C2 (sv) | 1993-10-18 | 1995-06-12 | Ladislav Bardos | En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden |
US5505816A (en) | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
JPH07193214A (ja) | 1993-12-27 | 1995-07-28 | Mitsubishi Electric Corp | バイアホール及びその形成方法 |
US5415890A (en) | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
US5403434A (en) | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5451259A (en) | 1994-02-17 | 1995-09-19 | Krogh; Ole D. | ECR plasma source for remote processing |
US5439553A (en) | 1994-03-30 | 1995-08-08 | Penn State Research Foundation | Controlled etching of oxides via gas phase reactions |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US5531835A (en) | 1994-05-18 | 1996-07-02 | Applied Materials, Inc. | Patterned susceptor to reduce electrostatic force in a CVD chamber |
JPH07335612A (ja) * | 1994-06-13 | 1995-12-22 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
US5767373A (en) | 1994-06-16 | 1998-06-16 | Novartis Finance Corporation | Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5683538A (en) * | 1994-12-23 | 1997-11-04 | International Business Machines Corporation | Control of etch selectivity |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
US20010028922A1 (en) | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
JP2814370B2 (ja) | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6197364B1 (en) | 1995-08-22 | 2001-03-06 | International Business Machines Corporation | Production of electroless Co(P) with designed coercivity |
US5755859A (en) | 1995-08-24 | 1998-05-26 | International Business Machines Corporation | Cobalt-tin alloys and their applications for devices, chip interconnections and packaging |
US6053982A (en) | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5716506A (en) | 1995-10-06 | 1998-02-10 | Board Of Trustees Of The University Of Illinois | Electrochemical sensors for gas detection |
JPH09106899A (ja) | 1995-10-11 | 1997-04-22 | Anelva Corp | プラズマcvd装置及び方法並びにドライエッチング装置及び方法 |
US5910340A (en) | 1995-10-23 | 1999-06-08 | C. Uyemura & Co., Ltd. | Electroless nickel plating solution and method |
US6015724A (en) | 1995-11-02 | 2000-01-18 | Semiconductor Energy Laboratory Co. | Manufacturing method of a semiconductor device |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5648125A (en) | 1995-11-16 | 1997-07-15 | Cane; Frank N. | Electroless plating process for the manufacture of printed circuit boards |
US5846598A (en) | 1995-11-30 | 1998-12-08 | International Business Machines Corporation | Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating |
US5733816A (en) | 1995-12-13 | 1998-03-31 | Micron Technology, Inc. | Method for depositing a tungsten layer on silicon |
US6261637B1 (en) | 1995-12-15 | 2001-07-17 | Enthone-Omi, Inc. | Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication |
US6065424A (en) | 1995-12-19 | 2000-05-23 | Cornell Research Foundation, Inc. | Electroless deposition of metal films with spray processor |
US5679606A (en) | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
DE69623651T2 (de) | 1995-12-27 | 2003-04-24 | Lam Research Corp., Fremont | Verfahren zur füllung von gräben auf einer halbleiterscheibe |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US5824599A (en) | 1996-01-16 | 1998-10-20 | Cornell Research Foundation, Inc. | Protected encapsulation of catalytic layer for electroless copper interconnect |
US5891513A (en) | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US5656093A (en) | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
US5951601A (en) | 1996-03-25 | 1999-09-14 | Lesinski; S. George | Attaching an implantable hearing aid microactuator |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US6048798A (en) | 1996-06-05 | 2000-04-11 | Lam Research Corporation | Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
US20010012700A1 (en) | 1998-12-15 | 2001-08-09 | Klaus F. Schuegraf | Semiconductor processing methods of chemical vapor depositing sio2 on a substrate |
US5661093A (en) | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5888906A (en) | 1996-09-16 | 1999-03-30 | Micron Technology, Inc. | Plasmaless dry contact cleaning method using interhalogen compounds |
US5747373A (en) | 1996-09-24 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Nitride-oxide sidewall spacer for salicide formation |
US5846375A (en) | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US5904827A (en) | 1996-10-15 | 1999-05-18 | Reynolds Tech Fabricators, Inc. | Plating cell with rotary wiper and megasonic transducer |
US5951776A (en) | 1996-10-25 | 1999-09-14 | Applied Materials, Inc. | Self aligning lift mechanism |
KR100237825B1 (ko) | 1996-11-05 | 2000-01-15 | 윤종용 | 반도체장치 제조설비의 페디스탈 |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5939831A (en) | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5882786A (en) | 1996-11-15 | 1999-03-16 | C3, Inc. | Gemstones formed of silicon carbide with diamond coating |
US6152070A (en) | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5830805A (en) | 1996-11-18 | 1998-11-03 | Cornell Research Foundation | Electroless deposition equipment or apparatus and method of performing electroless deposition |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
FR2756663B1 (fr) | 1996-12-04 | 1999-02-26 | Berenguer Marc | Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface |
US5843538A (en) | 1996-12-09 | 1998-12-01 | John L. Raymond | Method for electroless nickel plating of metal substrates |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US5913140A (en) | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
DE19700231C2 (de) | 1997-01-07 | 2001-10-04 | Geesthacht Gkss Forschung | Vorrichtung zum Filtern und Trennen von Strömungsmedien |
US5913147A (en) | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
JPH10223608A (ja) | 1997-02-04 | 1998-08-21 | Sony Corp | 半導体装置の製造方法 |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6479373B2 (en) | 1997-02-20 | 2002-11-12 | Infineon Technologies Ag | Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US5789300A (en) | 1997-02-25 | 1998-08-04 | Advanced Micro Devices, Inc. | Method of making IGFETs in densely and sparsely populated areas of a substrate |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US5786276A (en) | 1997-03-31 | 1998-07-28 | Applied Materials, Inc. | Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2 |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
US6204200B1 (en) | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US5969422A (en) | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure |
US6083344A (en) | 1997-05-29 | 2000-07-04 | Applied Materials, Inc. | Multi-zone RF inductively coupled source configuration |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US5838055A (en) | 1997-05-29 | 1998-11-17 | International Business Machines Corporation | Trench sidewall patterned by vapor phase etching |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6706334B1 (en) | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5885749A (en) | 1997-06-20 | 1999-03-23 | Clear Logic, Inc. | Method of customizing integrated circuits by selective secondary deposition of layer interconnect material |
US5933757A (en) | 1997-06-23 | 1999-08-03 | Lsi Logic Corporation | Etch process selective to cobalt silicide for formation of integrated circuit structures |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6518155B1 (en) | 1997-06-30 | 2003-02-11 | Intel Corporation | Device structure and method for reducing silicide encroachment |
US6364957B1 (en) | 1997-10-09 | 2002-04-02 | Applied Materials, Inc. | Support assembly with thermal expansion compensation |
GB9722028D0 (en) | 1997-10-17 | 1997-12-17 | Shipley Company Ll C | Plating of polymers |
US6379575B1 (en) | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6136693A (en) | 1997-10-27 | 2000-10-24 | Chartered Semiconductor Manufacturing Ltd. | Method for planarized interconnect vias using electroless plating and CMP |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US6063712A (en) | 1997-11-25 | 2000-05-16 | Micron Technology, Inc. | Oxide etch and method of etching |
US5849639A (en) | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
US6077780A (en) | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6143476A (en) | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6406759B1 (en) | 1998-01-08 | 2002-06-18 | The University Of Tennessee Research Corporation | Remote exposure of workpieces using a recirculated plasma |
JPH11204442A (ja) | 1998-01-12 | 1999-07-30 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US5932077A (en) | 1998-02-09 | 1999-08-03 | Reynolds Tech Fabricators, Inc. | Plating cell with horizontal product load mechanism |
US6627532B1 (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6197688B1 (en) | 1998-02-12 | 2001-03-06 | Motorola Inc. | Interconnect structure in a semiconductor device and method of formation |
US6171661B1 (en) | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
US5920792A (en) | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6197181B1 (en) | 1998-03-20 | 2001-03-06 | Semitool, Inc. | Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece |
US6565729B2 (en) | 1998-03-20 | 2003-05-20 | Semitool, Inc. | Method for electrochemically depositing metal on a semiconductor workpiece |
US6602434B1 (en) | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
KR20010042419A (ko) | 1998-04-02 | 2001-05-25 | 조셉 제이. 스위니 | 낮은 k 유전체를 에칭하는 방법 |
US6117245A (en) | 1998-04-08 | 2000-09-12 | Applied Materials, Inc. | Method and apparatus for controlling cooling and heating fluids for a gas distribution plate |
US6416647B1 (en) | 1998-04-21 | 2002-07-09 | Applied Materials, Inc. | Electro-chemical deposition cell for face-up processing of single semiconductor substrates |
US6113771A (en) | 1998-04-21 | 2000-09-05 | Applied Materials, Inc. | Electro deposition chemistry |
US6179924B1 (en) | 1998-04-28 | 2001-01-30 | Applied Materials, Inc. | Heater for use in substrate processing apparatus to deposit tungsten |
US6093594A (en) | 1998-04-29 | 2000-07-25 | Advanced Micro Devices, Inc. | CMOS optimization method utilizing sacrificial sidewall spacer |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
DE69835276T2 (de) | 1998-05-22 | 2007-07-12 | Applied Materials, Inc., Santa Clara | Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100296137B1 (ko) | 1998-06-16 | 2001-08-07 | 박종섭 | 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법 |
JP2000012514A (ja) | 1998-06-19 | 2000-01-14 | Hitachi Ltd | 後処理方法 |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
JP2003517190A (ja) | 1998-06-30 | 2003-05-20 | セミトウール・インコーポレーテツド | ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法 |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
US6063683A (en) | 1998-07-27 | 2000-05-16 | Acer Semiconductor Manufacturing, Inc. | Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells |
US6436816B1 (en) | 1998-07-31 | 2002-08-20 | Industrial Technology Research Institute | Method of electroless plating copper on nitride barrier |
US6074954A (en) | 1998-08-31 | 2000-06-13 | Applied Materials, Inc | Process for control of the shape of the etch front in the etching of polysilicon |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6440863B1 (en) | 1998-09-04 | 2002-08-27 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming patterned oxygen containing plasma etchable layer |
US6165912A (en) | 1998-09-17 | 2000-12-26 | Cfmt, Inc. | Electroless metal deposition of electronic components in an enclosable vessel |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
US6180523B1 (en) | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6228758B1 (en) | 1998-10-14 | 2001-05-08 | Advanced Micro Devices, Inc. | Method of making dual damascene conductive interconnections and integrated circuit device comprising same |
US6251802B1 (en) | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6107199A (en) | 1998-10-24 | 2000-08-22 | International Business Machines Corporation | Method for improving the morphology of refractory metal thin films |
JP3064268B2 (ja) | 1998-10-29 | 2000-07-12 | アプライド マテリアルズ インコーポレイテッド | 成膜方法及び装置 |
US6176198B1 (en) | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6462371B1 (en) | 1998-11-24 | 2002-10-08 | Micron Technology Inc. | Films doped with carbon for use in integrated circuit technology |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6258220B1 (en) | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6228233B1 (en) | 1998-11-30 | 2001-05-08 | Applied Materials, Inc. | Inflatable compliant bladder assembly |
US6251236B1 (en) | 1998-11-30 | 2001-06-26 | Applied Materials, Inc. | Cathode contact ring for electrochemical deposition |
US6015747A (en) | 1998-12-07 | 2000-01-18 | Advanced Micro Device | Method of metal/polysilicon gate formation in a field effect transistor |
US6242349B1 (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
EP1014434B1 (de) | 1998-12-24 | 2008-03-26 | ATMEL Germany GmbH | Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches |
KR20000044928A (ko) | 1998-12-30 | 2000-07-15 | 김영환 | 반도체 소자의 트랜치 형성 방법 |
TW428256B (en) | 1999-01-25 | 2001-04-01 | United Microelectronics Corp | Structure of conducting-wire layer and its fabricating method |
US6245669B1 (en) | 1999-02-05 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | High selectivity Si-rich SiON etch-stop layer |
US6010962A (en) | 1999-02-12 | 2000-01-04 | Taiwan Semiconductor Manufacturing Company | Copper chemical-mechanical-polishing (CMP) dishing |
US6245670B1 (en) | 1999-02-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure |
US6136163A (en) | 1999-03-05 | 2000-10-24 | Applied Materials, Inc. | Apparatus for electro-chemical deposition with thermal anneal chamber |
US6312995B1 (en) | 1999-03-08 | 2001-11-06 | Advanced Micro Devices, Inc. | MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6144099A (en) | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6541671B1 (en) | 2002-02-13 | 2003-04-01 | The Regents Of The University Of California | Synthesis of 2H- and 13C-substituted dithanes |
JP3099066B1 (ja) | 1999-05-07 | 2000-10-16 | 東京工業大学長 | 薄膜構造体の製造方法 |
US6323128B1 (en) | 1999-05-26 | 2001-11-27 | International Business Machines Corporation | Method for forming Co-W-P-Au films |
US20020033233A1 (en) | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6110530A (en) | 1999-06-25 | 2000-08-29 | Applied Materials, Inc. | CVD method of depositing copper films by using improved organocopper precursor blend |
US6277752B1 (en) | 1999-06-28 | 2001-08-21 | Taiwan Semiconductor Manufacturing Company | Multiple etch method for forming residue free patterned hard mask layer |
US6516815B1 (en) | 1999-07-09 | 2003-02-11 | Applied Materials, Inc. | Edge bead removal/spin rinse dry (EBR/SRD) module |
US6258223B1 (en) | 1999-07-09 | 2001-07-10 | Applied Materials, Inc. | In-situ electroless copper seed layer enhancement in an electroplating system |
US6351013B1 (en) | 1999-07-13 | 2002-02-26 | Advanced Micro Devices, Inc. | Low-K sub spacer pocket formation for gate capacitance reduction |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6235643B1 (en) | 1999-08-10 | 2001-05-22 | Applied Materials, Inc. | Method for etching a trench having rounded top and bottom corners in a silicon substrate |
US6375748B1 (en) | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6432819B1 (en) | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
KR100338768B1 (ko) | 1999-10-25 | 2002-05-30 | 윤종용 | 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치 |
US20010041444A1 (en) | 1999-10-29 | 2001-11-15 | Jeffrey A. Shields | Tin contact barc for tungsten polished contacts |
US6551924B1 (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch |
EP1099776A1 (en) | 1999-11-09 | 2001-05-16 | Applied Materials, Inc. | Plasma cleaning step in a salicide process |
TW484170B (en) | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
US6342453B1 (en) | 1999-12-03 | 2002-01-29 | Applied Materials, Inc. | Method for CVD process control for enhancing device performance |
US6238513B1 (en) | 1999-12-28 | 2001-05-29 | International Business Machines Corporation | Wafer lift assembly |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6494959B1 (en) | 2000-01-28 | 2002-12-17 | Applied Materials, Inc. | Process and apparatus for cleaning a silicon surface |
US6743473B1 (en) | 2000-02-16 | 2004-06-01 | Applied Materials, Inc. | Chemical vapor deposition of barriers from novel precursors |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6350320B1 (en) | 2000-02-22 | 2002-02-26 | Applied Materials, Inc. | Heater for processing chamber |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US6391788B1 (en) | 2000-02-25 | 2002-05-21 | Applied Materials, Inc. | Two etchant etch method |
JP3979791B2 (ja) | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6527968B1 (en) | 2000-03-27 | 2003-03-04 | Applied Materials Inc. | Two-stage self-cleaning silicon etch process |
JP2001355074A (ja) | 2000-04-10 | 2001-12-25 | Sony Corp | 無電解メッキ処理方法およびその装置 |
US7892974B2 (en) | 2000-04-11 | 2011-02-22 | Cree, Inc. | Method of forming vias in silicon carbide and resulting devices and circuits |
JP2001308023A (ja) | 2000-04-21 | 2001-11-02 | Tokyo Electron Ltd | 熱処理装置及び方法 |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6679981B1 (en) | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
US6335261B1 (en) | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6603269B1 (en) | 2000-06-13 | 2003-08-05 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
US6645550B1 (en) | 2000-06-22 | 2003-11-11 | Applied Materials, Inc. | Method of treating a substrate |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
KR100366623B1 (ko) | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | 반도체 기판 또는 lcd 기판의 세정방법 |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6800830B2 (en) | 2000-08-18 | 2004-10-05 | Hitachi Kokusai Electric, Inc. | Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication |
US6446572B1 (en) | 2000-08-18 | 2002-09-10 | Tokyo Electron Limited | Embedded plasma source for plasma density improvement |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6372657B1 (en) | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
JP2002100578A (ja) | 2000-09-25 | 2002-04-05 | Crystage Co Ltd | 薄膜形成装置 |
KR100375102B1 (ko) | 2000-10-18 | 2003-03-08 | 삼성전자주식회사 | 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치 |
US6403491B1 (en) | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6610362B1 (en) | 2000-11-20 | 2003-08-26 | Intel Corporation | Method of forming a carbon doped oxide layer on a substrate |
KR100382725B1 (ko) | 2000-11-24 | 2003-05-09 | 삼성전자주식회사 | 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법 |
US6291348B1 (en) | 2000-11-30 | 2001-09-18 | Advanced Micro Devices, Inc. | Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed |
US6544340B2 (en) | 2000-12-08 | 2003-04-08 | Applied Materials, Inc. | Heater with detachable ceramic top plate |
US6448537B1 (en) | 2000-12-11 | 2002-09-10 | Eric Anton Nering | Single-wafer process chamber thermal convection processes |
US20020124867A1 (en) | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
US6879981B2 (en) | 2001-01-16 | 2005-04-12 | Corigin Ltd. | Sharing live data with a non cooperative DBMS |
US6743732B1 (en) | 2001-01-26 | 2004-06-01 | Taiwan Semiconductor Manufacturing Company | Organic low K dielectric etch with NH3 chemistry |
JP2002222934A (ja) | 2001-01-29 | 2002-08-09 | Nec Corp | 半導体装置およびその製造方法 |
US6893969B2 (en) | 2001-02-12 | 2005-05-17 | Lam Research Corporation | Use of ammonia for etching organic low-k dielectrics |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
JP2002256235A (ja) | 2001-03-01 | 2002-09-11 | Hitachi Chem Co Ltd | 接着シート、半導体装置の製造方法および半導体装置 |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
CN1302152C (zh) | 2001-03-19 | 2007-02-28 | 株式会社Ips | 化学气相沉积设备 |
JP5013353B2 (ja) | 2001-03-28 | 2012-08-29 | 隆 杉野 | 成膜方法及び成膜装置 |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US20020177321A1 (en) | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US6670278B2 (en) | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
JP3707394B2 (ja) | 2001-04-06 | 2005-10-19 | ソニー株式会社 | 無電解メッキ方法 |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
DE10222083B4 (de) | 2001-05-18 | 2010-09-23 | Samsung Electronics Co., Ltd., Suwon | Isolationsverfahren für eine Halbleitervorrichtung |
JP4720019B2 (ja) | 2001-05-18 | 2011-07-13 | 東京エレクトロン株式会社 | 冷却機構及び処理装置 |
US6717189B2 (en) | 2001-06-01 | 2004-04-06 | Ebara Corporation | Electroless plating liquid and semiconductor device |
US6506291B2 (en) | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
CN1516895A (zh) | 2001-06-14 | 2004-07-28 | 马特森技术公司 | 用于铜互连的阻挡层增强工艺 |
US6573606B2 (en) | 2001-06-14 | 2003-06-03 | International Business Machines Corporation | Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect |
JP2003019433A (ja) | 2001-07-06 | 2003-01-21 | Sekisui Chem Co Ltd | 放電プラズマ処理装置及びそれを用いた処理方法 |
KR100403630B1 (ko) | 2001-07-07 | 2003-10-30 | 삼성전자주식회사 | 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법 |
US6531377B2 (en) | 2001-07-13 | 2003-03-11 | Infineon Technologies Ag | Method for high aspect ratio gap fill using sequential HDP-CVD |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6846745B1 (en) | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
JP3914452B2 (ja) | 2001-08-07 | 2007-05-16 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
EP1418619A4 (en) | 2001-08-13 | 2010-09-08 | Ebara Corp | SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION |
US20030038305A1 (en) | 2001-08-21 | 2003-02-27 | Wasshuber Christoph A. | Method for manufacturing and structure of transistor with low-k spacer |
JP2003059914A (ja) | 2001-08-21 | 2003-02-28 | Hitachi Kokusai Electric Inc | プラズマ処理装置 |
US6753506B2 (en) | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
US6762127B2 (en) | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
US20030129106A1 (en) | 2001-08-29 | 2003-07-10 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
US6796314B1 (en) | 2001-09-07 | 2004-09-28 | Novellus Systems, Inc. | Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process |
US6656837B2 (en) | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
AU2002301252B2 (en) | 2001-10-12 | 2007-12-20 | Bayer Aktiengesellschaft | Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production |
US20030072639A1 (en) | 2001-10-17 | 2003-04-17 | Applied Materials, Inc. | Substrate support |
JP3759895B2 (ja) | 2001-10-24 | 2006-03-29 | 松下電器産業株式会社 | エッチング方法 |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
KR100443121B1 (ko) | 2001-11-29 | 2004-08-04 | 삼성전자주식회사 | 반도체 공정의 수행 방법 및 반도체 공정 장치 |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US6905968B2 (en) | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
TWI303851B (en) | 2001-12-13 | 2008-12-01 | Applied Materials Inc | Self-aligned contact etch with high sensitivity to nitride shoulder |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6605874B2 (en) | 2001-12-19 | 2003-08-12 | Intel Corporation | Method of making semiconductor device using an interconnect |
US20030116439A1 (en) | 2001-12-21 | 2003-06-26 | International Business Machines Corporation | Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20030124842A1 (en) | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6677247B2 (en) | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
JP2003217898A (ja) | 2002-01-16 | 2003-07-31 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
US6869880B2 (en) | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7256370B2 (en) | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
US6913651B2 (en) | 2002-03-22 | 2005-07-05 | Blue29, Llc | Apparatus and method for electroless deposition of materials on semiconductor substrates |
US6541397B1 (en) | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US20030190426A1 (en) | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6921556B2 (en) | 2002-04-12 | 2005-07-26 | Asm Japan K.K. | Method of film deposition using single-wafer-processing type CVD |
US6616967B1 (en) | 2002-04-15 | 2003-09-09 | Texas Instruments Incorporated | Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
KR100448714B1 (ko) | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 |
US6528409B1 (en) | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6908862B2 (en) | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
JP2003347278A (ja) | 2002-05-23 | 2003-12-05 | Hitachi Kokusai Electric Inc | 基板処理装置、及び半導体装置の製造方法 |
US6500728B1 (en) | 2002-05-24 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Shallow trench isolation (STI) module to improve contact etch process window |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
KR100434110B1 (ko) | 2002-06-04 | 2004-06-04 | 삼성전자주식회사 | 반도체 장치의 제조방법 |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
US20040072446A1 (en) | 2002-07-02 | 2004-04-15 | Applied Materials, Inc. | Method for fabricating an ultra shallow junction of a field effect transistor |
US6767844B2 (en) | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
US20040033677A1 (en) | 2002-08-14 | 2004-02-19 | Reza Arghavani | Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier |
US7223701B2 (en) | 2002-09-06 | 2007-05-29 | Intel Corporation | In-situ sequential high density plasma deposition and etch processing for gap fill |
US6991959B2 (en) | 2002-10-10 | 2006-01-31 | Asm Japan K.K. | Method of manufacturing silicon carbide film |
KR100500852B1 (ko) | 2002-10-10 | 2005-07-12 | 최대규 | 원격 플라즈마 발생기 |
JP4606713B2 (ja) | 2002-10-17 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US6699380B1 (en) | 2002-10-18 | 2004-03-02 | Applied Materials Inc. | Modular electrochemical processing system |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US7628897B2 (en) | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6713873B1 (en) | 2002-11-27 | 2004-03-30 | Intel Corporation | Adhesion between dielectric materials |
US6858532B2 (en) | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
JP3838969B2 (ja) | 2002-12-17 | 2006-10-25 | 沖電気工業株式会社 | ドライエッチング方法 |
US6720213B1 (en) | 2003-01-15 | 2004-04-13 | International Business Machines Corporation | Low-K gate spacers by fluorine implantation |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
JP4673290B2 (ja) | 2003-02-14 | 2011-04-20 | アプライド マテリアルズ インコーポレイテッド | 水素含有ラジカルによる未変性酸化物の洗浄 |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US20040182315A1 (en) | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Reduced maintenance chemical oxide removal (COR) processing system |
US6951821B2 (en) | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US7126225B2 (en) | 2003-04-15 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US20040211357A1 (en) | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US6903511B2 (en) | 2003-05-06 | 2005-06-07 | Zond, Inc. | Generation of uniformly-distributed plasma |
US7081414B2 (en) | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7151277B2 (en) | 2003-07-03 | 2006-12-19 | The Regents Of The University Of California | Selective etching of silicon carbide films |
JP4245996B2 (ja) | 2003-07-07 | 2009-04-02 | 株式会社荏原製作所 | 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置 |
JP2005033023A (ja) | 2003-07-07 | 2005-02-03 | Sony Corp | 半導体装置の製造方法および半導体装置 |
US7368392B2 (en) | 2003-07-10 | 2008-05-06 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode |
JP3866694B2 (ja) | 2003-07-30 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | Lsiデバイスのエッチング方法および装置 |
US7256134B2 (en) | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
JP4239750B2 (ja) | 2003-08-13 | 2009-03-18 | セイコーエプソン株式会社 | マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ |
US20050035455A1 (en) | 2003-08-14 | 2005-02-17 | Chenming Hu | Device with low-k dielectric in close proximity thereto and its method of fabrication |
US7078312B1 (en) | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US6903031B2 (en) | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US7030034B2 (en) | 2003-09-18 | 2006-04-18 | Micron Technology, Inc. | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7371688B2 (en) | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
JP4644676B2 (ja) | 2003-10-06 | 2011-03-02 | アプライド マテリアルズ インコーポレイテッド | フェイスアップウェット処理用のウェーハ温度均一性を改善する装置 |
US7581511B2 (en) | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US20070111519A1 (en) | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
US7465358B2 (en) | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
JP2005129688A (ja) | 2003-10-23 | 2005-05-19 | Hitachi Ltd | 半導体装置の製造方法 |
US7709392B2 (en) | 2003-11-05 | 2010-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low K dielectric surface damage control |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7202172B2 (en) | 2003-12-05 | 2007-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microelectronic device having disposable spacer |
US7081407B2 (en) | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
US6958286B2 (en) | 2004-01-02 | 2005-10-25 | International Business Machines Corporation | Method of preventing surface roughening during hydrogen prebake of SiGe substrates |
US6893967B1 (en) | 2004-01-13 | 2005-05-17 | Advanced Micro Devices, Inc. | L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials |
US20060033678A1 (en) | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7291550B2 (en) | 2004-02-13 | 2007-11-06 | Chartered Semiconductor Manufacturing Ltd. | Method to form a contact hole |
JP4698251B2 (ja) | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20070123051A1 (en) | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US7407893B2 (en) | 2004-03-05 | 2008-08-05 | Applied Materials, Inc. | Liquid precursors for the CVD deposition of amorphous carbon films |
US7196342B2 (en) | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
US7109521B2 (en) | 2004-03-18 | 2006-09-19 | Cree, Inc. | Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7785672B2 (en) | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US7115974B2 (en) | 2004-04-27 | 2006-10-03 | Taiwan Semiconductor Manfacturing Company, Ltd. | Silicon oxycarbide and silicon carbonitride based materials for MOS devices |
KR20070009729A (ko) | 2004-05-11 | 2007-01-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭 |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US7691686B2 (en) | 2004-05-21 | 2010-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US7049200B2 (en) | 2004-05-25 | 2006-05-23 | Applied Materials Inc. | Method for forming a low thermal budget spacer |
US7122949B2 (en) | 2004-06-21 | 2006-10-17 | Neocera, Inc. | Cylindrical electron beam generating/triggering device and method for generation of electrons |
JP2006049817A (ja) | 2004-07-07 | 2006-02-16 | Showa Denko Kk | プラズマ処理方法およびプラズマエッチング方法 |
US7217626B2 (en) | 2004-07-26 | 2007-05-15 | Texas Instruments Incorporated | Transistor fabrication methods using dual sidewall spacers |
US7192863B2 (en) | 2004-07-30 | 2007-03-20 | Texas Instruments Incorporated | Method of eliminating etch ridges in a dual damascene process |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US7329576B2 (en) | 2004-09-02 | 2008-02-12 | Micron Technology, Inc. | Double-sided container capacitors using a sacrificial layer |
US7390710B2 (en) | 2004-09-02 | 2008-06-24 | Micron Technology, Inc. | Protection of tunnel dielectric using epitaxial silicon |
US20060093756A1 (en) | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
EP1831430A2 (en) | 2004-12-21 | 2007-09-12 | Applied Materials, Inc. | An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20060130971A1 (en) | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US20060148243A1 (en) | 2004-12-30 | 2006-07-06 | Jeng-Ho Wang | Method for fabricating a dual damascene and polymer removal |
US7253123B2 (en) | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP4475136B2 (ja) | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7253118B2 (en) | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
WO2006102180A2 (en) | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Contact metallization methods and processes |
US7442274B2 (en) | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
US7611944B2 (en) | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
KR100689826B1 (ko) | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들 |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
KR100745067B1 (ko) | 2005-05-18 | 2007-08-01 | 주식회사 하이닉스반도체 | 반도체 소자의 트렌치 소자분리막 및 그 형성방법 |
US20070071888A1 (en) | 2005-09-21 | 2007-03-29 | Arulkumar Shanmugasundram | Method and apparatus for forming device features in an integrated electroless deposition system |
US7884032B2 (en) | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US20070099806A1 (en) | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
US20070107750A1 (en) | 2005-11-14 | 2007-05-17 | Sawin Herbert H | Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers |
US7405160B2 (en) | 2005-12-13 | 2008-07-29 | Tokyo Electron Limited | Method of making semiconductor device |
JP2007173383A (ja) | 2005-12-20 | 2007-07-05 | Sharp Corp | トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法 |
US7494545B2 (en) | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP5042517B2 (ja) | 2006-04-10 | 2012-10-03 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2007311540A (ja) | 2006-05-18 | 2007-11-29 | Renesas Technology Corp | 半導体装置の製造方法 |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7416989B1 (en) * | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US20080124937A1 (en) | 2006-08-16 | 2008-05-29 | Songlin Xu | Selective etching method and apparatus |
JP2008103645A (ja) | 2006-10-20 | 2008-05-01 | Toshiba Corp | 半導体装置の製造方法 |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7700479B2 (en) | 2006-11-06 | 2010-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cleaning processes in the formation of integrated circuit interconnect structures |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20100059889A1 (en) | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
US7808053B2 (en) | 2006-12-29 | 2010-10-05 | Intel Corporation | Method, apparatus, and system for flash memory |
KR100853485B1 (ko) | 2007-03-19 | 2008-08-21 | 주식회사 하이닉스반도체 | 리세스 게이트를 갖는 반도체 소자의 제조 방법 |
US20080233709A1 (en) | 2007-03-22 | 2008-09-25 | Infineon Technologies North America Corp. | Method for removing material from a semiconductor |
JP5135879B2 (ja) | 2007-05-21 | 2013-02-06 | 富士電機株式会社 | 炭化珪素半導体装置の製造方法 |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
US7807578B2 (en) | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
KR101050454B1 (ko) | 2007-07-02 | 2011-07-19 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 및 그 형성방법 |
US8008166B2 (en) | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
US8252696B2 (en) * | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
US7871926B2 (en) | 2007-10-22 | 2011-01-18 | Applied Materials, Inc. | Methods and systems for forming at least one dielectric layer |
MX2010005945A (es) | 2007-12-04 | 2011-03-03 | Parabel Ag | Elemento solar de varias capas. |
US8187486B1 (en) * | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
JP2009170890A (ja) | 2007-12-18 | 2009-07-30 | Takashima & Co Ltd | 可撓性膜状太陽電池複層体 |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8236133B2 (en) | 2008-05-05 | 2012-08-07 | Applied Materials, Inc. | Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias |
US8372756B2 (en) * | 2008-08-29 | 2013-02-12 | Air Products And Chemicals, Inc. | Selective etching of silicon dioxide compositions |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
US7968441B2 (en) | 2008-10-08 | 2011-06-28 | Applied Materials, Inc. | Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage |
US8563090B2 (en) | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US20100099263A1 (en) | 2008-10-20 | 2010-04-22 | Applied Materials, Inc. | Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
JP2010154699A (ja) | 2008-12-26 | 2010-07-08 | Hitachi Ltd | 磁束可変型回転電機 |
US8193075B2 (en) | 2009-04-20 | 2012-06-05 | Applied Materials, Inc. | Remote hydrogen plasma with ion filter for terminating silicon dangling bonds |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
US8202803B2 (en) | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
JP5450187B2 (ja) | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8435902B2 (en) * | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9324576B2 (en) * | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20120009796A1 (en) | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US20120285621A1 (en) | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
-
2012
- 2012-04-18 US US13/449,543 patent/US8679982B2/en not_active Expired - Fee Related
- 2012-08-06 WO PCT/US2012/049768 patent/WO2013032638A1/en active Application Filing
- 2012-08-06 KR KR1020137002108A patent/KR101975236B1/ko active IP Right Grant
- 2012-08-06 CN CN201280040443.2A patent/CN103748666B/zh not_active Expired - Fee Related
- 2012-08-08 TW TW101128647A patent/TWI541890B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
CN103748666A (zh) | 2014-04-23 |
CN103748666B (zh) | 2016-05-25 |
TWI541890B (zh) | 2016-07-11 |
US8679982B2 (en) | 2014-03-25 |
KR20140065369A (ko) | 2014-05-29 |
TW201314768A (zh) | 2013-04-01 |
WO2013032638A1 (en) | 2013-03-07 |
US20130052827A1 (en) | 2013-02-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101975236B1 (ko) | 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제 | |
KR101509010B1 (ko) | 실리콘과 질소를 모두 함유하는 물질들의 건식 식각 레이트의 선택적인 억제 | |
KR101909556B1 (ko) | 실리콘-및-질소-함유 필름들에 대한 건식-식각 | |
KR102159750B1 (ko) | 실리콘-탄소-질화물 선택적 에칭 | |
KR101956837B1 (ko) | 준안정 수소 종단을 통한 실리콘의 선택적인 에칭 | |
US9384997B2 (en) | Dry-etch selectivity | |
US8765574B2 (en) | Dry etch process | |
US9236266B2 (en) | Dry-etch for silicon-and-carbon-containing films | |
KR102159740B1 (ko) | 라디칼-성분 산화물 에칭 | |
KR20160056935A (ko) | 실리콘 질화물의 선택적 에칭 | |
KR20150056607A (ko) | 차등 실리콘 산화물 에칭 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |