KR20050035210A - 기체분위기에서 폭로처리를 수행하는 기판처리장치 - Google Patents

기체분위기에서 폭로처리를 수행하는 기판처리장치 Download PDF

Info

Publication number
KR20050035210A
KR20050035210A KR1020050016171A KR20050016171A KR20050035210A KR 20050035210 A KR20050035210 A KR 20050035210A KR 1020050016171 A KR1020050016171 A KR 1020050016171A KR 20050016171 A KR20050016171 A KR 20050016171A KR 20050035210 A KR20050035210 A KR 20050035210A
Authority
KR
South Korea
Prior art keywords
gas
substrate
chamber
substrate processing
exposure
Prior art date
Application number
KR1020050016171A
Other languages
English (en)
Other versions
KR100503642B1 (ko
Inventor
슈사쿠 기도
요시히데 이이오
마사키 이케다
Original Assignee
엔이씨 엘씨디 테크놀로지스, 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔이씨 엘씨디 테크놀로지스, 엘티디. filed Critical 엔이씨 엘씨디 테크놀로지스, 엘티디.
Publication of KR20050035210A publication Critical patent/KR20050035210A/ko
Application granted granted Critical
Publication of KR100503642B1 publication Critical patent/KR100503642B1/ko

Links

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02SGENERATION OF ELECTRIC POWER BY CONVERSION OF INFRARED RADIATION, VISIBLE LIGHT OR ULTRAVIOLET LIGHT, e.g. USING PHOTOVOLTAIC [PV] MODULES
    • H02S20/00Supporting structures for PV modules
    • H02S20/30Supporting structures being movable or adjustable, e.g. for angle adjustment
    • H02S20/32Supporting structures being movable or adjustable, e.g. for angle adjustment specially adapted for solar tracking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/40Solar thermal energy, e.g. solar towers
    • Y02E10/47Mountings or tracking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

기판처리시스템은 챔버 내에 배치된 기판에 폭로처리용 기체를 분무한다. 이 기판처리시스템은 예를 들면 유기막의 용해 및 리플로우를 위한 유기용매용액을 증발함으로써 얻어진 기체분위기 중에서 기판 상에 형성된 유기막의 폭로처리를 수행하기 위해 사용된다. 이 기판처리시스템은, 적어도 하나의 기체도입구 및 적어도 하나의 기체배출구를 갖는 챔버, 폭로처리용 기체를 기체도입구를 통해 챔버 속에 도입하는 기체도입수단, 및 기체분배수단을 포함한다. 기체분배수단은 챔버의 내부공간을 폭로처리용 기체가 기체도입구를 통해 도입되는 제1공간과 기판이 배치되는 제2공간으로 분리한다. 기체분배수단은 제1공간 및 제2공간을 서로 통하게 하는 복수개의 개구들을 가지며 제1공간에 도입된 폭로처리용 기체를 개구들을 통해 제2공간에 도입한다.

Description

기체분위기에서 폭로처리를 수행하는 기판처리장치{Substrate processing apparatus for performing exposure process in gas atmosphere}
본 발명은 대체로 반도체소자의 형성에 사용되는 기판에 대한 기체폭로가공 또는 처리를 다양한 기체분위기를 사용하여 수행하는 기판처리장치에 관한 것이다. 보다 상세하게는, 본 발명은 기판표면에 형성된 유기막의 폭로처리가 유기막을 용해 및 리플로우하기 위한 유기용매액을 기화하여 얻어진 기체분위기 중에서 수행되는 기판처리장치에 관한 것이다.
반도체소자를 형성하기 위해 사용되는 기판에 다양한 가공을 수행하는 종래의 반도체가공시스템의 일 예는 일본공개특허공보 평11-74261호에 개시되어 있다. 이 공보에 개시된 시스템은 반도체소자들이 형성된 기판의 표면 요철을 유기재료로 이루어진 도포막을 사용하여 평탄화하기 위한 기기이다. 이 시스템을 사용함에 의해, 양호한 평탄도와 열처리에 의해 야기된 크랙에 대해 양호한 내성을 갖는 평탄막을 형성하는 것이 가능하다.
이제, 도 15를 참조하여, 이 공보에 개시된 가공시스템에 대하여 설명한다.
도 15에 보인 것처럼, 이 가공시스템은, 밀폐실(501)과, 밀폐실(501)의 바닥면에 배치된 핫플레이트(502)를 포함한다. 이 가공시스템은 또한 밀폐실(501)의 상단부분을 덮는 리드(503)와, 밀폐실(501) 내의 온도를 핫플레이트(502)의 온도와 동일한 온도로 유지하기 위하여 밀폐실(501)을 둘러싸는 가열기(504)를 포함한다.
밀폐실(501)과 리드(503) 사이의 밀폐실(501)의 상부들에는, 기체도입구(505)와 기체배출구(506)가 제공된다.
일본공개특허공보 평11-74261호에 기재된 방법에서는, 폴리실록산도포액이 도포된 웨이퍼가 밀폐실(501) 내의 핫플레이트(502) 위로 반송된다. 이 경우, 핫플레이트(502)의 온도는 150℃로 설정된다. 또한, 기체도입구(505)로부터, 150℃로 가열된 디프로필렌-글리콜-모노에틸-에테르가 밀폐실(501) 속에 용매기체로서 도입된다. 이 상황에서, 웨이퍼는 용매기체에 60초 동안 노출된다. 그 후, 용매기체의 도입은 중단된다. 이후, 질소가 밀폐실(501) 내에 도입되고 이 상태는 120초 동안 유지된다. 웨이퍼는 그 후 밀폐실(501)로부터 꺼내어진다.
이 가공시스템에서, 핫플레이트를 사용하고 폴리실록산도포액으로 된 도포막에 함유된 용매가 급속히 기화되는 종래의 단순한 가열처리 대신에, 이 용매는 차츰 기화된다. 이는 폴리실록산도포액의 용매와 동일한 용매를 밀폐실(501) 속에 도입함으로써 도포막 내의 용매의 기화를 지체시키는 것과, 이 도포막을 액체상태로 유지하면서 도포막을 평탄화시키는 것에 의해 행해진다. 그러므로, 이 방법에서는, 도포막 내의 용매의 기화가 지체되고, 그러므로, 종래의 단순한 가열처리처럼, 도포막의 급속한 축소의 의해 크랙이 생성되지 않고, 양호한 평탄도를 갖는 평탄화막을 얻는 것이 가능하다.
도 15를 참조하여 위에서 언급된 시스템에서는, 단순한 평탄막을 기판상에 형성하는 것이 가능하다.
그러나, 이 출원의 발명자들에 의해 이전에 출원된 일본특허출원 제2000-175138호에 기재된 포토레지스트패턴들의 리플로우처리를 수행하기 위해 전술한 시스템을 사용하는 것은 불가능하다.
이제부터, 도 16a∼16c 및 도 17a 및 17b를 참조하여 포토레지스트패턴들의 전술한 리플로우처리에 대하여 개략적으로 설명한다.
도 16a∼16c는 포토레지스트패턴들의 리플로우처리를 사용하여 반도체소자, 즉, 박막트랜지스터를 제조하는 공정단계들의 일부를 개략적으로 도시하는 단면도들이다.
먼저, 도 16a에 보인 것처럼, 투명절연기판(511)상에, 게이트전극(512)이 형성되고, 투명절연기판(511) 및 게이트전극(512)은 게이트절연막(513)에 의해 덮여진다.
또, 게이트절연막(513)상에는, 반도체막(514)과 크롬층(515)이 증착된다. 그 후, 도포막이 스핀코팅에 의해 도포되고, 노광 및 현상공정들이 수행된다. 이로써, 포토레지스트패턴들(516)이 도 16a에 도시된 바와 같이 형성된다.
다음으로, 포토레지스트패턴들(516)을 마스크로서 사용하여, 크롬층(515)만이 식각되어, 소스/드레인전극들(517)이 도 16b에 보인 것처럼 형성된다.
그 후, 포토레지스트패턴들(516)의 리플로우가 행해져 도 16c에 보인 것처럼 포토레지스트패턴(536)이 형성된다. 이 포토레지스트패턴(536)은 적어도 이후 식각되지 않아야 하는 영역, 이 경우, 나중에 형성되는 도 17a에 보인 것과 같은 TFT의 백(back)채널영역(518)에 해당하는 영역을 덮는다.
이 포토레지스트패턴(536)을 마스크로서 사용하여, 반도체막(514)이 식각되고, 반도체막패턴(518), 즉, 백채널영역(518)이 도 17a에 보인 것처럼 형성된다.
이런 식으로, 포토레지스트패턴들(516)의 리플로우가 전술한 바와 같이 수행되는 경우, 반도체막패턴(518)의 면적은, 도 17a의 단면도 및 도 17b의 평면도에서 보인 것처럼, 소스/드레인전극들(517) 바로 아래의 반도체막패턴(518)의 일부보다 측방향으로 거리 L만큼 더 넓게 된다. 여기서, 이 거리 L은 포토레지스트패턴(536)의 리플로우거리라고 부른다.
이런 식으로 확대된 포토레지스트패턴(536)은, 포토레지스트패턴(536) 아래에 있으며 포토레지스트패턴(536)을 마스크로 사용하여 식각된 반도체막(514) 부분의 크기 및 형상을 결정한다. 그러므로, 리플로우거리(L)는 기판의 전체 영역에 걸쳐 균일하고 정밀하게 제어될 수 있는 것이 중요하다.
그러나, 도 15의 구조를 사용하는 일본특개평11-74261호에 개시된 전술한 방법에서는, 기체가 웨이퍼(502)의 표면만을 통해 흐르고 기체는 웨이퍼(502)의 전체 영역에 걸쳐 균일하게 흐르지는 않는다. 그러므로, 리플로우거리(L)를 소망의 값으로 정밀하게 제어하는 것은 불가능하다.
따라서, 본 발명의 목적은, 소자패턴들이 포토레지스트패턴들의 리플로우처리를 사용하여 형성되는 경우, 포토레지스트패턴들의 리플로우거리(L)가 정밀하게 제어될 수 있는 기판처리장치를 제공하는 것이다.
본 발명의 다른 목적은, 소자패턴들이 포토레지스트패턴들의 리플로우처리를 사용하여 형성되는 경우, 포토레지스트패턴들의 리플로우거리(L)가 정밀하게 그리고 재생가능하게 제어될 수 있는 기판처리장치를 제공하는 것이다.
본 발명의 또 다른 목적은, 소자패턴들이 도포막의 패턴들의 리플로우처리를 사용하여 형성되는 경우, 도포막패턴들의 리플로우처리가 고정밀도와 재생성 있게 행해질 수 있으면서도 도포막의 마스크로서의 소망의 막두께를 보장할 수 있는 기판처리장치를 제공하는 것이다.
본 발명의 또 다른 목적은, 종래의 기판처리시스템의 단점을 제거하는 것이다.
본 발명의 제1양태에 따르면, 챔버 내에 배치된 기판에 폭로처리용 기체를 분무하는 기판처리시스템이 제공되며, 이 기판처리시스템은, 적어도 하나의 기체도입구 및 적어도 하나의 기체배출구를 갖는 챔버; 폭로처리용 기체를 기체도입구를 통해 챔버 속에 도입하는 기체도입수단; 및 기체분배수단을 포함하며, 기체분배수단은, 챔버의 내부공간을, 기체도입구를 통해 폭로처리용 기체가 도입되는 제1공간 및 기판이 배치된 제2공간으로 분리하며, 기체분배수단은 제1공간 및 제2공간을 서로 통하게 하는 복수개의 개구들을 가지고, 기체분배수단은 제1공간에 도입된 폭로처리용 기체를 개구들을 통해 제2공간에 도입한다.
본 발명의 제2양태에 따르면, 챔버 내에 세로방향으로 나란하게 배치된 복수개의 기판들의 각각에 폭로처리용 기체를 분무하는 기판처리시스템이 제공되며, 이 기판처리시스템은, 적어도 하나의 기체도입구 및 적어도 하나의 기체배출구를 갖는 챔버; 폭로처리용 기체를 기체도입구를 통해 챔버 속에 도입하는 기체도입수단; 및 복수개의 기판들 중의 대응하는 하나마다 마련된 복수개의 기체분배수단을 포함하며, 각각의 기체분배수단은, 복수개의 개구들을 가지고, 기체도입구를 통해 챔버 내에 도입된 폭로처리용 기체는 개구들을 통해 기판에 분무된다.
챔버가 복수개의 기체도입구들을 가지며 제1공간은 소정 수의 기체도입구들을 격벽들로 둘러쌈으로써 복수개의 작은 공간들로 분리되는 것이 바람직하다.
이 기판처리시스템은 기체도입구들의 각각을 위한 기체유량제어기구를 더 포함하는 것도 바람직하다.
이 기판처리시스템이, 제1공간 내에 배치되고 기체도입구를 통해 도입된 폭로처리용 기체를 확산시켜 챔버 내의 폭로처리용 기체의 밀도가 균일해지게 하는 적어도 하나의 기체확산부재들을 더 포함하는 것도 바람직하다.
기체분배수단은 기판 쪽으로 볼록 또는 오목한 만곡된 판부재를 포함하는 것이 바람직하다.
기판처리시스템이, 기체분배수단과는 겹치도록 배치되고 기체분배수단 내에 형성된 개구들 중에서 소정 수의 개구들을 폐쇄하여 폭로처리용 기체의 기체분출범위를 정하는 기체분출범위규정수단을 더 포함하는 것도 바람직하다.
기체분배수단은 그 중심 둘레로 회전가능한 것도 바람직하다.
본 발명의 제3양태에 따르면, 챔버 내에 배치된 기판에 폭로처리용 기체를 분무하는 기판처리시스템이 제공되며, 이 기판처리시스템은, 적어도 하나의 기체도입구 및 적어도 하나의 기체배출구를 갖는 챔버; 폭로처리용 기체를 기체도입구를 통해 챔버 속에 도입하는 기체도입수단; 및 챔버 속에 도입된 폭로처리용 기체를 기판에 분무하는 기체분배수단을 포함하며, 기체분배수단은, 챔버 내에서 챔버의 상부벽을 따라 이동가능하다.
기체분배수단은 그 중심축 둘레로 회전가능한 것이 바람직하다.
기판처리시스템이 기판이 놓여지며 상하로 이동가능한 스테이지를 더 포함하는 것도 바람직하다.
기판이 놓여지며 그 중심축 둘레로 회전가능한 스테이지를 기판처리시스템이 더 포함하는 것도 바람직하다.
기판처리시스템이 기판의 온도를 조정하는 기판온도조정수단을 더 포함하는 것이 유익하다.
기판처리시스템이 폭로처리용 기체의 온도를 조정하는 기체온도조정수단을 더 포함하는 것도 유익하다.
기판이 놓여지는 스테이지, 및 스테이지의 온도를 조정함으로써 기판의 온도를 조정하는 기판온도조정수단을 기판처리시스템이 더 포함하는 것도 유익하다.
챔버 내의 압력은 -20㎪ 내지 +20㎪의 범위 내에 있는 것이 바람직하다.
기판처리시스템이 챔버 내에 플라즈마를 발생하는 플라즈마발생수단을 더 포함하는 것도 바람직하다.
플라즈마발생수단은 기판 위쪽에 배치된 상부전극 및 기판 아래쪽에 배치된 하부전극을 포함하며, 상부전극 및 하부전극 중의 하나는 접지되고, 상부전극 및 하부전극 중의 다른 하나는 고주파전원을 통해 접지에 연결되는 것도 바람직하다.
기판처리시스템은, 챔버와 통해 있고 갑압조건 하에서 기판을 챔버 속으로 반송하며 감압조건 하에서 기판을 챔버 밖으로 반송하기 위해 사용되는 감압반송실; 및 감압반송실과 통해 있고, 대기압조건 하에서 기판을 외부로부터 도입하며 이 기판을 감압조건 하에서 감압반송실로 반송하기 위해 사용되고 감압조건 하에서 기판을 감압반송실로부터 반출하고 대기압조건 하에서 기판을 외부로 반송하기 위해 사용되는 압력조정반송실을 더 포함하는 것이 유익하다.
본 발명의 제1양태에 따른 기판처리시스템을 사용함으로써, 폭로처리용 기체는 기체분배수단에 의해 기판의 전체 표면 위에 거의 균일하게 분무된다. 그러므로, 기판의 전체 표면에 걸쳐 리플로우거리(L)를 고정밀도로 제어하는 것이 가능하다.
본 발명의 제2양태에 따른 기판처리시스템을 사용함으로써, 복수개의 기판들을 동시에 처리하여 기판들의 가공효율을 크게 향상시키는 것이 가능하다.
본 발명의 제3양태에 따른 기판처리시스템에서는, 기체분배수단이 챔버의 상부벽부분을 따라 기판의 길이방향으로 움직인다. 기체분배수단이 길이방향으로 움직이는 동안, 기체분배수단은 폭로처리용 기체를 기판 위에 분무한다. 이런 식으로, 기체분무수단이 기판을 따라 주사하는 동안 기체분무수단은 폭로처리용 기체를 기판에 분무한다. 그러므로, 폭로처리용 기체를 기판에 고르게 분무하는 것이 가능하다.
일 예로서, 폭로처리용 기체의 유량은 바람직하게는 2∼10리터/분이다. 그러나, 폭로처리용 기체의 유량은 1∼100리터/분이 될 수 있다.
폭로처리용 기체의 온도는 바람직하게는 20∼25℃이지만, 이 온도는 18∼40℃일 수 있다.
기판 및 기체분배수단간의 거리는 바람직하게는 5∼15㎜이지만, 이 거리는 2∼100㎜일 수 있다.
스테이지의 온도는 바람직하게는 24∼26℃이지만, 이 온도는 18∼40℃일 수 있다.
챔버 내의 압력은 바람직하게는 -20㎪ 내지 +20㎪이지만, 이 압력은 -50㎪ 내지 +50㎪일 수 있다.
본 발명의 이러한 및 다른 특징들과 이점들은 첨부 도면들에 관련한 다음의 상세한 설명으로부터 보다 명확하게 이해될 것이며, 첨부 도면들에서, 유사한 참조번호들은 도면들 전체에 걸쳐 동일하거나 상응하는 부분들을 지정한다.
이제 도면들을 참조하여 본 발명의 실시예들을 설명한다.
제1실시예
도 1은 본 발명의 제1실시예에 따른 기판처리시스템의 구조를 도시하는 개략 단면도이다. 본 발명의 제1실시예에 따른 기판처리시스템은 챔버 내에 배치된 기판 위에 폭로처리용 기체를 균일하게 분무하는 기계이다.
도 1에 보인 것처럼, 이 기판처리시스템(100)은 대체로 폭로처리실(101), 폭로처리용 기체를 폭로처리실(101)에 도입하는 기체도입기구(120), 및 폭로처리용 기체를 기판에 분무하는 기체분무기구(110)를 포함한다.
폭로처리실(101)은 하부챔버(10) 및 상부챔버(20)를 가진다. 하부챔버(10)와 상부챔버(20)는 하부챔버(10)에 부착된 O-링(121)에 의해 서로 결합되어, 밀폐공간이 폭로처리실(101) 내에 형성된다.
폭로처리실(101)은 복수개의 기체도입구들(101a) 및 2개의 기체배출구들(101b)을 가진다. 이 도면에 보여지진 않았지만, 기체배출구들(101b)의 각각은 개방정도제어기구를 가지며, 각각의 기체배출구(101b)의 개방비율은 자유로이 제어될 수 있다.
폭로처리실(101) 내에는, 세로방향으로 상하로 이동가능한 승강대(lifting stage; 11)가 배치된다. 기판(1)이 승강대(11)의 상면에 수평한 자세로 위치된다. 승강대(11)는 1∼50㎜의 범위 내에서 상하로 이동가능하다.
기체분무기구(110)는, 상부챔버(20)에 형성된 복수개의 기체도입구들(101a) 중의 대응하는 하나 속으로 각각 삽입된 복수개의 기체도입관들(24), 각각이 기체도입관(24)의 끝부분에 부착된 기체확산부재들(23), 기체분출판(21), 및 기체분출판(21)을 고정하며 기체분출영역을 규정하는 기체분출판(21)용 프레임(212)을 포함한다.
도 2는 기체분출판(21)과 기체분출판(21)용 프레임(212)을 도시하는 사시도이다.
도 2에 보인 것처럼, 기체분출판(21)은 평평한 보드형상의 부재로 형성되고, 매트릭스형태로 형성된 복수개의 개구들(211)을 가진다. 개구들(211)은 기체분출판(21) 아래 위치에 위치된 기판(1)의 전체 영역을 덮는 영역에 형성되도록 배치된다.
이 실시예에서, 개구들(211)의 각각은 0.5∼3㎜의 직경을 가지며, 인접한 개구들(211)간의 간격은 바람직하게는 1∼5㎜이다.
도 1에 보인 것처럼, 기체분출판(21)은 기체확산부재들(23)과 기판(1) 사이에 가로로 배치된다. 기체분출판(21)은 폭로처리실(101)의 내부공간을, 기체도입관들(24)을 통해 폭로처리용 기체가 도입되는 제1공간(102a), 및 기판(1)이 배치된 제2공간(102b)으로 나눈다. 제1공간(102a)과 제2공간(102b)은 개구들(211)을 통해 서로 통해있고, 제1공간(102a) 속에 도입된 폭로처리용 기체는 개구들(211)을 통해 제2공간(102b) 속에 도입된다.
도 2에 보인 것처럼, 기체분출판(21)용 프레임(212)은 프레임형 측벽부(212a), 및 측벽부(212a)의 하부끝에서부터 내부로 연장하는 프레임형 연장부(212b)를 포함한다.
기체분출판(21)은 밀봉재(214)에 의해 연장부(212b)에 부착된다. 이로써, 기체분출판(21)과 기체분출판(21)용 프레임(212)은 그것들 사이에 틈 없이 단단히 결합되고, 폭로처리용 기체는 기체분출판(21)의 주변에서부터 새어 나가지 않는다.
연장부(212b)의 연장길이는 대략 기체분출판(21)에 형성된 개구들(211)의 일부가 닫히도록 설정되고 그래서 폭로처리용 기체가 내뿜어지는 기체분출판(21)의 영역이 정해진다.
이 실시예에서, 측벽부(212a)의 높이는 5㎜이고, 연장부(212b)의 길이, 즉, 측방향 폭은 10㎜이다. 기체분출판(21)용 프레임(212)은 기판(1) 위쪽 10㎜의 높이에 배치된다.
제1공간(102a)에 배치된 기체확산부재들(23)의 각각은 예를 들면 상자형 부재로 만들어지고, 이 상자형 부재는 그것의 외벽에 복수개의 구멍들을 가진다.
기체도입관들(24)을 통해 내뿜어진 폭로처리용 기체는 기체확산부재들(23)의 각각의 내벽에 부딪쳐 기체확산부재들(23) 내에 일시 저장되고, 그래서 폭로처리용 기체는 기체확산부재들(23) 내에 균일하게 확산된다. 그러므로, 폭로처리용 기체의 밀도는 기체확산부재들(23) 내에서 균일하게 되고, 그 후 이 폭로처리용 기체는 기체확산부재들(23) 외부로 내뿜어진다.
기체확산부재들(23)의 형상 등은 전술한 것에 한정되지 않고 어떠한 다른 형상 등으로 될 수 있다. 도 3은 기체확산부재(23)의 다른 일 예를 도시한다.
도 3에 보인 기체확산부재(23)는 속이 빈 구 형상을 가지고, 기체확산부재(23)의 외부표면에 형성된 복수개의 구멍들(23a)을 가진다. 기체확산부재(23)의 내부공간은 복수개의 구멍들(23a)을 통해 그것의 외부공간과 통해있다.
기체도입관(24)은 구형상의 기체확산부재(23)의 중앙 쪽으로 연장되어, 폭로처리용 기체는 기체확산부재(23)의 중앙에서부터 기체확산부재(23) 내부로 내뿜어진다. 그러므로, 폭로처리용 기체는 기체확산부재(23)의 중앙에서부터 등거리를 경유하여 임의의 구멍(23a)에 도달한다. 이런 식으로, 폭로처리용 기체는 구멍들(23a)에 도달한 경우 확산되고, 그 밀도분포는 균일하다.
도 1에 보인 것처럼, 기체도입기구(120)는 증기발생기(31), 증기발생기(31)에서 생성된 폭로처리용 기체를 기체도입관들(24)의 각각에 공급하는 기체파이프(32)를 포함한다.
증기발생기(31)는 폭로처리용 기체를 생성하기 위해 그 속에 저장된 액체를 가진다. 증기발생기(31)는 거품들이 액체 내에서 생성되도록 하는 증발재료로서 액체 속에 질소(N2)기체를 주입한다. 이로 인해, 증기는 액체로부터 생성되고, 이 증기와 N2기체를 포함한 기체가 생성되고 폭로처리용 기체(33)로서 폭로처리실(101)에 공급된다.
또, 기체도입기구(120)는 증기발생기(31)를 둘러싸는 그릇 또는 용기(301)를 가진다. 용기(301) 내에는, 온도조절액이 저장된다. 온도조절액으로부터의 열전달에 의해, 증기발생기(31) 내에서 폭로처리용 기체를 생성하기 위한 액체의 온도는 조정된다. 그로 인해, 폭로처리용 기체(33)의 온도는 제어된다.
온도조절액으로서는, 에틸렌글리콜과 순수를 혼합하여 얻은 액체가 있다. 온도조절액은 높은 열전도도를 가지며 0(영)℃보다 낮은 어는점(빙점)을 가진 어떠한 액체라도 좋다. 온도조절액의 온도조절은, 예를 들면 히터를 사용하여 이 액체를 가열하는 것, 냉각제를 사용하여 이 액체를 전자적으로 냉각시키는 것, 공장에서 다양한 제조시스템을 냉각하기 위해 사용되는 공장냉각수를 사용하는 것 등에 의해 행해질 수 있다.
폭로처리실(101)에 공급된 폭로처리용 기체(33)의 유량은 1∼50L/분의 범위 내의 값이 되도록 제어된다.
폭로처리실(101) 내에서 기판(1)에 대고 불어진 폭로처리용 기체는, 도면에 보여지지 않은 진공펌프를 사용하여, 하부챔버(10)의 주변에 형성된 기체배출구(101b)를 통해 배출된다. 기체배출구들(101b)의 각각은 복수개의 구멍들을 갖는 배출구멍판(131)에 의해 덮어진다. 이러한 배출구멍판들(131)에 의해, 폭로처리용 기체는 처리 또는 공정 후에 균일하게 배출된다.
이 실시예에서, 배출구멍판(131)에 마련된 구멍들의 각각은 2∼10㎜의 직경을 가지며 인접한 구멍들 간의 간격은 2∼50㎜이다.
또한, 폭로처리실(101) 내에서 순수기체분위기를 얻고 가공 또는 처리시간을 초 단위로 정밀하게 제어하기 위해서는, 폭로처리실(101) 내의 기체의 교환이 단시간에 수행될 수 있는 것이 필요하다.
발명자들에 의한 실험들의 결과로부터, 폭로처리실(101)을 배기하기 위해 사용되는 진공펌프가 적어도 50L/분 이상의 배기속도 또는 배기율을 실현하고 배기 개시로부터 1분이 경과한 후에 폭로처리실(101) 내의 압력을 -100㎪ 이하로 실현하는 배기능력을 가져야 함을 알 수 있었다.
다음으로, 본 발명의 실시예에 따른 기판처리시스템(100)의 동작 및 기판처리시스템(100)을 사용하는 기판(1)의 처리방법을 설명할 것이다.
먼저, 처리하려는 기판(1)은 승강대(11)상에 놓여지고, 하부챔버(10)와 상부챔버(20)는 빈틈없이 닫혀진다. 승강대(11)는 상승 또는 하강되고, 기체분출판(21) 및 기판(1)간의 거리는 10㎜가 되도록 조절된다.
폭로처리실(101) 내에 순수기체분위기를 실현하기 위하여, 폭로처리실(101)은 폭로처리용 기체가 폭로처리실 내에 도입되기 전에 폭로처리실 내의 압력이 대략 -70㎪ 이하(대기압이 0㎪라고 가정한 경우)가 되도록 강제 배기된다.
그 후, 증기발생기(31) 속에 주입되는 질소기체의 기체압력은 0.5㎏/㎝가 되도록 조절되고, 질소기체의 유량은 5.0L/분이 되게 조절된다. 이러한 상태에서, 질소기체가 증기발생기(31)에 저장된 처리액에 주입되어 처리액으로부터 증발되는 기체가 거품을 생성하도록 한다.
이런 식으로, 처리액으로부터 증발된 기체와 질소기체를 포함한 폭로처리용 기체(33)가 생성되고 5.0L/분의 기체유량으로 기체파이프(32)에 공급된다.
폭로처리용 기체(33)는 기체파이프(32) 및 기체도입관들(24)을 통해 반송되어 기체확산부재들(23)에 저장되고, 기체확산부재들(23)에서, 폭로처리용 기체(33)는 폭로처리용 기체(33)의 밀도가 거의 균일하게 되도록 확산된다. 그 후, 폭로처리용 기체(33)는 기체확산부재들(23)로부터 제1공간(102a)으로 분출된다.
각각의 기체확산부재(23)로부터 제1공간(102a)으로 분출된 폭로처리용 기체(33)는 거의 균일한 밀도 및 거의 균일한 속도를 가진다. 또한, 폭로처리용 기체(33)는 제1공간(102a)에 임시 저장되어 그 기체밀도는 더욱 균일하게 된다. 그러므로, 폭로처리용 기체(33)는 기체분출판(21)의 개구들(211)을 통해 제2공간(102b) 속으로 균일하게 분출되고, 승강대(11) 위에 놓인 기판(1)에 균일하게 내뿜어지거나 분무된다.
기체확산부재들(23)을 생략하는 것과 기체분출판(21)을 사용하는 것만으로 기체밀도를 균일하게 하는 것이 가능하다.
이 공정의 결과, 포토레지스트패턴들(516)의 리플로우가 발생한다(도 17a 참조).
기체파이프(32), 기체도입관들(24) 및 기체확산부재들(23)을 경유하여 폭로처리실(101) 속으로의 폭로처리용 기체(33)의 공급은 계속되고, 폭로처리실(101) 내의 압력이 양의 압력, 즉, +0㎪보다 크거나 같은 압력값이 되는 때에, 기체배출구들(101b)은 개방된다.
처리공정조건으로서, 폭로처리실(101) 내의 압력은 예를 들면 +0.2㎪가 되도록 제어된다. 이런 경우, 기체배출구들(101b)의 개방정도는 폭로처리실(101) 내의 압력이 +0.2㎪로 유지되도록 제어된다.
이 경우, 가공압력 또는 처리압력으로서는, -50㎪ 내지 +50㎪의 범위 내의 값이 선택될 수 있다. 바람직하게는, 처리압력은 -20㎪ 내지 +20㎪ 사이의 범위로부터 선택되는 값이다. 더 바람직하게는, 처리압력은 -5㎪와 +5㎪ 사이의 범위로부터 선택된 값이고, 처리압력값의 오차는 +/-0.1㎪ 이하가 되도록 제어된다.
소정의 가공시간을 경과한 후, 기체교환을 신속히 수행하기 위하여, 폭로처리용 기체는 배출되고 N2기체에 의해 교체되는 방법이 사용된다.
이 방법에서는, 먼저, 폭로처리용 기체(33)의 도입이 중단되고, 그 후, 폭로처리실(101)은 진공화되게 비워져 폭로처리실(101) 내의 압력이 대략 -70㎪ 이하가 되게 한다. 또한, 도 1에서 점선으로 보여진 경로의 밸브가 개방되고, 챔버교환기체로서, 질소기체 등과 같은 불활성기체가 폭로처리실(101) 속에 20L/분 이상의 유량으로 도입된다. 불활성기체의 도입 중에, 폭로처리실(101)도 적어도 10초 이상 진공화되게 비워진다. 이때, 폭로처리실(101) 내의 압력은 적어도 -30㎪로 유지된다.
그 후 진공화되게 비워지는 진공화배기가 중단되고, 폭로처리실(101) 내의 압력이 양의 압력이 되도록 질소기체가 폭로처리실(101) 속에 도입된다. 폭로처리실(101) 내의 압력이 대략 +2㎪가 될 때, 교환용 질소기체의 도입은 중지된다.
그 후, 상부챔버(20)와 하부챔버(10)는 개방되고, 처리된 기판(1)은 꺼내어진다.
이 실시예에서의 사용을 위해 유기막패턴들의 재료들로서 사용된 포토레지스트재료들의 예들에 대하여 설명될 것이다. 포토레지스트재료들로는, 유기용매에 녹을 수 있는 포토레지스트와 물에 녹을 수 있는 포토레지스트가 있다.
유기용매에 녹는 포토레지스트의 예로는, 감광성유제와 첨가제를 고분자화합물에 첨가하여 얻어진 포토레지스트가 있다.
고분자화합물들에는 다양한 종류들이 있다. 폴리비닐계의 고분자화합물로서, 폴리비닐계피산에스테르가 있다. 고무계 고분자화합물로는, 고리화(cyclized)폴리이소프렌, 고리화폴리부타디엔 등을 비스아지드화합물과 혼합하여 얻어진 고분자화합물이 있다. 노볼락수지계의 고분자화합물로는, 크레졸노볼락수지를 나프토퀴논디아조-5-술폰에이트에스테르와 혼합하여 얻어진 고분자화합물이 있다. 아크릴산의 공중합화 수지계의 고분자화합물로는, 폴리아크릴아미드, 폴리아미드산 등이 있다.
물에 녹는 포토레지스트의 예들로는, 각각이 감광성유제와 첨가제를 고분자화합물에 첨가하여 얻어진 포토레지스트들이 있다. 고분자화합물로는, 폴리아크릴산, 폴리비닐아세탈, 폴리비닐피롤리돈, 폴리비닐알코올, 폴리에틸렌이민, 폴리에틸렌옥시도, 스티렌-무수말레산공중합체, 폴리비닐아민, 폴리알릴아민, 수용성수지, 수용성멜라민수지, 수용성요소수지, 옥사졸린기함유알키드수지, 및 술폰아미드 중의 어느 하나 또는 둘 이상의 임의의 조합으로 된 고분자화합물이 있다.
다음 예들의 화학용액들이 포토레지스트막을 용해하기 위한 용매로서 사용된다.
1. 포토레지스트가 유기용매에 녹을 수 있는 경우
(a) 유기용매
실용적인 예들로서, 유기용매는 이 유기용매를 상부개념의 유기용매와 하부개념의 유기용매로 나누어 아래에 보여진다. 여기서, 기호 "R"은 알킬기 또는 치환알킬기를 나타내고, 기호 "Ar"은 페닐기 또는 페닐기와는 다른 방향족고리를 나타낸다.
*알코올 등(R-OH)
*알콕시-알코올 등
*에테르 등(R-O-R, Ar-O-R, Ar-O-Ar)
*에스테르 등
*케톤 등
*글리콜 등
*알킬렌글리콜 등
*글리콜에테르 등
전술한 유기용매의 실용적인 예들로는, 다음의 것들이 있다:
*CH3OH, C2H5OH, CH3(CH2)XOH
*이소프로필알코올(IPA)
*에톡시에탄올
*메톡시알코올
*긴 연쇄(long-chain)알킬에스테르
*모노에탄올아민(MEA)
*아세톤
*아세틸아세톤
*디옥산
*에틸아세테이트
*부틸아세테이트
*톨루엔
*메틸에틸케톤(MEK)
*디에틸케톤
*디메틸설폭시드(DMSO)
*메틸이소부틸케톤(MIBK)
*부틸카비톨
*n-부틸아세테이트(nBA)
*감마-부티롤락톤
*에틸셀로솔브아세테이트(ECA)
*에틸락테이트
*에틸피루빈산
*2-헵타논(MAK)
*3-메톡시부틸아세테이트
*에틸렌글리콜
*프로필렌글리콜
*부틸렌글리콜
*에틸렌글리콜모노에틸에테르
*디에틸렌글리콜모노에틸에테르
*에틸렌글리콜모노에틸에테르아세테이트
*에틸렌글리콜모노에틸에테르
*에틸렌글리콜모노에틸에테르아세테이트
*에틸렌글리콜모노-n-부틸에테르
*폴리에틸렌글리콜
*폴리프로필렌글리콜
*폴리부틸렌글리콜
*폴리에틸렌글리콜모노에틸에테르
*폴리디에틸렌글리콜모노에틸에테르
*폴리에틸렌글리콜모노에틸에테르아세테이트
*폴리에틸렌글리콜모노에틸에테르
*폴리에틸렌글리콜모노-n-부틸에테르
*메틸-3-메톡시프로피오네이트(MMP)
*프로필렌글리콜모노메틸에테르(PGME)
*프로필렌글리콜모노메틸에테르아세테이트(PGMEA)
*프로필렌글리콜모노프로필에테르(PGP)
*프로필렌글리콜모노에틸에테르(PGGE)
*에틸-3-에톡시프로피오네이트(FEP)
*디프로필렌글리콜모노에틸에테르
*트리프로필렌글리콜모노에틸에테르
*폴리프로필렌글리콜모노에틸에테르
*프로필렌글리콜모노메틸에테르프로피오네이트
*3-메톡시메틸프로피오네이트
*3-에톡시에틸프로피오네이트
*N-메틸-2-피롤리돈
2. 포토레지스트가 물에 녹을 수 있는 경우
(a) 물
(b) 물을 주성분으로 갖는 수용액
이 실시예에 따른 기판처리시스템(100)과 폭로처리용 기체(33)를 사용하여, 본 발명의 발명자들은 기판 상에 패터닝된 도포막의 리플로우를 실제로 다음과 같이 수행하였다.
먼저, 노볼락계 수지를 주성분으로 갖는 포토레지스트로 이루어진 도포막이 기판 상에 2.0㎛의 두께로 도포되고, 각각이 10.0㎛의 폭 및 20.0㎛의 길이를 갖는 도포막패턴들이 형성되었다. 도포막패턴들은 이 실시예에 따른 기판처리시스템(100)의 폭로처리용 기체(33)로서 NMP를 사용하여 리플로우되었다. 폭로처리용 기체(33)에 들어있는 N2기체 등에 관련한 조건들은 전술한 제1실시예에 기재된 것들과 동일하였다.
도 4는 도포막패턴의 측방향으로의 리플로우거리 및 리플로우시간 간의 관계를 보여주는 그래프이다. 이 경우, 전술한 것들과는 다른 리플로우의 주된 조건들은 다음과 같다.
(1) 폭로처리용 기체 및 유량: 처리액증기 5L/분; N2기체 5L/분
(2) 폭로처리용 기체의 온도: 22℃
(3) 승강대(11)와 기체분출판(21)간의 거리: 10㎜
(4) 승강대(11)의 온도: 26℃
(5) 폭로처리실(101) 내의 처리압력: +0.2㎪
도 4로부터 알 수 있는 바와 같이, 도포막패턴의 리플로우거리는 리플로우시간의 변화에 따라 거의 선형적으로 변화한다. 그러므로, 리플로우시간을 제어함으로써 리플로우거리를 제어하는 것이 가능하다.
도 5는, 도포막패턴들의 리플로우를 수행한 후, 기판 내의 리플로우거리들의 균일도를 보여주는 그래프이다.
도 4에 보인 리플로우조건들 중에서, 리플로우시간, 처리기체의 온도, 승강대(11) 및 기체분출판(21)간의 거리, 승강대(11)의 온도 및 폭로처리실(101) 내의 처리압력은 고정되었고, 처리기체의 유량은 가변되었다. 이것들 이외의 조건들은 도 4에 관련한 설명에서 사용된 조건들과 동일하였다.
도 5에 보인 관계를 얻었을 때, 도포막패턴들의 리플로우시간은 5분이었고, 리플로우 후에 도포막패턴들의 리플로우거리들이 측정되었다. 이 리플로우거리들은 기판(1)의 표면 전체에 걸쳐 고르게 선택된 기판(1)상의 10개의 지점들에서 측정되었다. 10개 지점들에서 측정된 리플로우거리값들 중에서, 최대값은 Tmax, 최소값은 Tmin, 그리고 평균값은 Tmean으로 가정하였다. 이런 경우, 측정지점에서의 리플로우거리(Tx)의 분산(Txs)은 다음의 식에 의해 나타내어졌다.
Txs = |(Tmean - Tx)/Tmean|
도 5로부터 알 수 있는 바와 같이, 폭로처리용 기체(33)의 유량이 2L/분과 10L/분 사이에 있는 경우, 기판(1) 내의 리플로우거리들의 분산은 대략 5%이고 매우 양호한 결과가 얻어졌다.
본 발명의 발명자들에 의한 실험들에 의하면, 리플로우처리의 제어인자들 중에서, 폭로처리용 기체(33)의 포토레지스트패턴들로의 공급량이 가장 중요함이 확인되었다. 기체분출판(21)을 제공함으로써 그리고 기판(1)의 위치에 의존하여 폭로처리용 기체(33)의 공급을 제어함으로써 리플로우거리를 자유롭게 제어하는 것도 가능하다.
도 6은 도포막패턴을 리플로우한 후의 기판 내의 리플로우거리의 균일도와, 승강대(11)및 기체분출판(21) 사이의 거리간의 관계를 보여주는 그래프이다.
도 6의 관계를 얻을 때, 도 4에 관련하여 위에서 보인 리플로우조건들 중에서, 리플로우시간, 처리기체의 온도, 폭로처리용 기체의 유량, 승강대(11)의 온도 및 폭로처리실(101) 내의 처리압력은 고정되었고, 승강대(11)와 기체분출판(21)간의 거리는 가변되었다.
도 6으로부터 명백한 바와 같이, 승강대(11)와 기체분출판(21)간의 거리가 5와 15㎜ 사이의 범위 내의 값으로 조절되는 경우, 기판(1) 영역 내의 리플로우거리들의 변동을 대략 10% 이하로 감소시키는 것이 가능하다.
도 7은 도포막패턴의 리플로우율 또는 리플로우속도와 승강대의 온도간의 관계를 보여주는 그래프이다.
이 경우, 도 4에 보인 리플로우조건들 중에서, 처리기체의 온도, 처리기체의 유량, 승강대(11) 및 기체분출판(21)간의 거리 그리고 폭로처리실(101) 내의 처리압력은 고정되었고, 승강대(11)의 온도는 가변되었다.
도 7로부터 알 수 있는 바와 같이, 승강대(11)의 온도를 24∼26C가 되도록 제어함으로써, 도포막패턴의 유량은 거의 10㎛/분이 되어 안정화된다.
전술한 측정들의 결과로부터, 아래에 나타낸 조건들 하에서, 본 발명에 따른 기판처리시스템(100)에서는, 마스크로서의 기능을 유지하면서 기판(1) 영역 내의 리플로우거리들의 분산을 대략 10% 이하로 줄이는 것이 가능하다.
(1) 폭로처리용 기체 및 유량: 처리액의 증기 2∼10L/분; N2기체 2∼10L/분
(2) 폭로처리용 기체의 온도: 20∼26℃
(3) 승강대(11) 및 기체분출판(21)간의 거리: 5∼15㎜
(4) 폭로처리실(101) 내의 처리압력 -1 내지 +2㎪
전술한 내용에서, 이 실시예에 따른 기판처리시스템(100)은 포토레지스트막의 리플로우를 수행하는 시스템으로서 설명되었다. 그러나, 기판처리시스템(100)은 포토레지스트막의 리플로우와는 다른 목적을 위해 사용될 수도 있다. 예를 들면, 산을 사용하여 반도체기판의 표면을 세정하기 위해, 포토레지스트의 기판에 대한 접착력을 개선하기 위해 등등에 기판처리시스템(100)을 사용할 수도 있다. 이러한 경우, 다음의 화학약품들이 사용된다.
(A) 산을 주성분으로서 갖는 용액들(표면세정에 사용하기 위한 것)
*염화수소산
*플로오르화수소
*다른 산용액
(B) 무기-유기혼합용액(유기막의 접착력 강화에 사용하기 위한 것)
*헥사메틸디실라잔 등과 같은 실란결합(coupling)제
제2실시예
도 8은 본 발명의 제2실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도이다. 제1실시예에 따른 기판처리시스템(100)과 유사하게, 제2실시예에 따른 기판처리시스템(200)은 챔버 내에 배치된 기판에 폭로처리용 기체를 고르게 분무하기 위해 사용될 수도 있다.
도 8에서, 제1실시예에 따른 기판처리시스템(100)의 구성요소들과 동일한 구조 및 기능을 갖는 부분들은 동일한 참조번호들로 표시된다.
본 발명의 발명자들에 의한 실험에 의하면, 기판(1)에 대한 처리공정을 안정하고 균일하게 하기 위해서는 그리고 반응속력 또는 율을 제어하기 위해서는, 기판처리시스템의 각 부분의 온도를 조정하는 것이 필요함을 알 수 있었다. 그러므로, 이 실시예에 따른 기판처리시스템(200)에서는, 온도조정기구들이 다음과 같이 제공된다.
하부챔버(10)에서는, 기판(1)의 온도를 조정하기 위하여, 승강대(11)의 내부가 비어있게 만들어진다. 온도조절액(112)이 승강대(11)의 내부에 공급되어 온도조절액(112)은 승강대(11) 내에서 순환하게 된다. 이로써, 승강대(11)의 전체 부분의 온도는 적절히 제어된다.
또한, 온도조절액(221)이 상부챔버(20) 내에서 순환하도록, 상부챔버(20)의 내부도 비어있게 만들어지고, 온도조절액(221)이 상부챔버(20)의 내부에 공급된다. 그로 인해, 상부챔버(20)의 온도가 온도조절액(221)에 의해 제어될 뿐만 아니라, 상부챔버(20)와 연결된 기체도입관들(24), 기체확산부재들(23) 및 기체분출판(21)의 온도도 열전도에 의해 제어되기도 한다.
기체도입기구(120)에서는, 공급되는 폭로처리용 기체(33)의 온도를 조정하기 위하여, 저장용기(301)의 내부가 비어있게 만들어진다. 온도조절액은 온도조절액이 저장용기(301) 내에서 순환하도록 저장용기(301)의 내부에 공급된다. 그로 인해, 폭로처리용 기체(33)의 온도는 적절히 제어된다.
전술한 다양한 부분들의 온도가 제어될 수 있는 온도범위로서는, 온도가 10 내지 80℃의 범위 내에서, 특히 20 내지 50℃의 범위 내에서 제어될 수 있는 것이 필요하다. 또한, 온도는 +/-3℃, 더 바람직하게는 +/-0.5℃의 정밀도로 제어될 수 있는 것이 필요함을 알 수 있었다.
이제, 본 발명의 제2실시예에 따른 기판처리시스템(200)의 동작과 기판처리시스템(200)을 사용하는 기판(1)의 가공방법에 대하여 설명할 것이다.
먼저, 온도조절액(112)의 온도는 24℃로 조절되고, 승강대(11)의 온도 및 기판(1)의 온도는 24℃의 동일한 온도가 되도록 제어된다.
또한, 저장용기(301)에 공급되는 온도조절액의 온도는 26℃로 조절되고, 기체분무기구(110)로부터의 폭로처리용 기체(33)는 동일한 온도가 되도록 제어된다.
온도조절액(221)의 온도도 26℃로 조절되고, 기체분출판(21), 상부챔버(20) 및 기체확산부재들(23)의 온도는 동일한 온도가 되도록 제어된다.
그 후, 제1실시예에 따른 기판처리시스템(100)을 사용하여 수행된 것들과 유사한 공정단계들이 수행된다.
제1 및 제2실시예들의 변형예들
전술한 제1실시예에 따른 기판처리시스템(100) 및 제2실시예에 따른 기판처리시스템(200)의 구조들은 전술한 것들로 한정되지는 않고, 아래에 언급한 다양한 방식들로 변형될 수 있다.
먼저, 기체분무기구(110)는 다음과 같이 변형될 수 있다.
제1 및 제2실시예들에 따른 기판처리시스템들(100 및 200)에서, 하나의 기체유량제어기구가 기체도입관들(24)의 상측에 마련되고 폭로처리용 기체(33)는 기체유량제어기구로부터 기체도입관들(24)의 각각으로 분배되는 것이 제안된다. 그러나, 기체도입관들(24)의 각각에 기체의 유량을 제어하기 위해 기체유량제어기구를 마련하는 것도 가능하다. 이 기체유량제어기구는 폭로처리용 기체(33)의 유량을 제어하기 위한 어떠한 유형의 기구라도 될 수 있다. 예를 들면, 질량유량(mass flow)제어, 유량계를 사용한 제어, 밸브의 개방각의 제어 등을 수행하는 것에 의해 기체유량을 제어하여 폭로처리용 기체(33)의 흐름을 제어하는 것이 가능하다.
본 발명의 제1실시예에 따른 기판처리시스템(100)에서, 복수개의 기체확산부재들(23)이 모두 제1공간(102a) 내에 배치된다. 그러나, 하나의 기체도입관(24) 또는 복수개의 기판처리시스템을 격벽들로 둘러쌈으로써 제1공간(102a)을 복수개의 작은 공간들로 나누는 것도 가능하고, 작은 공간들의 각각에 하나 또는 그 이상의 기체확산부재들(23)을 배치하는 것도 가능하다.
도 9는 기체도입관들(24)의 각각이 격벽들(103)에 의해 둘러싸이도록 제1공간(102a) 내에 격벽들이 제공된 기판처리시스템의 예를 도시하는 단면도이다.
이 구조에서, 폭로처리용 기체(33)가 작은 공간의 각각으로부터 기체분출판(21)을 통하여 제2공간(102b) 속으로 분출되는 경우, 기체도입관(24)마다, 즉, 작은 공간마다 기체흐름을 제어하는 것이 가능하다. 그러므로, 제2공간(102b) 내의 각 위치마다 기체흐름을 제어하는 것이 가능하다. 그 결과, 기판(1)상의 위치에 무관하게, 폭로처리용 기체(33)를 균일한 밀도로 제2공간(102b) 내에 놓인 기판(1)에 분출 또는 분무하는 것이 가능하다. 원한다면, 폭로처리용 기체(33)를 제2공간(102b) 내에 놓인 기판(1)상에 소망의 기체밀도분포로 분무하는 것도 가능하다.
이 경우, 전술한 작은 공간들간을 격벽들(103)에 의해 완전히 밀봉하는 것이 항상 필요한 것은 아니다. 인접한 작은 공간들이 부분적으로 서로 통해있고 기체가 그것들 간에 드나들 수 있도록 격벽들(103)의 각각 내에 하나 또는 그 이상의 구멍들 또는 틈들을 마련하는 것도 가능하다.
제1공간(102a)이 격벽들(103)을 사용하여 복수개의 작은 공간들로 분리되는 경우, 작은 공간들의 각각이 하나의 기체도입관(24)을 구비하는 것이 항상 필요한 것은 아니다. 예를 들면, 도 10에 보인 것처럼, 하나의 기체도입관(24)만이 복수개의 작은 공간들 중의 어느 하나에 배치될 수 있다. 이러한 경우, 격벽들의 각각은 구멍 또는 구멍들(103a)을 가지고, 기체도입관(24)으로부터 분출된 폭로처리용 기체(33)는 구멍들(103a)을 통해 모든 작은 공간들에 분배된다.
본 발명의 제1실시예에 따른 기판처리시스템(100)에서, 기체분출판(21)은 평평한 판부재로서 형성된다. 그러나, 기판(1) 쪽으로 볼록 또는 오목면을 갖는 만곡된 판부재로 기체분출판(21)을 형성하는 것도 가능하다.
또한, 본 발명의 제1실시예에 따른 기판처리시스템(100)에서, 기체분출판(21)은 상부챔버(20)에 고정된다. 그러나, 회전중심인 기체분출판(21)의 중앙 둘레로 회전가능한 기체분출판(21)을 만드는 것도 가능하다. 예를 들면, 폭로처리용 기체(33)가 기판(1) 위로 분무되는 동안, 구동원, 예를 들면, 전기모터 등을 사용하여 기체분출판(21)을 회전시켜, 폭로처리용 기체(33)를 기판(1) 위에 더욱 고르게 분무하는 것도 가능하다.
게다가, 기체분출판(21)뿐만 아니라, 승강대(11)도 회전중심인 그 중심축 둘레로 회전할 수 있게 만들어도 좋다.
예를 들어, 기체분출판(21) 및 승강대(11) 둘 다를 서로 반대방향으로 회전하도록 하여, 폭로처리용 기체(33)를 기판(1) 위로 더욱 고르게 분무하는 것도 가능하다.
폭로처리실(101)의 내부압력을 측정하기 위해 폭로처리실(101) 내에 압력측정소자를 제공하고 압력측정소자에 의해 측정된 압력에 따라 폭로처리실(101)로부터 배기하기 위해 진공배기시스템을 작동하는 것도 가능하다. 이로 인해, 폭로처리실(101)의 내부압력은 자동적으로 제어될 수 있다.
제3실시예
도 11은 본 발명의 제3실시예에 따른 기판처리시스템의 개략적인 구조를 도시하는 단면도이다. 제1실시예에 따른 기판처리시스템(100)과 유사하게, 제3실시예에 따른 기판처리시스템(300)도 챔버 내에 배치된 기판 위로 폭로처리용 기체를 균일하게 분무하기 위해 사용될 수 있다.
도 11에서, 제1실시예에 따른 기판처리시스템(100)의 구성요소들과 동일한 구조 및 기능을 갖는 부분들은 동일한 참조번호들이 부여된다.
이 실시예에 따른 기판처리시스템(300)은, 제1실시예에 따른 기판처리시스템(100)의 복수개의 기체도입관들(24), 복수개의 기체확산부재들(23) 및 기체분출판(21) 대신, 가동식 기체도입관(34)과 가동식 기체도입관(34)의 하단부분에 부착된 기체분무부재(36)를 포함한다.
이 실시예에 따른 기판처리시스템(300)의 상부챔버(20)에는, 도면에 보여지진 않은 슬릿이 기판(1)의 길이방향, 즉 도 11의 측방향을 따라 연장하게 마련된다. 가동식 기체도입관(34)은 이 슬릿 내에서 미끄러져 움직일 수 있다.
가동식 기체도입관(34)은 도면에 보여지진 않은 전기모터에 의해 구동되어 슬릿을 따라 미끄러진다. 이 경우, 가동식 기체도입관(34)이 슬릿을 따라 미끄러져 움직이는 경우에도, 폭로처리실(101)의 내부공간은 밀폐상태로 유지된다.
가동식 기체도입관(34)의 상단은 기체파이프(32)와 연결되고, 폭로처리용 기체(33)는 기체파이프(32)를 통해 챔버에 공급된다.
가동식 기체도입관(34)의 하단에는, 기체분무부(36)가 부착된다. 기체분무부(36)는 속이 빈 구조를 가지며, 복수개의 개공들(211a)을 갖는 기체분출판(21)이 부착된 하단개방부를 가진다.
기체분무부(36)는 기체확산부재들(23)과 동일한 기능을 가진다. 그러므로, 기체파이프(32)와 가동식 기체도입관(34)을 통해 기체분무부(36) 속에 도입된 폭로처리용 기체(33)는 기체분무부(36) 내에서 일단 확산된다. 폭로처리용 기체(33)의 밀도가 기체분무부(36) 내에서 균일하게 된 후, 폭로처리용 기체(33)는 기체분출판(21a)의 개공들(211a)을 통해 기판(1) 위로 분무된다.
도면들에는 상세히 보여지진 않았지만, 기체분무부(36)는 가동식 기체도입관(34)에 회전가능하게 부착되어 기체분무부(36)는 예를 들면 도면에 보여지진 않은 전기모터를 사용하여 그 중심축 둘레로 회전할 수 있다.
이 실시예에 따른 기판처리시스템(300)에서, 가동식 기체도입관(34)은 상부챔버(20) 내에서 기판(1)의 길이방향으로 마련된 슬릿을 따라 움직인다. 가동식 기체도입관(34)이 길이방향으로 움직이는 동안, 기체분무부(36)는 증기발생기(31)로부터 공급된 폭로처리용 기체(33)를 기판(1) 위에 분무한다.
이런 식으로, 기체분무부(36)가 기판(1)을 따라 주사하는 동안 기체분무부(36)는 폭로처리용 기체(33)를 기판(1)상에 분무한다. 그러므로, 폭로처리용 기체(33)를 기판(1) 위에 균일하게 분무하는 것이 가능하다.
더욱이, 가동식 기체도입관(34)이 상부챔버(20)의 슬릿을 따라 기판(1)의 길이방향으로 이동하는 반면, 기체분무부(36)는 그 중심축 둘레를 회전한다. 그러므로, 기판(1) 위에 폭로처리용 기체(33)을 더욱 균일하게 분무하는 것이 가능하다.
제3실시예에 따른 전술한 기판처리시스템(300)에서는, 기체분무부(36)를 상하로 이동하게 하는 것도 가능하다. 예를 들면, 가동식 기체도입관(34)은 내부튜브와 외부튜브를 구비하며 예컨대 내부튜브는 외부튜브에 대하여 자유로이 미끄러져 움직일 수 있는 이중튜브구조를 가질 수 있다. 또한, 기체분무부(36)는 내부튜브에 부착되어, 기체분무부(36)는 외부튜브에 대하여 자유로이 상하로 미끄러져 움직일 수 있게 만들어질 수 있다. 그러므로, 기판(1) 및 기체분무부(36)간의 거리는 자유로이 제어될 수 있다.
이런 식으로, 기체분무부(36)가 상하로 움직일 수 있는 경우, 승강대(11)에는 상하로 움직일 수 있게 되는 것이 항상 필요하지는 않다. 그러나, 기체분무부(36) 및 승강대(11) 둘 다를 상하로 움직일 수 있게 만드는 것도 가능하다.
제4실시예
도 12는 본 발명의 제4실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도이다. 전술한 바와 같이, 제1실시예에 따른 기판처리시스템(100)은 챔버 내에 배치된 기판 위로 고르게 폭로처리용 기체를 분무하기 위해 사용될 수 있지만, 제4실시예에 따른 기판처리시스템(400)은 챔버 내에 배치된 기판 위에 폭로처리용 기체를 균일하게 분무하기 위해 그리고 건식식각처리 또는 애싱처리를 기판에 대해 수행하기 위해서도 사용될 수 있다.
이 경우, 폭로처리 전 또는 후에 건식식각 또는 애싱(ashing)처리를 수행하는 것이 가능하다. 또한, 폭로처리와 동시에 건식식각 또는 애싱처리를 수행하는 것도 가능하다.
도 12에서, 제1실시예에 따른 기판처리시스템(100)의 구성요소들과 동일한 구조 및 기능을 갖는 부분들은 동일한 참조번호들이 주어진다.
이 실시예에 따른 기판처리시스템(400)은, 제1실시예의 기판처리시스템(100)의 구성요소들에 더하여, 플라즈마발생수단을 포함한다. 플라즈마발생수단은 상부챔버(20)와 기체분출판(21) 사이에 배치된 상부전극(410), 승강대(11) 내부에 배치된 하부전극(420), 커패시터(422) 및 RF고주파전원(423)을 포함한다.
상부전극(410)은 상부전극배선도체(411)를 통해 접지에 연결된다.
또한, 하부전극(420)은 하부전극배선도체(411) 및 커패시터(422)를 통해 RF고주파전원(423)의 한 단자에 연결된다. RF고주파전원(423)의 다른 단자는 접지에 연결된다.
이 실시예에 따른 기판처리시스템(400)에서, 폭로처리 및 건식식각 또는 애싱처리는 기판(1)에 대해 아래에 설명된 방식으로 수행된다.
먼저, 기판(1)상에는, 식각하려는 막의 패턴들이 형성된다. 또, 식각하려는 막의 패턴들 상에 형성된 포토레지스트막(이후, "포토레지스트마스크"라 함)의 마스크패턴들이 제1실시예와 유사한 방식으로 변형된다. 즉, 기판(1)은 폭로처리용 기체(33)에 노출되어, 포토레지스트마스크는 용해되고 리플로우되어 그 패턴들이 변형된다.
여기서, 포토레지스트마스크가 용해 및 리플로우에 의해 변형되는 때 또는 그 무렵에, 다른 패턴들을 갖는 포토레지스트마스크를 사용하여 기판(1)상에 형성된 식각하려는 막의 패턴들에 대하여 식각이 수행된다.
그로 인해, 식각하려는 막의 패턴들로서 두 종류의 식각패턴들을 형성하는 것이 가능하다.
이 경우, O2플라즈마를 사용하는 애싱처리라 불리는 처리도 포토레지스트마스크에 대해 수행된다.
이 실시예에 따른 기판처리시스템(400)의 건식식각 또는 애싱처리는 다음과 같이 수행된다. 이 경우, 이 실시예에 따른 기판처리시스템(400)에서 수행되는 건식식각 또는 애싱처리는 종래의 건식식각 또는 애싱처리와 유사하다.
먼저, 기판(1)이 폭로처리실(101) 내에 탑재되고, 폭로처리실(101)은 이 폭로처리실 내의 잔여기체를 제거하기 위해 진공화 배기된다. 이 경우, 폭로처리실(101) 내의 압력은 대략 1㎩ 이하이다.
그 후, 건식식각처리가 수행되는 경우, 식각기체, 예를 들면, Cl2/O2/He혼합기체가 폭로처리실(101) 속에 도입된다(Cr 등의 금속이 식각되는 경우). 애싱처리가 수행되는 경우, 예를 들면 O2기체, O2/CF4혼합기체 등의 기체가 폭로처리실(101) 속에 도입된다.
폭로처리실(101) 내의 압력은 10㎩ 내지 120㎩ 범위의 압력으로 일정하게 유지된다.
다음으로, 플라즈마방전이 상부전극(410) 및 하부전극(420) 사이에서 RF고주파전원(423) 및 커패시터(622)를 사용하여 수행되어, 건식식각 또는 애싱이 기판(1)에 대해 수행된다.
이 실시예에서, 하부전극(420)은 커패시터(422) 및 RF고주파전원(423)을 통해 접지에 연결된다. 그러나, RF고주파전원(423)만을 통하여 하부전극(420)을 접지하는 것도 가능하다.
또한, 이 실시예에서, 상부전극(410)은 접지에 집적 연결되고 하부전극(420)은 커패시터(422) 및 RF고주파전원(423)을 통해 접지에 연결된다. 그러나, 이에 반하여, 하부전극(420)을 접지에 직접 연결하고 상부전극(410)을 커패시터(422) 및 RF고주파전원(423)을 통해 또는 RF고주파전원(423)만을 통해 접지에 연결하는 것도 가능하다.
게다가, 폭로처리실(101) 내에 플라즈마를 생성하기 위한 플라즈마발생기구는 본 발명에 따른 플라즈마발생기구로 한정되진 않고, 어떠한 다른 플라즈마발생기구도 가능하다.
전술한 바와 같이, 이 실시예의 기판처리시스템(400)에 의하면, 폭로처리 및 건식식각 또는 애싱처리 둘 다를 하나의 챔버를 사용하여 기판(1)에 대해 수행하는 것도 가능하다.
노출공정에 사용된 폭로처리용 기체(33)와 건식식각 또는 애싱처리에 사용된 다양한 기체들은 별도의 기체도입기구들에 의하여 폭로처리실(101)에 도입될 수 있고, 또한 단일의 기체도입기구를 공통으로 사용하여 폭로처리실(101)에 도입될 수도 있다. 이 경우, 폭로처리 및 건식식각 또는 애싱처리가 동시에 또는 거의 동시에 수행되는 경우, 별도의 기체도입기구들을 제공하는 것이 필요하다.
또한, 제2실시예에 따른 기판처리시스템(200)과 유사하게, 이 실시예에 따른 기판처리시스템(400)에서는, 상부전극(410) 및 하부전극(420)의 온도를 일정한 값 또는 값들로 유지하기 위한 온도조정기구를 제공하는 것이 가능하다.
제5실시예
도 13은 본 발명의 제5실시예에 따른 기판처리시스템의 개략적인 구조를 도시하는 단면도이다. 제5실시예에 따른 기판처리시스템(500)은 챔버 내에 배치된 기판 상에 폭로처리용 기체(33)를 균일하게 분무하기 위한 시스템으로서 사용될 수 있거나, 폭로처리 및 건식식각 또는 애싱처리 둘 다를 수행하기 위한 시스템으로서 사용될 수도 있다.
도 13에서, 제1실시예에 따른 기판처리시스템(100)의 구성요소들과 동일한 구조 및 기능을 갖는 부분들에는 동일한 참조번호들이 부여된다.
도 13에 보인 것처럼, 이 기판처리시스템(500)은 기체배출구(501a)를 갖는 챔버(501), 7단(stage)의 기판처리부들(502a, 502b, 502c, 502d, 502e, 502f 및 502g), 및 기체도입기구(520)를 포함한다. 기체도입기구(520)는 제1실시예의 기체도입기구(120)와 동일해도 좋다.
7단기판처리부들(502a∼502g)은 챔버(501) 내에 세로방향으로 배치된다. 7단기판처리부들(502a∼502g)의 각각은, 도 1에 보인 실시예의 기판처리시스템(100)으로부터 폭로처리실(101) 및 기체도입기구(120)를 제거하여 얻어진 구조와 거의 동일한 구조를 가진다.
기체도입기구(520)는 제1실시예의 기체도입기구(120)의 구조와 동일한 구조를 가지고, 폭로처리용 기체(33)를 7단기판처리부들(502a∼502g)의 각각에 공통으로 공급한다.
본 발명의 제1실시예에 따른 기판처리시스템(100)은 기판(1)이 한번에 하나씩 처리되는 일괄(batch)형 기판처리시스템이다. 반면, 이 실시예의 기판처리시스템(500)은 복수개의 기판들(1)을 동시에 처리할 수 있다. 그러므로, 제1실시예에 따른 기판처리시스템(100)과 비교해 볼 때, 이 실시예에 따른 기판처리시스템(500)은 매우 높은 처리효율로 기판들을 처리할 수 있다.
이 실시예에 따른 전술한 기판처리시스템(500)은 7단기판처리부들(502a∼502g)을 가진다. 그러나, 기판처리부들의 수는 7개로 한정되지 않고, 둘 이상의 임의의 적당한 수가 될 수 있다.
또한, 이 실시예에 따른 기판처리시스템(500)에서, 기판처리부들(502a∼502g)의 각각은 제1실시예에 따른 기판처리시스템(100)의 대응 부분의 구조와 동일한 구조를 가진다. 그러나, 기판처리부들(502a∼502g)의 각각을 본 발명의 제2, 제3 또는 제4실시예에 따른 기판처리시스템(200, 300 또는 400)에 기초하여 구성하는 것도 가능하다.
제6실시예
도 14는 본 발명의 제6실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 평면도이다. 이 실시예에 따른 기판처리시스템(600)은, 처리하려는 기판 또는 기판들을 대기 중에서 폭로처리실로 반송하는 공정부터, 기판 또는 기판들을 가공한 후에 폭로처리실로부터 대기 중으로 반환하는 공정까지의 일련의 공정들을 계속해서 수행할 수 있다.
이 실시예에 따른 기판처리시스템(600)은 3개의 처리실들(601), 감압반송실(602), 압력조정반송실(603), 및 기판처리시스템(600)의 안으로 또는 밖으로 기판들을 반송하는 반송기구(604)를 포함한다.
감압반송실(602)은 3개의 처리실들(601)의 각각과 통해 있다. 감압반송실(602)은 가공하려는 기판들을 감압조건 하에서 처리실들(601)로 반입하고, 가공된 기판들을 감압조건 하에서 처리실들(601)로부터 반출한다.
압력조정반송실(603)은 감압반송실(602)과 통해 있다. 압력조정반송실(603)은 가공 전의 기판들을 대기압 하에서 외부로부터 받아들이고, 이 기판들을 감압조건 하에서 감압반송실(602)에 반입한다. 압력조정반송실(603)도 가공된 기판들을 감압조건 하에서 감압반송실(602)로부터 반출하고, 이 기판들을 대기압 하에서 외부로 반출한다.
반송기구(604)는 기판들을 외부로부터 압력조정반송실(603)로 반송하고, 기판들을 압력조정반송실(603)로부터 외부로 반송한다. 반송기구(604)는 예를 들면 다중적재(multi-loader)기구 등 일 수 있다.
3개의 처리실들(601)의 각각은 본 발명의 제1 내지 제5실시예에 따른 기판처리시스템들(100, 200, 300, 400 및 500) 중의 어느 하나의 구조와 유사한 구조를 가질 수 있다.
이제 이 실시예에 따른 기판처리시스템(600)의 작동에 대하여 설명할 것이다.
먼저, 가공하려는 기판이 대기압 하에서 반송기구(604)를 통해 압력조정반송실(603)에 반입된다.
이 기판이 압력조정반송실(603)에 반입된 후, 압력조정반송실(603)은 반송기구(604)로부터 닫혀진다. 그 후 압력조정반송실(603) 내의 압력은 감소되어 진공상태가 된다. 이 상태에서, 기판은 압력조정반송실(603)로부터 감압반송실(602)로 반송된다. 감압반송실(602)은 항상 진공상태로 유지된다.
다음으로, 이 기판은 감압반송실(602)로부터 처리실들(601)들 중의 어느 하나로 반송되고, 그 처리실(601) 내에서 기판은 가공된다. 예를 들면, 폭로처리 또는 애싱처리가 기판에 대하여 수행된다.
가공이 종료된 후, 기판은 처리실(601)로부터 감압반송실(602)로 반송된다. 필요하다면, 기판은 다른 처리실(601)로 다시 반송되어 다른 종류의 가공 또는 처리가 수행된다.
그 후 기판은 감압반송실(602)로부터 진공상태에 있는 압력조정반송실(603)로 반송된다. 기판이 압력조정반송실(603)로 반송된 후, 압력조정반송실(603) 내의 압력은 증가되어 진공상태에서 대기압으로 바뀐다.
반송기구(604)로부터의 압력조정반송실(603)의 폐쇄가 해제되면, 가공 후의 기판은 반송기구(604)로 반출된다.
그러면 반송기구(604)는 기판처리시스템(600)의 외부로 기판을 반송한다.
이런 식으로, 기판처리시스템(600)을 사용함으로써, 기판들을 계속해서 처리하는 것이 가능하다.
지금까지의 설명에서, 본 발명은 특정 실시예들을 참조하여 설명되었지만, 이 기술분야의 통상의 기술을 가진 자는 다양한 변형들 및 변경들이 아래의 청구범위에서 언급된 본 발명의 범위를 벗어나지 않고 만들어질 수 있음을 인식할 것이다. 따라서, 명세서 및 도면들은 제한하자는 의미가 아니라 설명하려는 의미로 간주된다. 그러므로, 본 발명은 첨부의 청구범위의 범위 내에 드는 개조들 또는 변형들의 모두를 포괄하도록 의도되었다.
전술한 바와 같이, 본 발명에 따른 기판처리시스템을 사용함으로써, 각 기판의 전체 표면에 걸쳐 거의 균일하게 폭로처리용 기체를 인가하는 것이 가능하다. 그러므로, 기판의 전체 표면에 걸쳐 고정밀도로 리플로우거리(L)를 제어하는 것이 가능하다. 게다가, 본 발명에 따르면, 기판에 대한 건식식각처리 또는 애싱처리를 폭로처리 전후 또는 폭로처리와 동시에 수행하는 것이 가능하다.
도 1은 본 발명의 제1실시예에 따른 기판처리시스템의 구조를 도시하는 개략적 단면도,
도 2는 도 1에 보인 기판처리시스템에 사용되는 기체분출판 및 기체분출판용 프레임을 도시하는 사시도,
도 3은 도 1에 보인 기판처리시스템에 사용되는 기체확산부재의 일 예를 도시하는 사시도,
도 4는 도포막패턴의 측방향에서의 리플로우거리 및 리플로우시간 간의 관계를 보여주는 그래프,
도 5는 도포막패턴들의 리플로우처리 수행 후에, 기판 내의 리플로우거리들의 균일도 및 증기유량간의 관계를 보여주는 그래프,
도 6은 도포막패턴들을 리플로우한 후에, 기판 내의 리플로우거리의 균일도와, 승강대(lifting stage) 및 기체분출판 사이의 거리간의 관계를 보여주는 그래프,
도 7은 도포막패턴의 유량과 승강대의 온도간의 관계를 보여주는 그래프,
도 8은 본 발명의 제2실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도,
도 9는 기체도입관들의 각각이 격벽들로 둘러싸이도록 격벽들이 마련된 기판처리시스템의 일 예를 도시하는 단면도,
도 10은 하나의 기체도입관만이 복수개의 작은 공간들 중의 하나에 배치된 기판처리시스템의 일 예를 도시하는 단면도,
도 11은 본 발명의 제3실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도,
도 12는 본 발명의 제4실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도,
도 13은 본 발명의 제5실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도,
도 14는 본 발명의 제6실시예에 따른 기판처리시스템의 개략적 구조를 도시하는 단면도,
도 15는 도포막을 평탄화하기 위한 종래의 가공시스템을 도시하는 단면도,
도 16a∼16c는 도포막을 평탄화하기 위한 종래의 가공시스템을 사용하여 박막트랜지스터를 제조하는 공정단계들의 일부를 개략적으로 도시하는 단면도들,
도 17a는 도 16a∼16c에 도시된 공정단계들 후에 수행되는 박막트랜지스터를 제조하는 공정단계들의 일부를 개략적으로 도시하는 단면도,
도 17b는 도 17a의 단면도에서 도시된 작업소재(workpiece)의 부분평면도.
*도면의 주요부분에 대한 부호의 설명
1 : 기판 10 : 하부챔버
11 : 승강대 20 : 상부챔버
21 : 기체분출판 23 : 기체확산부재
24 : 기체도입관 31 : 증기발생장치
32 : 기체파이프 33 : 폭로처리용 기체
34 : 가동식 기체도입관 36 : 기체분무부재
102a : 제1공간 102b : 제2공간
110 : 기체분무기구 120 : 기체도입기구
211 : 개구

Claims (18)

  1. 하나의 챔버 내에, 복수의 기판들을 각각 수평자세로 연직방향으로는 서로 간격을 두고서 배열한 상태로, 상기 복수의 기판들의 각각에 폭로처리용기체를 분무하는 기판처리장치에 있어서,
    적어도 하나의 기체도입구와 적어도 하나의 기체배출구를 가지는 챔버;
    상기 기체도입구를 통해 챔버 내에 폭로처리용기체를 도입하는 기체도입수단; 및
    상기 복수의 기판의 각각에 대응하여 마련된 기체분배수단을 포함하며,
    상기 기체분배수단에는 복수개의 개구들이 형성되고, 상기 기체도입수단을 통해 도입된 상기 폭로처리용기체는 상기 개구들을 통해 대응하는 기판에 분무되는 것을 특징으로 하는 기판처리장치.
  2. 제1항에 있어서, 상기 기체분배수단은, 각각 대응하는 기판과 대향하는 위치에 놓인 것을 특징으로 하는 기판처리장치.
  3. 제1항에 있어서, 상기 챔버는 복수개의 기체도입구들을 구비한 것을 특징으로 하는 기판처리장치.
  4. 제3항에 있어서, 상기 복수개의 기체도입구들의 각각은, 상기 기체분배수단의 각각에 대응하여 마련된 것을 특징으로 하는 기판처리장치.
  5. 제3항에 있어서, 상기 기체도입구마다 기체유량제어기구를 구비한 것을 특징으로 하는 기판처리장치.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 기체분배수단은, 판형상으로 구성된 것을 특징으로 하는 기판처리장치.
  7. 제6항에 있어서, 상기 기체분배수단은, 대응하는 기판으로 향하여 볼록 또는 오목한 곡면형상으로 형성된 것을 특징으로 하는 기판처리장치.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 기체분배수단은, 그 중심을 회전중심으로 하여 회전 가능하게 된 것을 특징으로 하는 기판처리장치.
  9. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 기체분배수단과 겹치게 배치되며, 상기 기체분배수단에 형성된 개구들 중의 임의의 개수의 개구들을 막음으로써, 상기 폭로처리용기체의 분출범위를 규정하는 기체분출범위규정수단을 더 구비한 것을 특징으로 하는 기판처리장치.
  10. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 기판이 탑재되는 스테이지는, 상하로 움직일 수 있게 형성된 것을 특징으로 하는 기판처리장치.
  11. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 기판이 탑재되는 스테이지는 그 축심의 둘레로 회전가능하게 형성된 것을 특징으로 하는 기판처리장치.
  12. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 기판의 온도를 조정하는 기판온도조정수단을 더 구비한 것을 특징으로 하는 기판처리장치.
  13. 제12항에 있어서, 상기 온도조정수단은, 상기 기판이 탑재되는 스테이지의 온도를 제어하는 것에 의해, 상기 기판의 온도를 제어하는 것을 특징으로 하는 기판처리장치.
  14. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 폭로처리용기체의 온도를 조정하는 기체온도조정수단을 더 구비한 것을 특징으로 하는 기판처리장치.
  15. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 챔버 내에 배치된 기판과 상기 기채분배수단 간의 간격은 5 내지 15㎜로 설정되는 것을 특징으로 하는 기판처리장치.
  16. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 챔버 내에 플라즈마를 발생시키는 플라즈마발생기구를 더 구비한 것을 특징으로 하는 기판처리장치.
  17. 제16항에 있어서, 상기 플라즈마발생기구는, 상기 기판의 위쪽에 배치된 상부전극부와, 상기 기판의 아랫쪽에 배치된 하부전극부로 이루어지며,
    상기 상부전극부 및 상기 하부전극부 중의 어느 한 쪽은 접지되며, 다른 쪽은 고주파전원을 통해 접지되는 것을 특징으로 하는 기판처리장치.
  18. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 챔버와 연결되며, 감압상태 하에서 상기 기판을 상기 챔버에 반입하거나, 혹은, 감압상태 하에서 상기 기판을 상기 챔버로부터 반출하기 위한 감압반송챔버; 및
    상기 감압반송챔버와 연결되며, 대기압 하에 상기 기판을 외부로부터 반입하며, 감압상태 하에서 상기 기판을 상기 감압반송챔버에 반입함과 아울러, 감압상태 하에 상기 기판을 상기 감압반송챔버로부터 반출하고, 대기압 하에 상기 기판을 외부에 반출하는 압력조정반송챔버를 구비한 것을 특징으로 하는 기판처리장치.
KR10-2005-0016171A 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치 KR100503642B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2001258187 2001-08-28
JPJP-P-2001-00258187 2001-08-28
JPJP-P-2002-00216877 2002-07-25
JP2002216877A JP3886424B2 (ja) 2001-08-28 2002-07-25 基板処理装置及び方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0050206A Division KR100515262B1 (ko) 2001-08-28 2002-08-23 기체분위기에서 폭로처리를 수행하는 기판처리장치 및 방법

Publications (2)

Publication Number Publication Date
KR20050035210A true KR20050035210A (ko) 2005-04-15
KR100503642B1 KR100503642B1 (ko) 2005-07-26

Family

ID=26621129

Family Applications (6)

Application Number Title Priority Date Filing Date
KR10-2002-0050206A KR100515262B1 (ko) 2001-08-28 2002-08-23 기체분위기에서 폭로처리를 수행하는 기판처리장치 및 방법
KR10-2005-0016173A KR100503643B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR10-2005-0016174A KR100505763B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR10-2005-0016172A KR100505762B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR10-2005-0016171A KR100503642B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR10-2005-0016175A KR100529711B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치 및 방법

Family Applications Before (4)

Application Number Title Priority Date Filing Date
KR10-2002-0050206A KR100515262B1 (ko) 2001-08-28 2002-08-23 기체분위기에서 폭로처리를 수행하는 기판처리장치 및 방법
KR10-2005-0016173A KR100503643B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR10-2005-0016174A KR100505763B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR10-2005-0016172A KR100505762B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR10-2005-0016175A KR100529711B1 (ko) 2001-08-28 2005-02-25 기체분위기에서 폭로처리를 수행하는 기판처리장치 및 방법

Country Status (5)

Country Link
US (8) US20030041971A1 (ko)
JP (1) JP3886424B2 (ko)
KR (6) KR100515262B1 (ko)
CN (7) CN100334507C (ko)
TW (1) TWI223327B (ko)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
JP2005159293A (ja) 2003-09-18 2005-06-16 Nec Kagoshima Ltd 基板処理装置及び処理方法
US7616383B2 (en) * 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR100635217B1 (ko) 2005-04-12 2006-10-17 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP4199213B2 (ja) 2005-04-26 2008-12-17 株式会社東芝 基板処理方法
JP4544532B2 (ja) * 2006-03-03 2010-09-15 東京エレクトロン株式会社 基板処理方法
JP4780609B2 (ja) * 2006-03-03 2011-09-28 東京エレクトロン株式会社 基板処理方法
JP4437477B2 (ja) 2006-03-30 2010-03-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2007273827A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd リフロー方法、パターン形成方法および液晶表示装置用tft素子の製造方法
JP5145654B2 (ja) * 2006-05-29 2013-02-20 日本電気株式会社 基板処理装置及び基板処理方法
JP2007324350A (ja) * 2006-05-31 2007-12-13 Tokyo Electron Ltd 熱処理方法および熱処理装置、ならびに基板処理装置
TWI441239B (zh) * 2006-12-12 2014-06-11 Asml Netherlands Bv 製造微影元件的方法、微影單元及電腦程式產品
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
JP2008172104A (ja) * 2007-01-12 2008-07-24 Tokyo Electron Ltd リフロー処理装置およびリフロー処理方法
JP4714185B2 (ja) * 2007-05-29 2011-06-29 東京エレクトロン株式会社 ガス処理装置
JP2008311250A (ja) * 2007-06-12 2008-12-25 Tokyo Electron Ltd リフローシステムおよびリフロー方法
KR100916005B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR100916006B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR100925568B1 (ko) 2007-07-13 2009-11-05 (주)러셀 화학 기상 증착장치의 반응챔버
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
US20090226574A1 (en) * 2008-03-04 2009-09-10 Johnson Thomas R Apparatus and method for a microwaveable frozen beverage
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
KR101242989B1 (ko) 2008-11-05 2013-03-12 가부시끼가이샤 도시바 성막 장치, 성막 방법 및 반도체 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5365365B2 (ja) * 2009-06-23 2013-12-11 豊和工業株式会社 内層基板用露光装置及び基板とマスクの剥離方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8968473B2 (en) 2009-09-21 2015-03-03 Silevo, Inc. Stackable multi-port gas nozzles
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9240513B2 (en) 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104471104A (zh) * 2012-03-29 2015-03-25 威科Ald有限公司 用于处理基板的扫描式喷射器组件模块
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101989058B1 (ko) * 2012-10-24 2019-06-14 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104051210B (zh) * 2013-03-12 2016-05-11 中微半导体设备(上海)有限公司 一种减少门效应的等离子体处理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101543694B1 (ko) 2014-04-30 2015-08-11 세메스 주식회사 기판 처리 장치 및 방법
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6503730B2 (ja) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 成膜装置
EP3054032B1 (en) * 2015-02-09 2017-08-23 Coating Plasma Industrie Installation for film deposition onto and/or modification of the surface of a moving substrate
WO2016131190A1 (en) 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016189722A1 (ja) 2015-05-28 2016-12-01 ギガフォトン株式会社 レーザ装置及び狭帯域化光学系
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) * 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108630778B (zh) * 2018-05-04 2020-07-07 中国电子科技集团公司第十三研究所 倾斜台面的制备方法及探测器的制备方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN108828905B (zh) * 2018-06-28 2020-12-25 武汉华星光电技术有限公司 一种曝边机
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR102316239B1 (ko) * 2019-10-17 2021-10-25 세메스 주식회사 기판 처리 장치 및 방법
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7106607B2 (ja) * 2020-08-06 2022-07-26 芝浦メカトロニクス株式会社 有機膜形成装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN114388322A (zh) * 2020-10-19 2022-04-22 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其气体喷淋环的制作方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023097397A (ja) * 2021-12-27 2023-07-07 セメス カンパニー,リミテッド ガス供給ユニット及びこれを含む基板処理装置
US20230207291A1 (en) * 2021-12-29 2023-06-29 Applied Materials, Inc. Dual pressure oxidation method for forming an oxide layer in a feature

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
JPS61182226A (ja) * 1985-02-07 1986-08-14 Mitsubishi Electric Corp 半導体ドライエツチング装置
JPS6343315A (ja) * 1986-08-11 1988-02-24 Kokusai Electric Co Ltd 減圧cvd装置
JPS63166235A (ja) * 1986-12-27 1988-07-09 Nec Corp 平行平板型プラズマcvd装置
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
DE3719952A1 (de) * 1987-06-15 1988-12-29 Convac Gmbh Einrichtung zur behandlung von wafern bei der herstellung von halbleiterelementen
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JPH02186628A (ja) * 1989-01-12 1990-07-20 Fujitsu Ltd 化学気相成長装置
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
KR0170391B1 (ko) * 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
JPH03255618A (ja) * 1990-03-05 1991-11-14 Fujitsu Ltd 縦型cvd装置
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US6004885A (en) * 1991-12-26 1999-12-21 Canon Kabushiki Kaisha Thin film formation on semiconductor wafer
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3188967B2 (ja) * 1994-06-17 2001-07-16 東京エレクトロン株式会社 熱処理装置
JPH08222399A (ja) * 1994-12-14 1996-08-30 Adtec:Kk 高周波プラズマ発生装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
EP0827186A3 (en) * 1996-08-29 1999-12-15 Tokyo Electron Limited Substrate treatment system
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3624628B2 (ja) * 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR100261564B1 (ko) * 1998-01-24 2000-07-15 김영환 반도체 화학기상증착장비의 가스 분사장치
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JPH11312640A (ja) * 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6402847B1 (en) * 1998-11-27 2002-06-11 Kabushiki Kaisha Toshiba Dry processing apparatus and dry processing method
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
US6387764B1 (en) * 1999-04-02 2002-05-14 Silicon Valley Group, Thermal Systems Llc Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
ES2320501T3 (es) * 1999-09-29 2009-05-22 European Community Distribucion uniforme de gas en un dispositivo de tratamiento con plasma de zona grande.
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001244256A (ja) * 2000-03-02 2001-09-07 Hitachi Ltd 処理装置
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6554905B1 (en) * 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
TW511147B (en) * 2000-06-12 2002-11-21 Nec Corp Pattern formation method and method of manufacturing display using it
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
JP3667202B2 (ja) * 2000-07-13 2005-07-06 株式会社荏原製作所 基板処理装置
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6756088B2 (en) * 2000-08-29 2004-06-29 Micron Technology, Inc. Methods of forming coatings on gas-dispersion fixtures in chemical-vapor-deposition systems
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
AU2002241496A1 (en) * 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
KR20020039948A (ko) * 2000-11-23 2002-05-30 윤종용 반도체장치 제조설비
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20030213561A1 (en) * 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators

Also Published As

Publication number Publication date
CN1555084A (zh) 2004-12-15
JP2003158054A (ja) 2003-05-30
KR20050035211A (ko) 2005-04-15
CN100342488C (zh) 2007-10-10
TWI223327B (en) 2004-11-01
KR20050039772A (ko) 2005-04-29
CN1402308A (zh) 2003-03-12
CN1554989A (zh) 2004-12-15
CN1607467A (zh) 2005-04-20
CN1555083A (zh) 2004-12-15
CN1558293A (zh) 2004-12-29
CN1555085A (zh) 2004-12-15
KR100505762B1 (ko) 2005-08-03
CN1311302C (zh) 2007-04-18
CN100514191C (zh) 2009-07-15
US20060130759A1 (en) 2006-06-22
KR20050039771A (ko) 2005-04-29
KR100503642B1 (ko) 2005-07-26
KR20050035212A (ko) 2005-04-15
US20030041971A1 (en) 2003-03-06
CN1194390C (zh) 2005-03-23
US20060157199A1 (en) 2006-07-20
KR20030019896A (ko) 2003-03-07
JP3886424B2 (ja) 2007-02-28
US20060090852A1 (en) 2006-05-04
US20090263974A1 (en) 2009-10-22
KR100515262B1 (ko) 2005-09-15
US20060090853A1 (en) 2006-05-04
KR100503643B1 (ko) 2005-07-26
KR100505763B1 (ko) 2005-08-03
US20060070702A1 (en) 2006-04-06
KR100529711B1 (ko) 2005-11-17
US20080121173A1 (en) 2008-05-29
CN100334507C (zh) 2007-08-29

Similar Documents

Publication Publication Date Title
KR100503642B1 (ko) 기체분위기에서 폭로처리를 수행하는 기판처리장치
KR100696378B1 (ko) 반도체 기판을 세정하는 장치 및 방법
US6162507A (en) Spin coating dispense arm assembly
KR100861046B1 (ko) 기판의 처리 방법 및 기판의 처리 장치
US20070238301A1 (en) Batch processing system and method for performing chemical oxide removal
KR20060028683A (ko) 기판의 처리막의 표면 거침을 개선하는 방법 및 기판 처리장치
JP2013521658A (ja) フォトレジスト除去プロセッサ及び方法
KR20110040659A (ko) 현상 처리 방법 및 현상 처리 장치
KR100601979B1 (ko) 반도체 웨이퍼의 베이킹 장치
JP3808472B2 (ja) 基板処理装置
JP3808473B2 (ja) 基板処理装置
JP4513985B2 (ja) 基板処理装置
US20060128160A1 (en) Photoresist strip using solvent vapor
JP3808474B2 (ja) 基板処理装置
JP2006261683A (ja) 基板処理装置
JP2004207751A (ja) 基板処理装置及び方法
JP2003209036A (ja) レジスト塗布装置
JP2004186705A (ja) 基板処理装置
CN1881090B (zh) 在气体环境中执行曝光处理的基片处理系统

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130705

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150626

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160704

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170619

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180619

Year of fee payment: 14