KR20010004720A - 반도체 소자의 트랜지스터 및 그 제조 방법 - Google Patents

반도체 소자의 트랜지스터 및 그 제조 방법 Download PDF

Info

Publication number
KR20010004720A
KR20010004720A KR1019990025434A KR19990025434A KR20010004720A KR 20010004720 A KR20010004720 A KR 20010004720A KR 1019990025434 A KR1019990025434 A KR 1019990025434A KR 19990025434 A KR19990025434 A KR 19990025434A KR 20010004720 A KR20010004720 A KR 20010004720A
Authority
KR
South Korea
Prior art keywords
layer
sige
deposition
gate
semiconductor device
Prior art date
Application number
KR1019990025434A
Other languages
English (en)
Other versions
KR100332108B1 (ko
Inventor
이정호
Original Assignee
김영환
현대전자산업 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김영환, 현대전자산업 주식회사 filed Critical 김영환
Priority to KR1019990025434A priority Critical patent/KR100332108B1/ko
Priority to JP2000194453A priority patent/JP4992011B2/ja
Priority to US09/607,106 priority patent/US6406973B1/en
Priority to TW089112806A priority patent/TW448512B/zh
Publication of KR20010004720A publication Critical patent/KR20010004720A/ko
Priority to US10/044,965 priority patent/US6707062B2/en
Application granted granted Critical
Publication of KR100332108B1 publication Critical patent/KR100332108B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01KANIMAL HUSBANDRY; AVICULTURE; APICULTURE; PISCICULTURE; FISHING; REARING OR BREEDING ANIMALS, NOT OTHERWISE PROVIDED FOR; NEW BREEDS OF ANIMALS
    • A01K63/00Receptacles for live fish, e.g. aquaria; Terraria
    • A01K63/003Aquaria; Terraria
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01KANIMAL HUSBANDRY; AVICULTURE; APICULTURE; PISCICULTURE; FISHING; REARING OR BREEDING ANIMALS, NOT OTHERWISE PROVIDED FOR; NEW BREEDS OF ANIMALS
    • A01K63/00Receptacles for live fish, e.g. aquaria; Terraria
    • A01K63/04Arrangements for treating water specially adapted to receptacles for live fish
    • A01K63/042Introducing gases into the water, e.g. aerators, air pumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Marine Sciences & Fisheries (AREA)
  • Animal Husbandry (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 반도체 소자의 트랜지스터 및 그 제조 방법에 관한 것으로, 선택적 SiGe 에피 실리콘 성장 기술을 사용하여 엘리베이티드 채널 및 소오스/드레인을 갖는 새로운 듀얼 게이트 P+살리사이드 형성 기술에 관한 것이다. 본 발명은 전류 구동력 향상을 위해, 채널 부위에 Si/SiGe/Si의 엘리베이티드 채널 구조를 사용하여 버리드 SiGe층에 의해 유발된 응력이 캐리어 모빌리티를 증가시켜 전류 구동력을 증가시킬 수 있는 효과와 아울러, 미드-밴드 갭 재료인 폴리-SiGe의 일 함수 감소로 인한 전류 구동력 상승 효과를 동시에 얻을 수 있을 뿐만 아니라, SiGe에서 보론의 증가된 액티베이션으로 인해 향상된 게이트 폴리 디플리션 서프레션 효과로 개선된 쇼트 채널 효과를 가져다 주고, 접합부 부위는 버리드/엘리베이티드 SiGe 층을 형성하여 밴드 갭 엔지니어드 접합부를 형성하여 접합 누설 전류를 억제하는 효과 및 접합층에 도핑된 보론의 확산 억제를 통해 더욱 얕은 접합부를 얻을 수 있는 등의 하이 퍼포먼스 서페이스 채널-PMOS 살리사이드 제조에 관한 것이다.

Description

반도체 소자의 트랜지스터 및 그 제조 방법 {Transistor in a semiconductor device and method of manufacuring the same}
본 발명은 반도체 소자의 트랜지스터 및 그 제조 방법에 관한 것으로, 특히 채널을 Si/SiGe/Si의 엘리베이티드 채널(elevated channel) 구조로 형성하고, 접합부 부위에 버리드/엘리베이티드(buried/elevated) SiGe층을 형성하여, 트랜지스터의 전기적 특성을 향상시킬 수 있는 반도체 소자의 트랜지스터 및 그 제조 방법에 관한 것이다.
일반적으로, 반도체 소자가 고집적화, 소형화 및 고속화 되어감에 따라 개선된 쇼트 채널 효과(short channel effect; SCE), 향상된 핫 캐리어 서프레션(hot carrier suppression; HCS) 능력 및 향상된 전류 구동력을 갖는 트랜지스터가 요구되고 있다.
도 1a 내지 도 1d는 종래 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도이다.
도 1a를 참조하면, 실리콘 기판(11)에 소자 분리막(12)을 형성하여 액티브 영역(active region)과 필드 영역(field region)을 확정(define)한다. PMOS 트랜지스터 형성을 위해 N-웰(13)을 형성한다. 문턱 전압 조절을 위한 이온 주입을 실시한 후, 게이트 산화막(14) 및 게이트 전극(15)을 형성한다.
도 1b를 참조하면, 게이트 스페이서(16)를 형성한 후, 소오스/드레인 형성 및 게이트 도핑을 위해 이온주입을 실시하고, 이로 인하여 접합부(17)가 형성된다.
도 1c를 참조하면, 웨이퍼 전면에 걸쳐 Ti층(18)을 증착한 후, 1차 열처리하여 실리콘이 노출된 접합부(17) 및 게이트 전극(15) 부위에 TiSi2층(18a)을 형성한다.
도 1d를 참조하면, 1차 열처리에 의해 반응하지 않은 Ti층(18)을 습식 식각 공정으로 제거하고, 2차 열처리하여 TiSi2층(18a)을 완성한다.
상기한 바와 같이, TiSi2를 이용한 서페이스 채널-PMOS Ti-살리사이드 (surface channel PMOS Ti-salicide)형성 기술을 이용한 트랜지스터 제조는 하부 실리콘의 소모를 통해 TiSi2를 만드는 구조를 갖고 있다. 이 기술은 소자의 디자인 룰(device design rule)이 감소하면 할수록 필연적으로 요구되는 접합 깊이 감소를 고려해 볼 때 매우 불리해 진다. 단적으로, 0.13㎛이하의 디자인 룰을 갖는 소자에서, 접합 깊이는 PMOS의 경우 약 1000Å정도이며, 이때 약 300Å정도의 Ti를 증착하였을 때 기판 표면에서부터 약 200Å이상의 실리콘 손실을 요구하게 되는데, 이때 1E20이상으로 높게 도핑된 소자 접합 부위가 상당 부분 훼손되어 애초에 기대한 접합의 전류 구동력은 크게 감소하게 된다.
한편, 기존의 폴리실리콘을 사용하는 SC-PMOS 구조에서는 낮은 보론 액티베이션(activation)으로 인해 게이트 폴리 디플리션 효과(gate poly depletion effect; PDE)가 증가하게 되어, 약화된 게이트 전기장으로 인해 전류 구동력이 감소하게 된다.
따라서, 본 발명은 선택적 에피 실리콘 성장(selective epi-silicon growth; SEG)기술을 사용하여 채널이 Si/SiGe/Si의 엘리베이티드 채널(elevated channel) 구조가 되도록 하고, 접합부 부위에 버리드/엘리베이티드(buried/elevated) SiGe층이 형성 되도록 하여, 트랜지스터의 전기적 특성을 향상시킬 수 있는 반도체 소자의 트랜지스터 및 그 제조 방법을 제공함에 그 목적이 있다.
이러한 목적을 달성하기 위한 본 발명의 반도체 소자의 트랜지스터 제조 방법은 실리콘 기판에 소자 분리막을 형성한 후, 웰을 형성하는 단계; 선택적 에피 실리콘 성장 공정으로 제 1 Si층, SiGe층 및 제 2 Si층을 순차적으로 형성하고, 문턱 전압 조절을 위한 이온 주입 공정을 진행하는 단계; 상기 제 2 Si층의 표면에 게이트 산화막을 형성하고, 상기 게이트 산화막상에 게이트 폴리실리콘층 패턴을 형성하는 단계; 상기 게이트 폴리실리콘층 패턴의 양측에 게이트 스페이서를 형성한 후, 습식 실리콘 디핑 공정으로 게이트 폴리실리콘층 패턴을 식각하여 잔류 게이트 폴리실리콘층 패턴을 형성하는 단계; 세정 공정을 실시한 후, 선택적 SiGe 성장 공정을 실시하여 상기 SiGe층의 노출 부위에 에피-SiGe층을, 상기 잔류 게이트 폴리실리콘층 패턴의 노출 부위에 폴리-SiGe층을 동시에 형성하는 단계; 소오스/드레인 형성 및 게이트 도핑을 위해 이온주입을 실시한 후, 웨이퍼 전면에 걸쳐 Ti층을 증착하고, 1차 열처리후에 미반응 Ti층을 제거하고, 2차 열처리하여 상기 에피-SiGe층 및 폴리-SiGe층의 노출된 부위에 TiSi2층을 형성하고, 이로인하여 게이트 전극, 엘리베이티드 채널 및 버리드/엘리베이티드 접합부가 완성되는 단계를 포함하여 이루어지는 것을 특징으로 한다.
또한, 본 발명의 반도체 소자의 트랜지스터는 소자 분리막 및 웰이 형성된 실리콘 기판; 상기 실리콘 기판의 일부분상에 제 1 Si층, SiGe층 및 제 2 Si층이 적층되어 형성된 엘리베이티드 채널; 상기 채널상에 폴리실리콘층, 폴리-SiGe층 및 TiSi2층이 적층되어 형성되며, 게이트 산화막에 의해 상기 채널과 전기적으로 분리된 게이트 전극; 및 상기 게이트 전극의 양측에 제 1 Si층, SiGe층, 에피-SiGe층 및 TiSi2층이 적층되어 형성되며, 게이트 스페이서에 의해 상기 게이트 전극과 전기적으로 분리된 버리드/엘리베이티드 접합부를 포함하여 구성된 것을 특징으로 한다.
도 1a 내지 도 1d는 종래 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도.
도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도.
〈도면의 주요 부분에 대한 부호의 설명〉
11: 실리콘 기판 12: 소자 분리막
13: 웰 14: 게이트 산화막
15: 게이트 전극 16: 게이트 스페이서
17: 접합부 18: Ti층
18a: TiSi2층 21: 실리콘 기판
22: 소자 분리막 23: 웰
24: 제 1 Si층 25: SiGe층
26: 제 2 Si층 27: 게이트 산화막
28: 게이트 폴리실리콘층 패턴 28a: 잔류 게이트 폴리실리콘층 패턴
29: 게이트 스페이서 30: 에피-SiGe층
31: 폴리-SiGe층 32: TiSi2
100: 접합부 200: 채널
300: 게이트 전극
이하, 본 발명을 첨부된 도면을 참조하여 상세히 설명하기로 한다.
도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도이다.
도 2a를 참조하면, 실리콘 기판(21)에 소자 분리막(22)을 형성하여 액티브 영역(active region)과 필드 영역(field region)을 확정(define)한 후, 웰(23)을 형성한다. 1차 세정 공정으로 자연 산화막(native oxide film)을 제거한 후, 엘리베이티드 채널 형성을 위한 선택적 에피 실리콘 성장(SEG) 공정으로 제 1 Si층(24), SiGe층(25) 및 제 2 Si층(26)을 순차적으로 형성하고, 문턱 전압 조절을 위한 이온 주입 공정을 진행한다.
상기에서, 웰(23)은 PMOS 트랜지스터일 경우 1E13 내지 3E13 도우즈의 포스포러스(P)를 800 내지 1200KeV의 에너지로 이온 주입한 후에, 반응로(furnace)에서 약 950℃의 온도에서 30분 정도 열처리하여 도판트를 활성화시켜 형성한다.
1차 세정 공정은 익스-시튜(ex-situ) 세정 공정과 에피 실리콘 장비 내에서 진행하는 인-시튜(in-situ) 세정 공정을 실시한다. 익스-시튜 세정 공정은 RCA 클리닝이나 UV 오존 클리닝과 HF 딥핑의 혼합으로 실시한다. 인-시튜 세정은 제 1 Si층(24)을 형성하기 전에 1 내지 5분 동안 800 내지 900℃의 하이드로겐 베이크(hydrogen bake)를 실시하여 산화막 생성을 방지한다.
엘리베이티드 채널 형성을 위한 선택적 에피 실리콘 성장 공정은 고진공화학기상증착법(UHVCVD)이나 저압화학기상증착법(LPCVD)으로 선택적으로 실리콘 기판(21) 표면이 노출된 부위에만 형성하며, 제 1 Si층(24), SiGe층(25) 및 제 2 Si층(26)은 각각은 50 내지 150Å의 두께로 순차적으로 형성한다. 선택적 에피 성장 공정은 저압화학기상증착법의 경우, 그 증착 조건으로 증착 가스는 DCS와 HCl을 사용하고, 증착시 DCS는 30 내지 300 sccm을 , HCl은 30 내지 200 sccm으로 하며, 이때의 증착 압력은 10 내지 50 torr 정도로 하며, 증착 온도는 750 내지 950℃로 한다. 고진공화학기상증착법의 경우, 증착 가스는 SiH4나 Si2H6를 사용하며, 증착 압력은 1 torr 미만에서 600 내지 750℃에서 실시한다. 이러한 조건으로 선택적 에피 실리콘 성장 공정을 진행하되, SiGe층(25) 형성 공정시에 Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어준다.
문턱 전압 조절을 위한 이온 주입 공정은 PMOS 트랜지스터일 경우 1E11 내지 5E12 도우즈의 포스포러스(P) 혹은 아세닉(As)을 50 내지 150KeV의 에너지로 이온 주입한다.
도 2b를 참조하면, 제 2 Si층(26)의 표면에 게이트 산화막(27)을 형성하고, 게이트 폴리실리콘층을 증착한 후, 게이트 전극용 마스크를 사용한 건식 식각 공정으로 게이트 폴리실리콘층 패턴(28)을 형성한다.
상기에서, 게이트 폴리실리콘층의 증착 두께는 500 내지 2000Å으로 하며, 그 적정 두께는 1000Å정도이다.
도 2c를 참조하면, 게이트 폴리실리콘층 패턴(28)의 양측에 게이트 스페이서(29)를 형성한 후, 습식 실리콘 디핑(wet silicon dipping) 공정으로 게이트 폴리실리콘층 패턴(28)을 일정 두께만큼 식각하여 잔류 게이트 폴리실리콘층 패턴(28a)을 형성하며, 이때, 노출된 제 2 Si층(26)은 제거된다.
상기에서, 게이트 스페이서(29)는 질화막을 200 내지 800Å의 두께로 증착한 후, 전면 건식 식각 공정을 통해 형성된다. 습식 실리콘 디핑 공정은 초산, 질산, 불산의 혼합 용액으로 이루어진 식각 용액을 사용하며, 그 조성비는 제 2 Si층(26)식각에 대한 게이트 폴리실리콘층의 비율이 약 1 : 1.5 정도가 되도록 선택한다. 습식 실리콘 디핑 공정 동안 제 2 Si층(26)의 노출된 부위만 식각되며, 하부층인 SiGe층(25)은 식각 스톱층(etch stop layer) 역할을 한다. 따라서, 식각 시간은 게이트 폴리실리콘층 패턴(28)이 약 500 내지 800Å정도 식각되도록 설정하여, 식각후 잔류하는 잔류 게이트 폴리실리콘층 패턴(28a)이 50 내지 200Å정도가 되도록 조절한다.
도 2d를 참조하면, 2차 세정 공정으로 자연 산화막(native oxide film)을 제거한 후, 선택적 SiGe 성장 공정을 실시하여 SiGe층(25)의 노출 부위에 에피-SiGe층(30)을, 잔류 게이트 폴리실리콘층 패턴(28a)의 노출 부위에 폴리-SiGe층(31)을 동시에 형성한다.
상기에서, 2차 세정 공정은 익스-시튜(ex-situ) 세정 공정과 에피 실리콘 장비 내에서 진행하는 인-시튜(in-situ) 세정 공정을 실시한다. 익스-시튜 세정 공정은 RCA 클리닝이나 UV 오존 클리닝과 HF 딥핑의 혼합으로 실시한다. 인-시튜 세정은 에피-SiGe층(30) 및 폴리-SiGe층(31)을 형성하기 전에 1 내지 5분 동안 800 내지 900℃의 하이드로겐 베이크(hydrogen bake)를 실시하여 산화막 생성을 방지한다.
선택적 SiGe 성장 공정은 저압화학기상증착법의 경우, 그 증착 조건으로 증착 가스는 DCS와 HCl을 사용하고, 증착시 DCS는 30 내지 300 sccm을 , HCl은 30 내지 200 sccm으로 하며, Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어준다. 이때의 증착 압력은 10 내지 50 torr 정도로 하며, 증착 온도는 750 내지 950℃로 한다. 고진공화학기상증착법의 경우, 증착 가스는 SiH4나 Si2H6를 사용하며, Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어준다. 이때의 증착 압력은 1 torr 미만에서 600 내지 750℃에서 실시한다. 이러한 조건으로 형성되는 에피-SiGe층(30) 및 폴리-SiGe층(31)의 두께는 500 내지 1000Å 정도이다.
도 2e를 참조하면, 소오스/드레인 형성 및 게이트 도핑을 위해 이온주입을 실시하고, 웨이퍼 전면에 걸쳐 Ti층을 증착한 후, 1차 열처리하여 에피-SiGe층(30) 및 폴리-SiGe층(31)의 노출된 부위에 TiSi2층(32)을 형성하고, 1차 열처리에 의해 반응하지 않은 Ti층을 습식 식각 공정으로 제거한 후, 2차 열처리하여 TiSi2층(32)을 완성한다. 이로인하여 제 1 Si층(24), SiGe층(25), 에피-SiGe층(30) 및 TiSi2층(32)이 적층된 버리드/엘리베이티드 접합부(100)가 형성되고, 제 1 Si층(24), SiGe층(25) 및 제 2 Si층(26))이 적층된 엘리베이티드 채널(200)이 정의(define)되며, 잔류 게이트 폴리실리콘층 패턴(28a), 폴리-SiGe층(31) 및 TiSi2층(32)이 적층된 게이트 전극(300)이 형성된다.
상기에서, 소오스/드레인 형성 및 게이트 도핑을 위한 이온주입은 1E15 내지 1E16의 도우즈의 BF2이온을 10 내지 40KeV의 에너지로 주입한다. TiSi2층(32)은 Ti층을 100 내지 300Å의 두께로 증착한 후, 500 내지 700℃의 온도에서 1차로 급속 열처리(RTA)하고, SC-1 등의 습식 식각 용액을 사용하여 반응하지 않은 Ti층을 제거한 후, 750 내지 850℃의 온도에서 2차로 급속 열처리하여 형성한다.
상기한 본 발명의 실시예는 선택적 SiGe 에피 실리콘 성장 기술을 사용하여 엘리베이티드 채널 및 소오스/드레인(elevated channel and source/drain)을 갖는 새로운 듀얼 게이트 P+살리사이드(dual gate P+salicide) 형성 기술에 관한 것이다. 본 발명은 전류 구동력 향상을 위해, 채널 부위에 Si/SiGe/Si의 엘리베이티드 채널 구조를 사용하여 버리드 SiGe층에 의해 유발된 응력이 캐리어 모빌리티(carrier mobility)를 증가시켜 전류 구동력을 증가시킬 수 있는 효과와 아울러, 미드-밴드 갭(mid-band gap) 재료인 폴리-SiGe의 일 함수(work function) 감소로 인한 전류 구동력 상승 효과를 동시에 얻을 수 있을 뿐만 아니라, SiGe에서 보론의 증가된 액티베이션으로 인해 향상된 게이트 폴리 디플리션 서프레이션(gate poly depletion suppression) 효과로 개선된 쇼트 채널 효과를 가져다 주고, 접합부 부위는 버리드/엘리베이티드 SiGe층을 형성하여 밴드 갭 엔지니어드 접합부 (band gap engineered junction)를 형성하여 접합 누설 전류를 억제하는 효과 및 접합층에 도핑된 보론의 확산 억제를 통해 더욱 얕은 접합부를 얻을 수 있는 등 하이 퍼포먼스 서페이스 채널-PMOS 살리사이드(high performance suface channel PMOS salicide) 제조에 관하여 기술된다.
한편, 전술한 본 발명의 실시예에 의한 원리는 NMOS 트랜지스터에도 적용할 수 있다.
상술한 바와 같이, 본 발명은 Si/SiGe/Si의 엘리베이티드 채널 구조를 채택하여 버리드 SiGe층에 의해 유발된 응력이 캐리어 모빌리티를 증가시켜 전류 구동력을 증가시킬 수 있음은 물론, 미드-밴드 갭 재료인 폴리-SiGe를 적용하여 폴리실리콘에 비해 감소된 일 함수로 인해 전류 구동력 증가 효과를 극대화시킬 수 있는 효과가 있다. 또한, 폴리-SiGe에서의 보론의 더욱 높은 액티베이션 특성으로 인해 SC-PMOS를 사용함에도 불구하고 매우 우수한 폴리 디플리션 억제 효과로 인해 개선된 SCE를 얻을 수 있다. 게다가 접합부는 버리드/엘리베이티드 SiGe 접합층을 형성하여 밴드 갭 엔지니어드 접합부를 형성하여 접합 누설 전류를 억제하는 효과 및 접합층에 도핑된 보론의 확산 억제를 통해 더욱 얕은 접합부를 얻을 수 있다.

Claims (23)

  1. 실리콘 기판에 소자 분리막을 형성한 후, 웰을 형성하는 단계;
    1차 세정 공정을 실시한 후, 선택적 에피 실리콘 성장 공정으로 제 1 Si층, SiGe층 및 제 2 Si층을 순차적으로 형성하고, 문턱 전압 조절을 위한 이온 주입 공정을 진행하는 단계;
    상기 제 2 Si층의 표면에 게이트 산화막을 형성하고, 상기 게이트 산화막상에 게이트 폴리실리콘층 패턴을 형성하는 단계;
    상기 게이트 폴리실리콘층 패턴의 양측에 게이트 스페이서를 형성한 후, 습식 실리콘 디핑 공정으로 게이트 폴리실리콘층 패턴을 식각하여 잔류 게이트 폴리실리콘층 패턴을 형성하는 단계;
    2차 세정 공정을 실시한 후, 선택적 SiGe 성장 공정을 실시하여 상기 SiGe층의 노출 부위에 에피-SiGe층을, 상기 잔류 게이트 폴리실리콘층 패턴의 노출 부위에 폴리-SiGe층을 동시에 형성하는 단계;
    소오스/드레인 형성 및 게이트 도핑을 위해 이온주입을 실시한 후, 웨이퍼 전면에 걸쳐 Ti층을 증착하고, 1차 열처리후에 미반응 Ti층을 제거하고, 2차 열처리하여 상기 에피-SiGe층 및 폴리-SiGe층의 노출된 부위에 TiSi2층을 형성하고, 이로인하여 게이트 전극, 엘리베이티드 채널 및 버리드/엘리베이티드 접합부가 완성되는 단계를 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  2. 제 1 항에 있어서,
    상기 웰은 1E13 내지 3E13 도우즈의 포스포러스(P)를 800 내지 1200KeV의 에너지로 이온 주입한 후에, 반응로에서 약 950℃의 온도에서 30분 정도 열처리하여 도판트를 활성화시켜 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  3. 제 1 항에 있어서,
    상기 선택적 에피 실리콘 성장 공정은 고진공화학기상증착법이나 저압화학기상증착법으로 실시하여 상기 제 1 Si층, SiGe층 및 제 2 Si층 각각을 50 내지 150Å의 두께로 형성되도록 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  4. 제 1 항 또는 제 3 항에 있어서,
    상기 제 1 Si층 및 제 2 Si층은 상기 선택적 에피 실리콘 성장 공정을 저압화학기상증착법으로 하여 형성할 경우, 그 증착 조건으로 증착 가스는 DCS와 HCl을 사용하고, 증착시 DCS는 30 내지 300 sccm을 , HCl은 30 내지 200 sccm으로 하며, 이때의 증착 압력은 10 내지 50 torr 정도로 하며, 증착 온도는 750 내지 950℃로 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  5. 제 1 항 또는 제 3 항에 있어서,
    상기 제 1 Si층 및 제 2 Si층은 상기 선택적 에피 실리콘 성장 공정을 고진공화학기상증착법으로 하여 형성할 경우, 증착 가스는 SiH4나 Si2H6를 사용하며, 증착 압력은 1 torr 미만으로 하며, 증착 온도는 600 내지 750℃로 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  6. 제 1 항 또는 제 3 항에 있어서,
    상기 SiGe층은 상기 선택적 에피 실리콘 성장 공정을 저압화학기상증착법으로 하여 형성할 경우, 그 증착 조건으로 증착 가스는 DCS와 HCl을 사용하고, 증착시 DCS는 30 내지 300 sccm을 , HCl은 30 내지 200 sccm으로 하며, Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어주며, 이때의 증착 압력은 10 내지 50 torr 정도로 하며, 증착 온도는 750 내지 950℃로 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  7. 제 1 항 또는 제 3 항에 있어서,
    상기 SiGe층은 상기 선택적 에피 실리콘 성장 공정을 고진공화학기상증착법으로 하여 형성할 경우, 증착 가스는 SiH4나 Si2H6를 사용하며, Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어주며, 증착 압력은 1 torr 미만으로 하며, 증착 온도는 600 내지 750℃로 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  8. 제 1 항에 있어서,
    문턱 전압 조절을 위한 이온 주입 공정은 1E11 내지 5E12 도우즈의 포스포러스(P) 혹은 아세닉(As)을 50 내지 150KeV의 에너지로 하여 실시하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  9. 제 1 항에 있어서,
    상기 게이트 폴리실리콘층 패턴은 게이트 폴리실리콘층을 500 내지 2000Å의 두께로 증착한 후, 건식 식각공정에 의해 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  10. 제 1 항에 있어서,
    상기 게이트 스페이서는 질화막을 200 내지 800Å의 두께로 증착한 후, 전면 건식 식각 공정을 통해 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  11. 제 1 항에 있어서,
    상기 습식 실리콘 디핑 공정은 초산, 질산, 불산의 혼합 용액으로 이루어진 식각 용액을 사용하며, 그 조성비는 상기 제 2 Si층식각에 대한 게이트 폴리실리콘층의 비율이 약 1 : 1.5 정도가 되도록 선택하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  12. 제 1 항에 있어서,
    상기 습식 실리콘 디핑 공정은 상기 게이트 폴리실리콘층 패턴이 500 내지 800Å정도 식각되도록 설정하여, 식각후 잔류하는 상기 잔류 게이트 폴리실리콘층 패턴이 50 내지 200Å정도가 되도록 조절하며, 이때, 상기 제 2 Si층의 노출된 부위는 제거되고, 하부층인 상기 SiGe층은 식각 스톱층 역할을 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  13. 제 1 항에 있어서,
    상기 1차 및 2차 세정 공정은 익스-시튜 세정 공정과 에피 실리콘 장비 내에서 진행하는 인-시튜 세정 공정으로 실시하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  14. 제 13 항에 있어서,
    상기 익스-시튜 세정 공정은 RCA 클리닝이나 UV 오존 클리닝과 HF 딥핑의 혼합으로 실시하고, 상기 인-시튜 세정은 상기 에피 실리콘층을 형성하기 전에 1 내지 5분 동안 800 내지 900℃의 하이드로겐 베이크를 실시하여 산화막 생성을 방지하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  15. 제 1 항에 있어서,
    상기 선택적 SiGe 성장 공정은 고진공화학기상증착법이나 저압화학기상증착법으로 실시하여 상기 에피-SiGe층 및 폴리-SiGe층이 500 내지 1000Å의 두께로 형성되도록 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  16. 제 1 항 또는 제 15 항에 있어서,
    상기 에피-SiGe층 및 폴리-SiGe층은 상기 선택적 SiGe 성장 공정을 저압화학기상증착법으로 하여 형성할 경우, 그 증착 조건으로 증착 가스는 DCS와 HCl을 사용하고, 증착시 DCS는 30 내지 300 sccm을 , HCl은 30 내지 200 sccm으로 하며, Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어주며, 이때의 증착 압력은 10 내지 50 torr 정도로 하며, 증착 온도는 750 내지 950℃로 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  17. 제 1 항 또는 제 15 항에 있어서,
    상기 에피-SiGe층 및 폴리-SiGe층은 상기 선택적 SiGe 성장 공정을 고진공화학기상증착법으로 하여 형성할 경우, 증착 가스는 SiH4나 Si2H6를 사용하며, Ge 도핑을 위해 GeH4를 30 내지 300sccm정도 함께 넣어주며, 증착 압력은 1 torr 미만으로 하며, 증착 온도는 600 내지 750℃로 하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  18. 제 1 항에 있어서,
    상기 버리드/엘리베이티드 접합부는 상기 제 1 Si층, SiGe층, 에피-SiGe층 및 TiSi2층이 적층된 구조를 갖는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  19. 제 1 항에 있어서,
    상기 엘리베이티드 채널은 상기 제 1 Si층, SiGe층 및 제 2 Si층이 적층된 구조를 갖는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  20. 제 1 항에 있어서,
    상기 게이트 전극은 상기 잔류 게이트 폴리실리콘층 패턴, 폴리-SiGe층 및 TiSi2층이 적층된 구조를 갖는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  21. 제 1 항에 있어서,
    상기 소오스/드레인 형성 및 게이트 도핑을 위한 이온주입은 1E15 내지 1E16의 도우즈의 BF2이온을 10 내지 40KeV의 에너지로 주입하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  22. 제 1 항에 있어서,
    상기 TiSi2층은 상기 Ti층을 100 내지 300Å의 두께로 증착한 후, 500 내지 700℃의 온도에서 1차로 급속 열처리하고, SC-1 와 같은 습식 식각 용액을 사용하여 반응하지 않은 Ti층을 제거한 후, 750 내지 850℃의 온도에서 2차로 급속 열처리하여 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.
  23. 소자 분리막 및 웰이 형성된 실리콘 기판;
    상기 실리콘 기판의 일부분상에 제 1 Si층, SiGe층 및 제 2 Si층이 적층되어 형성된 엘리베이티드 채널;
    상기 채널상에 폴리실리콘층, 폴리-SiGe층 및 TiSi2층이 적층되어 형성되며, 게이트 산화막에 의해 상기 채널과 전기적으로 분리된 게이트 전극; 및
    상기 게이트 전극의 양측에 제 1 Si층, SiGe층, 에피-SiGe층 및 TiSi2층이 적층되어 형성되며, 게이트 스페이서에 의해 상기 게이트 전극과 전기적으로 분리된 버리드/엘리베이티드 접합부를 포함하여 구성된 것을 특징으로 하는 반도체 소자의 트랜지스터.
KR1019990025434A 1999-06-29 1999-06-29 반도체 소자의 트랜지스터 및 그 제조 방법 KR100332108B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1019990025434A KR100332108B1 (ko) 1999-06-29 1999-06-29 반도체 소자의 트랜지스터 및 그 제조 방법
JP2000194453A JP4992011B2 (ja) 1999-06-29 2000-06-28 半導体素子のトランジスタの製造方法
US09/607,106 US6406973B1 (en) 1999-06-29 2000-06-29 Transistor in a semiconductor device and method of manufacturing the same
TW089112806A TW448512B (en) 1999-06-29 2000-06-29 Transistor in a semiconductor device and method of manufacturing the same
US10/044,965 US6707062B2 (en) 1999-06-29 2002-01-15 Transistor in a semiconductor device with an elevated channel and a source drain

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990025434A KR100332108B1 (ko) 1999-06-29 1999-06-29 반도체 소자의 트랜지스터 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20010004720A true KR20010004720A (ko) 2001-01-15
KR100332108B1 KR100332108B1 (ko) 2002-04-10

Family

ID=19597165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990025434A KR100332108B1 (ko) 1999-06-29 1999-06-29 반도체 소자의 트랜지스터 및 그 제조 방법

Country Status (4)

Country Link
US (2) US6406973B1 (ko)
JP (1) JP4992011B2 (ko)
KR (1) KR100332108B1 (ko)
TW (1) TW448512B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1231643A2 (en) * 2001-02-09 2002-08-14 President of Tohoku University MOS field-effect transistor comprising Si and SiGe layers or Si and SiGeC layers as channel regions
KR100611783B1 (ko) * 2000-05-23 2006-08-11 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100612420B1 (ko) * 2004-10-20 2006-08-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100712535B1 (ko) * 2005-09-26 2007-04-27 삼성전자주식회사 측부 성장을 억제할 수 있는 선택적 에피택셜 성장층을갖는 반도체 소자 및 그 제조방법
KR100781429B1 (ko) * 2005-06-09 2007-12-03 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
KR100823109B1 (ko) * 2005-07-13 2008-04-18 세이코 엡슨 가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6762463B2 (en) * 2001-06-09 2004-07-13 Advanced Micro Devices, Inc. MOSFET with SiGe source/drain regions and epitaxial gate dielectric
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
TWI284348B (en) * 2002-07-01 2007-07-21 Macronix Int Co Ltd Method for fabricating raised source/drain of semiconductor device
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US7229919B2 (en) * 2003-01-08 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a random grained polysilicon layer and a method for its manufacture
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6909186B2 (en) 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
KR100487564B1 (ko) 2003-07-07 2005-05-03 삼성전자주식회사 높여진 소오스/드레인 영역을 갖는 반도체 소자 및 그제조방법
US6815770B1 (en) * 2003-08-14 2004-11-09 United Microelectronics Corp. MOS transistor having reduced source/drain extension sheet resistance
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6869866B1 (en) 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
KR100553703B1 (ko) * 2003-10-01 2006-02-24 삼성전자주식회사 반도체 소자 및 그 형성 방법
US6927454B2 (en) * 2003-10-07 2005-08-09 International Business Machines Corporation Split poly-SiGe/poly-Si alloy gate stack
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel
US20050090082A1 (en) * 2003-10-28 2005-04-28 Texas Instruments Incorporated Method and system for improving performance of MOSFETs
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US6995065B2 (en) 2003-12-10 2006-02-07 International Business Machines Corporation Selective post-doping of gate structures by means of selective oxide growth
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US20050156329A1 (en) * 2003-12-24 2005-07-21 Sheen Charng G. Semiconductor device by embedded package
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7118999B2 (en) 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7923782B2 (en) 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US6881635B1 (en) * 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US7226834B2 (en) * 2004-04-19 2007-06-05 Texas Instruments Incorporated PMD liner nitride films and fabrication methods for improved NMOS performance
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7365015B2 (en) * 2004-07-13 2008-04-29 Lsi Logic Corporation Damascene replacement metal gate process with controlled gate profile and length using Si1-xGex as sacrificial material
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7217626B2 (en) * 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7012028B2 (en) * 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
US7115955B2 (en) * 2004-07-30 2006-10-03 International Business Machines Corporation Semiconductor device having a strained raised source/drain
JP5203558B2 (ja) * 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
KR100547934B1 (ko) * 2004-08-20 2006-01-31 삼성전자주식회사 트랜지스터 및 그의 제조 방법
JP4500133B2 (ja) * 2004-08-26 2010-07-14 株式会社ルネサステクノロジ スタティック・ランダム・アクセス・メモリ
EP1638149B1 (fr) * 2004-09-15 2011-11-23 STMicroelectronics (Crolles 2) SAS Procédé de fabrication d'un transistor à effet de champ à grille isolée à canal à hétérostructure
US7129127B2 (en) * 2004-09-24 2006-10-31 Texas Instruments Incorporated Integration scheme to improve NMOS with poly cap while mitigating PMOS degradation
US7172936B2 (en) * 2004-09-24 2007-02-06 Texas Instruments Incorporated Method to selectively strain NMOS devices using a cap poly layer
KR100639679B1 (ko) * 2004-11-26 2006-10-30 삼성전자주식회사 매립 절연막을 구비하는 트랜지스터의 제조방법 및 그에의하여 제조된 트랜지스터
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
US7238565B2 (en) 2004-12-08 2007-07-03 International Business Machines Corporation Methodology for recovery of hot carrier induced degradation in bipolar devices
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060151808A1 (en) * 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP4481181B2 (ja) * 2005-01-27 2010-06-16 富士通マイクロエレクトロニクス株式会社 半導体装置およびその製造方法
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
WO2006103321A1 (fr) * 2005-04-01 2006-10-05 Stmicroelectronics (Crolles 2) Sas Transistor pmos a canal contraint et procede de fabrication correspondant
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7586158B2 (en) * 2005-07-07 2009-09-08 Infineon Technologies Ag Piezoelectric stress liner for bulk and SOI
KR100618313B1 (ko) * 2005-07-20 2006-09-05 한국과학기술원 융기된 소스/드레인 구조를 갖는 모스 트랜지스터 및 이의제조 방법
US7462524B1 (en) * 2005-08-16 2008-12-09 Advanced Micro Devices, Inc. Methods for fabricating a stressed MOS device
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7491615B2 (en) * 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
CN100411146C (zh) * 2005-12-06 2008-08-13 联华电子股份有限公司 制作应变硅互补式金属氧化物半导体晶体管的方法
KR100647457B1 (ko) * 2005-12-09 2006-11-23 한국전자통신연구원 반도체 소자 및 그 제조방법
KR100811267B1 (ko) * 2005-12-22 2008-03-07 주식회사 하이닉스반도체 반도체소자의 듀얼게이트 형성방법
KR100713924B1 (ko) * 2005-12-23 2007-05-07 주식회사 하이닉스반도체 돌기형 트랜지스터 및 그의 형성방법
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7863197B2 (en) * 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
DE602006019940D1 (de) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Herstellung eines flachen leitenden Kanals aus SiGe
US7338834B2 (en) * 2006-03-17 2008-03-04 Acorn Technologies, Inc. Strained silicon with elastic edge relaxation
US7323392B2 (en) * 2006-03-28 2008-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
JP5076367B2 (ja) * 2006-06-07 2012-11-21 ソニー株式会社 半導体装置およびその製造方法
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7755171B2 (en) * 2006-07-24 2010-07-13 International Business Machines Corporation Transistor structure with recessed source/drain and buried etch stop layer and related method
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7998821B2 (en) 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
CN101226899A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 在硅凹陷中后续外延生长应变硅mos晶片管的方法和结构
CN101641792B (zh) * 2007-02-22 2012-03-21 富士通半导体股份有限公司 半导体器件及其制造方法
US9136329B2 (en) 2007-04-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with dielectric-sealed doped region
US8415749B2 (en) * 2007-04-19 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with dielectric-sealed doped region
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
WO2009008082A1 (ja) * 2007-07-12 2009-01-15 Fujitsu Microelectronics Limited 半導体デバイス及び半導体デバイスの製造方法
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
JP4950810B2 (ja) * 2007-08-28 2012-06-13 旭化成エレクトロニクス株式会社 半導体装置
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
JP2009182264A (ja) 2008-01-31 2009-08-13 Toshiba Corp 半導体装置およびその製造方法
US8454653B2 (en) * 2008-02-20 2013-06-04 Covidien Lp Compound barb medical device and method
US8674434B2 (en) 2008-03-24 2014-03-18 Micron Technology, Inc. Impact ionization devices
US7700416B1 (en) 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
US7851325B1 (en) 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
CN101752313B (zh) * 2008-12-04 2012-10-03 上海华虹Nec电子有限公司 一种具有自对准接触孔的表面沟道pmos器件及制作方法
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
JP2011129803A (ja) * 2009-12-21 2011-06-30 Elpida Memory Inc シリコン層の形成方法、半導体装置の製造方法
US8765532B2 (en) * 2010-01-11 2014-07-01 International Business Machines Corporation Fabrication of field effect devices using spacers
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
JP5236676B2 (ja) * 2010-03-18 2013-07-17 ルネサスエレクトロニクス株式会社 スタティック・ランダム・アクセス・メモリ
US8361867B2 (en) * 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
KR20110106688A (ko) * 2010-03-23 2011-09-29 삼성전자주식회사 비휘발성 메모리 소자
CN101847582B (zh) * 2010-04-16 2012-05-30 清华大学 半导体结构的形成方法
US9059201B2 (en) 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8361868B2 (en) 2010-04-28 2013-01-29 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US8395213B2 (en) 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US20120139014A1 (en) * 2010-12-01 2012-06-07 International Business Machines Corporation Structure and method for low temperature gate stack for advanced substrates
JP5431372B2 (ja) 2011-01-05 2014-03-05 株式会社東芝 半導体装置およびその製造方法
TWI438850B (zh) * 2011-05-06 2014-05-21 Au Optronics Corp 開關元件
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US9862183B2 (en) 2011-12-09 2018-01-09 Hewlett-Packard Development Company, L.P. Printhead waveform voltage amplifier
US8759916B2 (en) 2012-01-27 2014-06-24 International Business Machines Corporation Field effect transistor and a method of forming the transistor
WO2014178422A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング液およびエッチング液のキット、これを用いたエッチング方法および半導体基板製品の製造方法
US9412842B2 (en) 2013-07-03 2016-08-09 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
CN103413758B (zh) * 2013-07-17 2017-02-08 华为技术有限公司 半导体鳍条的制作方法、FinFET器件的制作方法
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
CN111384156B (zh) * 2020-01-21 2021-08-03 中国科学院微电子研究所 C形沟道部半导体器件及其制造方法及包括其的电子设备
CN113224158A (zh) 2020-02-04 2021-08-06 联芯集成电路制造(厦门)有限公司 半导体晶体管及其制作方法
CN116013962B (zh) * 2023-03-24 2023-07-18 合肥晶合集成电路股份有限公司 半导体器件的制备方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54158880A (en) 1978-06-06 1979-12-15 Matsushita Electric Ind Co Ltd Compound semiconductor device and its manufacture
US5032538A (en) 1979-08-10 1991-07-16 Massachusetts Institute Of Technology Semiconductor embedded layer technology utilizing selective epitaxial growth methods
US4738937A (en) 1985-10-22 1988-04-19 Hughes Aircraft Company Method of making ohmic contact structure
US4728623A (en) 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR880010481A (ko) 1987-02-21 1988-10-10 강진구 액상 박막 결정 성장방법 및 장치
US5322814A (en) 1987-08-05 1994-06-21 Hughes Aircraft Company Multiple-quantum-well semiconductor structures with selective electrical contacts and method of fabrication
US5594280A (en) 1987-10-08 1997-01-14 Anelva Corporation Method of forming a thin film and apparatus of forming a metal thin film utilizing temperature controlling means
JPH01105529A (ja) 1987-10-19 1989-04-24 Toshiba Corp 半導体装置の製造方法
JPH0237745A (ja) 1988-07-28 1990-02-07 Oki Electric Ind Co Ltd 半導体装置の製造方法
US5030583A (en) 1988-12-02 1991-07-09 Advanced Technolgy Materials, Inc. Method of making single crystal semiconductor substrate articles and semiconductor device
JPH02260667A (ja) 1989-03-31 1990-10-23 Mitsubishi Electric Corp シリコン基板上化合物半導体太陽電池およびその作製方法
US5378652A (en) 1989-04-19 1995-01-03 Kabushiki Kaisha Toshiba Method of making a through hole in multi-layer insulating films
KR920008886B1 (ko) 1989-05-10 1992-10-10 삼성전자 주식회사 디램셀 및 그 제조방법
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
US6004137A (en) * 1991-01-10 1999-12-21 International Business Machines Corporation Method of making graded channel effect transistor
JP2656397B2 (ja) 1991-04-09 1997-09-24 三菱電機株式会社 可視光レーザダイオードの製造方法
JPH05145068A (ja) * 1991-11-21 1993-06-11 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP3229012B2 (ja) 1992-05-21 2001-11-12 株式会社東芝 半導体装置の製造方法
US5633201A (en) 1992-11-30 1997-05-27 Hyundai Electronics Industries, Co., Ltd. Method for forming tungsten plugs in contact holes of a semiconductor device
US5322802A (en) 1993-01-25 1994-06-21 North Carolina State University At Raleigh Method of fabricating silicon carbide field effect transistor
EP0671770B1 (en) 1993-02-09 2000-08-02 GENERAL SEMICONDUCTOR, Inc. Multilayer epitaxy for a silicon diode
KR100320364B1 (ko) 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
JPH06296060A (ja) 1993-04-08 1994-10-21 Mitsubishi Electric Corp 半導体可視光レーザダイオードの製造方法
JP3009979B2 (ja) * 1993-07-05 2000-02-14 シャープ株式会社 半導体装置及びその製造方法
JPH07201740A (ja) * 1993-12-28 1995-08-04 Toshiba Corp エピタキシャル成長方法
US5494837A (en) 1994-09-27 1996-02-27 Purdue Research Foundation Method of forming semiconductor-on-insulator electronic devices by growing monocrystalline semiconducting regions from trench sidewalls
JP2586345B2 (ja) 1994-10-14 1997-02-26 日本電気株式会社 コバルトシリサイド膜より成る半導体装置及び該装置の製造方法
US5677219A (en) 1994-12-29 1997-10-14 Siemens Aktiengesellschaft Process for fabricating a DRAM trench capacitor
JP3329128B2 (ja) * 1995-03-28 2002-09-30 ソニー株式会社 半導体装置の製造方法
JP2778553B2 (ja) * 1995-09-29 1998-07-23 日本電気株式会社 半導体装置およびその製造方法
JP3160205B2 (ja) * 1996-09-02 2001-04-25 科学技術振興事業団 半導体装置の製造方法およびその製造装置
JP3262747B2 (ja) * 1996-09-17 2002-03-04 松下電器産業株式会社 半導体装置及びその製造方法
JPH1093076A (ja) * 1996-09-18 1998-04-10 Oki Electric Ind Co Ltd Mos型電界効果トランジスタおよびmos型電界効果トランジスタの製造方法
JP2964960B2 (ja) 1996-09-27 1999-10-18 日本電気株式会社 半導体装置およびその製造方法
JP2877104B2 (ja) * 1996-10-21 1999-03-31 日本電気株式会社 半導体装置の製造方法
US5804470A (en) 1996-10-23 1998-09-08 Advanced Micro Devices, Inc. Method of making a selective epitaxial growth circuit load element
US5773350A (en) 1997-01-28 1998-06-30 National Semiconductor Corporation Method for forming a self-aligned bipolar junction transistor with silicide extrinsic base contacts and selective epitaxial grown intrinsic base
JP3628472B2 (ja) * 1997-04-01 2005-03-09 沖電気工業株式会社 Mosfet及びその製造方法
DE19720008A1 (de) * 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
JP3457532B2 (ja) * 1997-07-01 2003-10-20 株式会社東芝 半導体装置の製造方法
JPH1197519A (ja) 1997-09-17 1999-04-09 Sony Corp 半導体装置の製造方法
US6187641B1 (en) * 1997-12-05 2001-02-13 Texas Instruments Incorporated Lateral MOSFET having a barrier between the source/drain region and the channel region using a heterostructure raised source/drain region
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100611783B1 (ko) * 2000-05-23 2006-08-11 주식회사 하이닉스반도체 반도체 소자의 제조 방법
EP1231643A2 (en) * 2001-02-09 2002-08-14 President of Tohoku University MOS field-effect transistor comprising Si and SiGe layers or Si and SiGeC layers as channel regions
EP1231643A3 (en) * 2001-02-09 2004-10-06 President of Tohoku University MOS field-effect transistor comprising Si and SiGe layers or Si and SiGeC layers as channel regions
KR100612420B1 (ko) * 2004-10-20 2006-08-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100781429B1 (ko) * 2005-06-09 2007-12-03 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
US7560757B2 (en) 2005-06-09 2009-07-14 Kabushiki Kaisha Toshiba Semiconductor device with a structure suitable for miniaturization
KR100823109B1 (ko) * 2005-07-13 2008-04-18 세이코 엡슨 가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치
KR100712535B1 (ko) * 2005-09-26 2007-04-27 삼성전자주식회사 측부 성장을 억제할 수 있는 선택적 에피택셜 성장층을갖는 반도체 소자 및 그 제조방법

Also Published As

Publication number Publication date
JP2001057429A (ja) 2001-02-27
US20020089003A1 (en) 2002-07-11
KR100332108B1 (ko) 2002-04-10
US6707062B2 (en) 2004-03-16
TW448512B (en) 2001-08-01
US6406973B1 (en) 2002-06-18
JP4992011B2 (ja) 2012-08-08

Similar Documents

Publication Publication Date Title
KR100332108B1 (ko) 반도체 소자의 트랜지스터 및 그 제조 방법
EP1478029B1 (en) Method of fabricating a MOS transistor
US6627488B2 (en) Method for fabricating a semiconductor device using a damascene process
US7585735B2 (en) Asymmetric spacers and asymmetric source/drain extension layers
KR20010063781A (ko) 반도체소자의 제조방법
KR100347544B1 (ko) 반도체 소자의 접합 제조 방법
JP3821707B2 (ja) 半導体装置の製造方法
KR20010004981A (ko) 반도체 소자의 제조 방법
JP4514023B2 (ja) ソース/ドレイン拡張部からドーパントが外方拡散しないようにするための、シリコン酸化物ライナーのイオン注入
US6924182B1 (en) Strained silicon MOSFET having reduced leakage and method of its formation
KR100752201B1 (ko) 반도체 소자의 제조 방법
KR100578218B1 (ko) 엘리베이티드 소오스/드레인을 갖는 반도체소자 제조방법
KR20050070932A (ko) 반도체 소자 제조방법
KR20000044929A (ko) 반도체 소자의 접합부 형성 방법
JPH023935A (ja) 自己整合形シリサイドと低濃度ドープドレンを備えるmos装置の製法
KR100495912B1 (ko) 숏채널효과를 방지하기 위한 반도체소자 및 그의 제조 방법
KR100440078B1 (ko) 반도체소자의 제조방법
KR100705233B1 (ko) 반도체 소자의 제조 방법
KR20050007664A (ko) 반도체 소자의 제조방법
KR101079873B1 (ko) 반도체 소자의 형성 방법
KR20050091498A (ko) 반도체 소자의 형성 방법
KR20040055460A (ko) 반도체소자의 엘디디 형성방법
KR20050091496A (ko) 반도체 소자의 형성 방법
KR20030072671A (ko) 반도체소자의 제조방법
KR20010063858A (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130225

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140221

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee