KR20000011253A - 반도체장치의절연막형성방법 - Google Patents

반도체장치의절연막형성방법 Download PDF

Info

Publication number
KR20000011253A
KR20000011253A KR1019990015624A KR19990015624A KR20000011253A KR 20000011253 A KR20000011253 A KR 20000011253A KR 1019990015624 A KR1019990015624 A KR 1019990015624A KR 19990015624 A KR19990015624 A KR 19990015624A KR 20000011253 A KR20000011253 A KR 20000011253A
Authority
KR
South Korea
Prior art keywords
insulating layer
semiconductor substrate
insulating film
recess region
film
Prior art date
Application number
KR1019990015624A
Other languages
English (en)
Other versions
KR100319185B1 (ko
Inventor
김주완
황병근
김성진
이재구
조창현
고관협
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019990015624A priority Critical patent/KR100319185B1/ko
Priority to CNB991110935A priority patent/CN1146961C/zh
Priority to US09/364,053 priority patent/US6337282B2/en
Priority to JP21791099A priority patent/JP4726273B2/ja
Priority to DE19935946A priority patent/DE19935946B4/de
Publication of KR20000011253A publication Critical patent/KR20000011253A/ko
Application granted granted Critical
Publication of KR100319185B1 publication Critical patent/KR100319185B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

본 발명은 반도체장치의 절연막 형성 방법에 관한 것으로, 특히 패턴과 패턴사이에 형성된 리세스영역의 종횡비(aspect ratio)가 큰 경우에 있어서 다단계 절연층 증착및 절연층 식각공정을 사용하여, 보이드를 갖지 않는 절연막을 형성할 수 있고 따라서, 보이드에 의한 도전 패턴(conductive pattern)간의 브리지(bridge)를 방지할 수 있다.

Description

반도체 장치의 절연막 형성 방법{METHOD FOR FORMING DIELECTRIC LAYER}
본 발명은 반도체 장치의 제조 방법에 관한 것으로, 좀 더 구체적으로는 고집적 소자를 위한 절연막 형성 방법에 관한 것이다.
반도체 장치가 고집적화 됨에 따라, 메모리 소자(memory device) 특히 디램(DRAM) 소자에서는 셀 어레이(cell array)의 최소 디자인 룰(minimum design rule)이 감소되고, 셀 어레이 내의 구조물들의 종횡비(aspect ratio)가 증가하고 있다.
이와 같이, 구조물들의 종횡비가 증가하는 경우, 구조물들 사이의 리세스 영역(recessed region)의 종횡비도 증가하게 되어, 절연막(dielectric layer) 증착시에 구조물 사이의 리세스 영역을 절연막으로 완전히 채우지 못하게 되고, 그 결과, 보이드(void)가 발생된다.[Silicon Processing For the VLSI Era, Vol. Ⅱ, pp. 194 - 199][U. S. P # 5,494,854] 상기 보이드는 후속 공정에서 도전막 패턴들간의 브리지(bridge)를 유발하게 된다.
상기 절연막으로서 USG(Undoped Silicate Glass), BPSG(BoroPhosphoSilicate glass), 그리고 고밀도 플라즈마 산화막(high density plasma oxide; 이하, 'HDP 산화막' 이라 함) 등이 주로 사용되고 있다.
상기 층간절연막이 BPSG인 경우, 평탄한 표면을 얻기 위해서는 800℃ 이상의 고온의 후속 리플로우(reflow) 공정이 요구된다. 상기 고온 리플로우 공정은 접합 영역 내의 불순물 이온들의 확산을 유발하게 되므로, 좁은 채널 길이(short channel length)를 갖는 고집적 소자를 형성하기 어렵게 된다.
반면, 층간절연막이 CVD방식의 USG인 경우, 리세스 영역 필링 특성이 불량하여 고집적 소자에 적용하기 어렵다.
한편, 상기 HDP 산화막은 상기 BPSG와 USG의 장점을 갖는 막으로서, 적은 써멀 버짓(thermal budget)을 갖는 저온 공정과 좋은 리세스 영역 필링 특성을 갖는다. 그러나, 상기 HDP 산화막이 리세스 영역 필링막으로 사용된다 하더라도, 종횡비가 큰 경우에 있어서 리세스 영역을 완전히 채우는 것이 불가능하다. 특히, 리세스 영역의 간격이 0.1 ㎛ 이하이고, 리세스 영역의 종횡비가 3 : 1 이상인 구조에 대해서는 리세스 영역 필링 능력의 한계를 보이게 된다.
도 1a 및 도 1c는 종래의 절연막 형성 방법의 공정들을 순차적으로 보여주는 흐름도이다.
도 1a를 참조하면, 종래의 DRAM의 셀 어레이 영역에 대한 층간절연막 형성 방법은, 먼저 반도체 기판(1) 상에 활성 영역(2)과 비활성 영역을 정의하기 위해 소자격리막(4)이 형성된다. 여기서, 상기 소자격리막(4)은 얕은 트렌치 격리(shallow trench isolation) 방법으로 형성되었다. 상기 활성 영역(2) 상에 게이트 절연막(6)을 사이에 두고 게이트 전극(8) 및 게이트 식각 마스크(9)가 형성된다. 상기 게이트 전극(8)은 예를 들어, 폴리실리콘막(8a) 및 실리사이드막(8b)이 차례로 적층된 다층막으로 형성된다. 그리고, 상기 게이트 식각 마스크(9)는 실리콘 질화막(silicon nitride)으로 형성되고, 1000Å 내지 2000Å의 두께 범위를 갖도록 형성된다. 상기 게이트 전극(8) 양측의 활성 영역(2) 상에 저농도의 소오스/드레인 불순물 이온이 주입된다.
상기 게이트 전극(8) 및 게이트 식각 마스크(9)의 양측벽에 게이트 스페이서(gate spacer)(10)가 형성되어 게이트 구조물(12)이 완성된다. 상기 게이트 스페이서(10)는 예를 들어, 300Å 내지 1500Å의 실리콘 질화막이 증착된 후, 에치 백(etch back) 공정으로 식각 되어 형성된다.
도 1b에 있어서, 상기 반도체 기판(1) 전면에 층간절연막(16)인 실리콘 산화막(silicon oxide)이 증착 된다.
마지막으로, 도 1c에 도시된 바와같이, 상기 층간절연막(16)의 상부 표면이 예를 들어 화학적 기계적 연마 공정(chemical mechanical polishing process: CMP)에 의해 평탄화된다.
그러나, 상술한 바와 같은 종래의 절연막 형성 방법을 사용하는 경우, 상기 리세스 영역의 종횡비가 증가함에 따라 도 1b에 도시된 바와 같이, 층간절연막(16) 내에 보이드(18)가 발생되고, 이어서 실행되는 CMP공정에 의해 노출된 상기 보이드(18)는 후속의 폴리실리콘막 증착시, 상기 보이드(18) 내로 폴리실리콘이 침투하여 패드 전극간의 브리지가 발생될 수 있다. 결국, 정상적인 소자의 동작이 불가능하게 된다.
한편, 얕은 트렌치 격리(shallow trench isolation) 등의 소자 격리(device isolation) 형성시에 보이드가 발생되는 경우, 이 보이드를 통해 게이트 전극간의 브리지(bridge)가 발생될 수 있다.
따라서, 절연막 증착시에 발생되는 보이드 생성을 방지하거나 또는 생성된 보이드를 제거하는 공정 개발이 향후 공정의 핵심 기술 중 하나가 될 것이다.
본 발명은 상술한 제반 문제점을 해결하기 위해 제안된 것으로서, 고집적 소자를 위한 보이드를 갖지 않는 절연막 형성 방법을 제공함에 그 목적이 있다.
도 1a 내지 도 1c는 종래의 절연막 형성 방법의 공정들을 순차적으로 보여주는 흐름도;
도 2a 내지 도 2d는 본 발명의 제 1 실시예에 따른 절연막 형성 방법의 공정들을 순차적으로 보여주는 흐름도; 및
도 3는 본 발명의 제 2 실시예에 따른 절연막 형성 방법을 설명하기 위한 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명
1, 100 : 반도체 기판 2, 101 : 활성 영역
4, 102 : 소자격리막 6, 104 : 게이트 절연막
8, 106 : 게이트 전극 10, 108 : 게이트 스페이서
12, 110 : 게이트 구조물 14, 112 : 실리콘 질화막
16, 118 : 층간절연막 114 : 제 1 층간절연막
116 : 제 2 층간절연막 18 : 보이드
202 : 패드 산화막 204 : 트렌치 식각 마스크
206 : 트렌치 208 : 제 1 트렌치 격리막
210 : 제 2 트렌치 격리막 212 : 트렌치 격리막
상술한 목적을 달성하기 위한 본 발명에 의하면, 절연막 형성 방법은, 먼저 반도체 기판 상에 리세스 영역을 가지는 복수의 패턴을 형성한다. 상기 리세스 영역을 포함하여 반도체 기판 전면에 제 1 절연층을 증착 한다. 상기 리세스 영역의 하부에 제 1 절연층의 일부가 남도록 제 1 절연층을 식각 한다. 그리고, 상기 제 1 절연층을 포함하여 반도체 기판 전면에 상기 리세스 영역을 완전히 채우도록 제 2 절연층을 증착 한다.
상술한 목적을 달성하기 위한 본 발명에 의하면, 절연막 형성 방법은, 반도체 기판과, 상기 반도체 기판 상에 형성되어 있되 절연층에 의해 캡핑(capping)된 복수의 도전 구조물을 포함하는 절연막 형성 방법에 있어서, 상기 도전 구조물 사이의 영역을 포함하여 반도체 기판 전면에 제 1 층간절연막을 증착 한다. 상기 도전 구조물 사이의 상기 영역에 제 1 층간절연막의 일부가 남도록 제 1 층간절연막을 식각 한다. 그리고, 상기 제 1 층간절연막을 포함하여 반도체 기판 전면에 상기 도전 구조물 사이의 상기 영역을 완전히 채우도록 제 2 층간절연막을 증착 한다. 이때, 상기 절연층은 제 1 및 제 2 층간절연막과 식각 선택비를 갖는 물질로 형성된다.
상술한 목적을 달성하기 위한 본 발명에 의하면, 절연막 형성 방법은, 하부 영역을 식각 하여 리세스 영역을 형성한다. 상기 리세스 영역을 포함하여 상기 하부 영역 전면에 제 1 절연층을 증착 한다. 상기 리세스 영역의 하부에 제 1 절연층의 일부가 남도록 제 1 절연층을 식각한 후, 상기 리세스 영역을 완전히 채우도록 상기 제 1 절연층을 포함하여 하부 영역 전면에 제 2 절연층을 증착 한다.
이하, 도 2 내지 도 3을 참조하여 본 발명의 실시예를 상세히 설명한다.
본 발명에 따른 신규한 절연막 형성 방법은, 리세스 영역을 포함하여 하부 영역(반도체 기판 또는 반도체기판상에 형성된 막) 전면에 제 1 절연층이 증착된 후, 리세스 영역의 하부에 제 1 절연층의 일부가 남도록 제 1 절연층이 식각 된다. 상기 제 1 절연층을 포함하여 상기 하부 영역 전면에 상기 리세스 영역이 완전히 채워지도록 제 2 절연층이 증착 된다. 이로써, 보이드를 갖지 않는 절연막을 형성할 수 있고 따라서, 보이드에 의한 도전 패턴간의 브리지(bridge)를 방지할 수 있다.
(실시예 1)
도 2a 내지 도 2d는 본 발명의 제 1 실시예에 따른 절연막 형성 방법의 공정들을 순차적으로 보여주는 흐름도이다.
도 2a를 참조하면, 본 발명의 제 1 실시예에 따른 DRAM의 셀 어레이 영역에 대한 층간절연막 증착 방법에 따르면, 먼저 반도체 기판(100) 상에 활성 영역(101)과 비활성 영역을 정의하기 위해 소자격리막(102)이 형성된다. 상기 소자격리막(102)은 예를 들어, LOCOS(LOCal Oxidation of Silicon) 방법 내지 트렌치 격리 방법으로 형성된다. 여기서는, 상기 트렌치 격리 방법으로 형성되었다. 상기 활성 영역(101) 상에 게이트 절연막(104)이 형성된 후, 게이트 절연막(104) 상에 게이트 구조물(110)이 형성된다.
상기 구조가 0.18㎛의 소자의 경우, 상기 리세스 영역의 하부의 폭 및 상부의 폭은 각각 0.08㎛ 및 0.1㎛이고, 상기 리세스 영역의 높이는 0.4㎛ 이다.
상기 게이트 구조물(110)을 형성하기 위해, 먼저 상기 게이트 절연막(104) 상에 게이트 전극용 도전층이 증착 된다. 상기 게이트 전극용 도전층은 예를 들어, 약 2500Å의 두께를 갖도록 증착 된다. 상기 게이트 전극용 도전층은 예를 들어, 1000Å 두께의 폴리실리콘막(106a) 및 1500Å 두께의 실리사이드막(106b)이 차례로 증착된 다층막으로 형성된다. 상기 게이트 전극용 도전층 상에 게이트 식각 마스크용 절연층이 증착 된다. 상기 게이트 식각 마스크용 절연층은 후속 공정으로 형성되는 층간절연막(114, 116)과 식각 선택비를 갖는 물질 예를 들어, 실리콘 질화막, 실리콘 산화막, 혹은 실리콘 옥시나이트라이드 및 이들의 조합된 막으로서 약 1000Å 내지 3600Å의 두께 범위를 갖도록 증착 된다. 상기 게이트 식각 마스크용 절연층은 1000Å 내지 2000Å 두께의 범위를 갖는 (바람직하게는 1500Å 두께)의 실리콘 질화막, 200Å 내지 800Å 두께의 범위를 갖는 (바람직하게는 500Å 두께)의 실리콘 산화막, 400Å 내지 800Å 두께의 범위를 갖는 (바람직하게는 600Å 두께)의 반사방지막인 실리콘 옥시나이트라이드막으로 증착될 수 있다.
게이트 형성용 마스크(gate forming mask)를 사용하여 상기 게이트 식각 마스크용 절연층 및 게이트 전극용 도전층이 차례로 식각 되어 각각 게이트 식각 마스크(107) 및 게이트 전극(106)이 형성된다. 이때 상기 게이트 식각 마스크용 절연층을 식각하여 패턴을 형성한 다음, 이 패턴화된 상기 게이트 식각 마스크용 절연층을 게이트 형성용 마스크로 사용하여 게이트 전극용 도전층을 식각할 수도 있다.
상기 게이트 전극(106) 양측의 활성 영역(101)에 저농도의 소오스/드레인 불순물 이온이 주입된다.
상기 반도체 기판(100) 상에 게이트 스페이서 형성용 절연층이 증착 된다. 상기 게이트 스페이서 형성용 절연층은 상기 게이트 식각 마스크용 절연층과 마찬가지로 실리콘 질화막, 실리콘 산화막, 혹은 실리콘 옥시나이트라이드막으로서, 약 300Å 내지 1500Å의 두께 범위를 갖도록 증착 된다. 상기 게이트 식각 마스크용 절연층이 에치 백 공정으로 식각 되어 게이트 전극(106) 및 게이트 식각 마스크(107)의 양측벽에 게이트 스페이서(108)가 형성된다.
필요에 따라서는 상기 반도체 기판(100) 전면에 얇은 실리콘 질화막(112)이 증착 된다. 상기 실리콘 질화막(112)은 약 50Å 내지 200Å의 두께 범위를 갖도록 증착 된다. 상기 실리콘 질화막(112)은 스토리지 전극 콘택홀 내지 비트 라인 콘택홀 형성시 소자격리막(102)이 식각 되는 것을 방지하기 위한 식각 정지층(etch stopping layer)으로써 사용된다.
상기 실리콘 질화막(112) 상에 인접한 게이트 구조물(110) 사이의 리세스 영역이 어느 정도 채워지도록 제 1 층간절연막(114)이 증착 된다. 상기 제 1 층간절연막(114)은 실리콘 산화막으로 구성되며, 구체적으로는 BPSG, USG, PE-TEOS, HDP중 적어도 하나 이상의 물질로 구성된다. 상기 제 1 층간절연막(114)은 300Å 내지 3000Å의 두께 범위 내로 바람직하게, 2000Å의 두께로 증착 된다. 본 발명의 바람직한 실시예로서, 제 1 층간절연막(114)이 CVD방식의 HDP산화막으로 형성된 경우, 상기 제 1 층간절연막(114)의 증착시에 사용되는 스퍼터링 가스(sputtering gas)로서, 불활성 가스, 바람직하게는 아르곤(Ar) 가스 혹은 헬륨(He) 가스가 사용된다.
이 실시예에서 스퍼터링 가스로서 He가스를 사용하여 제 1 층간절연막(114)이 형성된 경우에는, 상기 제 1 층간절연막(114)은 다음과 같은 조건에 의해 증착 된다. 즉, 로우 주파수 파우어(low frequency power)(400kHz)는 2000W 내지 4000W의 범위를 갖고, 하이 주파수 파우어(high frequency power)(13.56MHz)는 500W 내지 3000W의 범위를 가지며 바람직하게, 상기 로우 주파수 파우어는 3000W이고, 상기 하이 주파수 파우어는 1300W가 사용된다.
그리고, 공정 가스로서 사일렌 가스(40sccm 내지 120sccm) 및 산소 가스(40sccm 내지 300sccm)가 사용되고, 스퍼터링 가스로서 불활성 가스인 헬륨(He)(20sccm 내지 600sccm) 가스가 사용된다. 바람직하게, 상기 SiH4 가스의 유량은 80sccm이 사용되고, 상기 산소 가스의 유량은 120sccm이 사용되며, 그리고 상기 헬륨 가스의 유량은 390sccm이 사용된다.
상기 스퍼터링 가스로서 헬륨 가스를 사용함에 따라, 도 2a에서의 참조 번호 115로 나타낸 바와 같이, 개선된 증착 프로파일을 갖는 제 1 층간절연막(114)이 증착 된다. 이와 같은 증착 프로파일은 원자량이 40인 수소원자에 의해 상기 제 1 층간절연막(114)의 리스퍼터링되는 양이 적기 때문이다.
다음, 상기 게이트 구조물(110) 사이의 리세스 영역의 하부에 상기 제 1 층간절연막(114)의 일부가 남도록 제 1 층간절연막(114)을 식각 하는 공정이 수행된다. 이 식각공정은 습식 식각 공정이 바람직하고, 이는 상기 리세스 영역 내의 제 1 층간절연막(114) 사이의 공간을 수직방향뿐만아니라 수평방향으로도 더 확장시킬 수 있기 때문이다. 그리고, 상기 습식 식각 공정은 통상적인 산화막 식각 용액 예를 들어, 200 : 1 HF, LAL(NH4F와 HF의 혼합 용액), 그리고 BOE(buffered oxide etchant) 중 어느 하나를 사용하여 수행된다.
또는 건식 식각 공정을 추가로 실행할 수 있고, 또는 건식 식각 공정후 추가로 건식 식각 공정을 실행할 수 있다. 또는 상기 식각 공정들은 인-시튜(In-Situ)로 실행가능하다.
상기 건식 식각 공정은 Ar, CF4, CHF3,헬륨, CH2F2그리고 산소 중 적어도 어느 하나를 포함하는 식각 가스를 사용하여 수행된다.
도 2b 및 도 2c는 상기 제 1 층간절연막(114)이 건식 식각 공정 및 습식 식각 공정을 혼합한 방식에 의해 식각되는 과정을 보여주고 있다.
도 2b를 참조하면, 상기 게이트 구조물(110) 사이의 리세스 영역의 하부에 상기 제 1 층간절연막(114)의 일부가 남도록 제 1 층간절연막(114)을 식각 하는 공정이 수행된다.
상기 제 1 층간절연막(114)을 식각 하는 공정은 건식 식각 공정 또는 습식 식각 공정을 사용하여 실행되고, 또는 건식 식각 공정 및 습식 식각 공정을 혼합한 방식을 사용하여 수행된다.
예를 들어, 상기 제 1 층간절연막(114)의 일부가 건식 식각 공정에 의해 식각 된다. 상기 건식 식각 공정에 의해 식각 되는 제 1 층간절연막(114)의 식각량은 150Å 내지 500Å의 두께 범위를 갖고, 바람직하게 300Å이 된다. 상기 건식 식각 공정은 다음과 같은 조건에 의해 수행된다. 즉, 로우 주파수 파우어 및 하이 주파수 파우어 둘 모두 2000W 내지 4500W의 범위를 갖는다. 그리고, 식각 가스는 헬륨 또는 산소 가스 또는 헬륨과 산소의 혼합 가스가 사용되며, 바람직하게 헬륨과 산소의 혼합 가스가 사용된다. 예를 들어, 상기 헬륨은 390sccm이 사용되고, 상기 산소는 30sccm이 사용된다.
도 3b에 도시된 바와같이, 상기 건식 식각 공정 후의 제 1 층간절연막(114)의 프로파일은 대체로 양호하다. 따라서, 습식 식각 공정을 수행하지 않고 바로 제 2 층간절연막(116)이 증착될 수 있다.
그러나, 보이드 없는 층간절연막을 형성하기 위한 마진을 향상시키기 위해서, 상기 건식 식각 공정 후 습식 식각 공정이 더 수행된다.
상기 습식 식각 공정은 다음과 같은 조건에 의해 수행된다. 즉, 상기 제 1 층간절연막(114)이 100Å 내지 400Å의 두께 범위 내로 바람직하게, 200Å의 두께로 식각 된다. 이때, 식각 용액은 통상적인 산화막 식각 용액인 200 : 1 HF, LAL, 그리고 BOE 중 어느 하나가 사용된다.
그러면, 도 2c에서와 같이, 반도체 기판(100)의 상부 표면이 완만하게 된다.
이어서, 상기 제 1 층간절연막(114)을 포함하여 반도체 기판(100) 전면에 상기 리세스 영역이 완전히 채워지도록 제 2 층간절연막(116)이 증착 되어 도 3d에 도시된 바와 같이, 본 발명의 실시예에 따라 보이드 없는 층간절연막(118)이 완성된다.
상기 제 2 층간절연막(116)은 상기 제 1 층간절연막(114)과 동일한 물질 예를 들어, HDP 산화막으로 증착 되거나, 서로 다른 물질 예를 들어, USG막 및 PE-TEOS 중 어느 하나를 사용하여 증착 된다.
상기 제 2 층간절연막(116)은 300Å 내지 3000Å의 두께 범위를 갖도록 바람직하게, 2800Å의 두께로 증착 된다. 이때, 상기 제 2 층간절연막(116)의 증착 조건은 다음과 같다. 즉, 로우 주파수 파우어가 2000W 내지 4000W이고, 하이 주파수 파우어가 500W 내지 4000W이며, 증착 가스로서 SiH4(40sccm 내지 120sccm), 산소(40sccm 내지 300sccm), 스퍼터링 가스로서 헬륨(20sccm 내지 600sccm) 가스가 사용된다. 바람직하게, 상기 로우 주파수 파우어는 3000W 이고, 하이 주파수 파우어는 2000W 이며, 상기 SiH4 가스의 유량은 80sccm, 산소 가스의 유량은 120sccm, 그리고 헬륨 가스의 유량은 390sccm이 사용된다.
상기 리세스 영역 내에 남아 있는 제 1 층간절연막(114)으로 인해, 상기 리세스 영역의 종횡비가 감소되고, 따라서 상기 제 2 층간절연막(116) 증착시 보이드가 발생되지 않는다.
후속 공정으로서, 도면에서는 도시되어 있지 않지만, 상기 제 2 층간절연막(116)의 상부 표면이 예를 들어, 에치 백 공정 내지 CMP 공정을 사용하여 평탄화 된다.
(실시예 2)
도 3는 본 발명의 제 2 실시예에 따른 절연막 형성 방법의 공정을 보여주는 단면도이다.
도 3를 참조하면, 트렌치 격리 형성 방법은, 반도체 기판(200) 상에 패드 산화막(202), 패드 질화막(204a), 그리고 HTO 산화막(204b)이 순차적으로 형성된 후, 이 분야에서 잘 알려진 사진 식각 공정(photolithography)에 의해 패터닝 된다. 그러면, 상기 패드 질화막(204a) 및 HTO 산화막(204b)에 의한 트렌치 식각 마스크(trench etch mask)(204)가 형성된다. 상기 트렌치 식각 마스크(204)를 사용하여 반도체 기판(200)이 식각 되어 트렌치(206)가 형성된 다음, 상기 트렌치가 형성된 반도체기판을 열산화하여 트렌치의 측벽및 바닥에 산화막이 형성된다.
이어서, 상기 트렌치(206)를 포함하여 반도체 기판(200) 전면에 제 1 트렌치 격리막(208) 예를 들어, 일반적으로 트렌치 격리 물질로 잘 알려진 USG(Undoped silicate glass)막 또는 HDP막이 증착 된다.
다음, 상기 트렌치(206)의 하부에 제 1 트렌치 격리막(208)의 일부가 남도록 상기 제 1 트렌치 격리막(208)이 식각 된다. 이로써, 상기 제 1 트렌치 격리막(208) 내에 발생될 수 있는 보이드가 제거된다. 상기 제 1 트렌치 격리막(208)의 식각은 건식 식각 공정 또는 습식 식각 공정을 사용하거나, 또는 건식 식각 공정 및 습식 식각 공정을 혼합하여 사용되는 공정에 의해 수행된다.
이어서, 상기 트렌치(206)가 완전히 채워질 때까지 제 2 트렌치 격리막(210)이 증착 된다. 상기 제 2 트렌치 격리막(210)은 예를 들어, 상기 제 1 트렌치 격리막(208)과 동일한 물질로 증착 된다. 또는, 상기 제 2 트렌치 격리막(210)은 제 1 트렌치 격리막(208)과 서로 다른 물질 예를 들어, PE-TEOS막으로 증착 된다. 그러면, 보이드를 갖지 않는 트렌치 격리막(212)이 완성된다.
상기 제 1 및 제 2 트렌치 격리막(208, 210)의 증착은 제 1 및 제 2 실시예에서와 같이, 스퍼터링 가스로서 아르곤 가스 또는 헬륨 가스를 사용하여 수행된다.
본 발명은 상술한 바와 같은 층간절연막 형성 및 트렌치 격리막 형성에 국한하지 않고 좁은 영역(gap or narrow space) 내지 리세스부(recessed portion)를 절연막 뿐만 아니라, 도전막 등의 물질막으로 채우는 모든 공정에 적용 가능하다.
본 발명은 종래의 인접한 도전 구조물 사이의 영역 및 트렌치 등과 같은 리세스 영역을 절연 물질로 채울 때, 리세스 영역의 종횡비가 증가함에 따라 보이드가 발생되는 문제점과, 보이드 발생에 따라 도전 패턴간 브리지가 발생되는 문제점을 해결한 것이다.
본 발명은 다단계 절연층 증착 및 절연층 식각 공정을 사용함으로써, 보이드를 갖지 않는 절연막을 형성할 수 있고 따라서, 보이드에 의한 도전 패턴간의 브리지(bridge)를 방지할 수 있는 효과가 있다.

Claims (13)

  1. 반도체 기판 상에 복수의 리세스 영역을 갖는 패턴을 형성하는 단계;
    상기 리세스 영역을 포함하여 반도체 기판 전면에 제 1 절연층을 증착 하는 단계;
    상기 리세스 영역의 하부에 제 1 절연층의 일부가 남도록 제 1 절연층을 식각 하는 단계; 및
    상기 제 1 절연층을 포함하여 반도체 기판 전면에 상기 리세스 영역을 완전히 채우도록 제 2 절연층을 증착 하는 단계를 포함하는 절연막 형성 방법.
  2. 제 1 항에 있어서,
    상기 제 1 절연층 식각 단계는, 건식 식각 공정, 습식 식각 공정, 그리고 건식 식각 공정, 습식 식각 공정및 이들의 혼합공정 중 어느 하나를 사용하여 수행되는 절연막 형성 방법.
  3. 제 1 항에 있어서,
    상기 제 2 절연층은, 상기 제 1 절연층과 동일한 물질 또는 상이한 물질로 형성되는 절연막 형성 방법.
    는 절연막 형성 방법.
  4. 제 1 항에 있어서,
    상기 제 1 및 제 2 절연층은 CVD 방식의 HDP 산화막으로 형성된 절연막 형성 방법.
  5. 제 4 항에 있어서,
    상기 제 1 및 제 2 절연층의 증착단계는 적어도 아르곤(Ar) 또는 헬륨(He)등을 포함하는 불활성 가스를 스퍼터링 가스로 사용하는 절연막 형성 방법.
  6. 제 2 항에 있어서,
    상기 건식 식각 공정은 적어도 아르곤 혹은 헬륨 가스를 스퍼터링 가스로 그리고 산소 가스를 공정가스로 사용하는 절연막 형성 방법.
  7. 제 1 항에 있어서,
    상기 제 1 절연층 식각 공정은 건식 식각후 습식 식각하는 절연막 형성 방법.
  8. 제 1 항에 있어서,
    상기 제 1 절연층 식각 공정은 건식 식각 후에 다시 건식 식각하는 절연막 형성 방법.
  9. 하부 영역상에 형성되어 있되 절연층에 의해 캡핑(capping)된 복수의 도전 구조물을 포함하는 절연막 형성 방법에 있어서,
    상기 도전 구조물 사이의 리세스 영역을 포함하여 상기 하부 영역 전면에 제 1 층간절연막을 증착 하는 단계;
    상기 도전 구조물 사이의 상기 리세스 영역에 제 1 층간절연막의 일부가 남도록 제 1 층간절연막을 식각 하는 단계; 및
    상기 제 1 층간절연막을 포함하여 상기 하부 영역 전면에 상기 도전 구조물 사이의 상기 리세스 영역을 완전히 채우도록 제 2 층간절연막을 증착 하는 단계를 포함하고,
    상기 절연층은 제 1 및 제 2 층간절연막과 식각 선택비를 갖는 물질로 형성되는 절연막 형성 방법.
  10. 제 9 항에 있어서,
    상기 절연층은 실리콘 질화막(silicon nitride)이고, 상기 제 1 및 제 2 층간절연막은 실리콘 산화막(silicon oxide)인 절연막 형성 방법.
  11. 반도체 기판을 식각 하여 반도체 기판 내에 트렌치를 형성하는 단계;
    상기 트렌치를 포함하여 반도체 기판 전면에 제 1 절연층을 증착 하는 단계;
    상기 트렌치의 하부에 제 1 절연층의 일부가 남도록 제 1 절연층을 식각 하는 단계; 및
    상기 제 1 절연층을 포함하여 반도체 기판 전면에 상기 트렌치를 완전히 채우도록 제 2 절연층을 증착 하는 단계를 포함하는 절연막 형성 방법.
  12. 제 11 항에 있어서,
    상기 제1절연층의 증착전에, 상기 트렌치를 열산화하여 상기 트렌치의 측벽및 바닥에 산화막을 형성하는 공정을 더 포함하는 절연막 형성 방법.
    는 절연막 형성 방법.
  13. 리세스 영역을 포함하여 반도체 기판 전면에 제 1 절연층을 증착 하되, 상기 제 1 절연층은 스퍼터링 가스로서 헬륨(He)가스를 사용하는 단계;
    상기 리세스 영역의 하부에 제 1 절연층의 일부가 남도록 제 1 절연층을 단지 건식 식각 하는 단계; 및
    상기 제 1 절연층을 포함하여 반도체 기판 전면에 상기 리세스 영역을 완전히 채우도록 제 2 절연층을 증착 하는 단계를 포함하는 절연막 형성 방법.
KR1019990015624A 1998-07-31 1999-04-30 반도체 장치의 절연막 형성 방법 KR100319185B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1019990015624A KR100319185B1 (ko) 1998-07-31 1999-04-30 반도체 장치의 절연막 형성 방법
CNB991110935A CN1146961C (zh) 1998-07-31 1999-07-29 形成电介质层的方法
US09/364,053 US6337282B2 (en) 1998-07-31 1999-07-30 Method for forming a dielectric layer
JP21791099A JP4726273B2 (ja) 1998-07-31 1999-07-30 絶縁膜形成方法
DE19935946A DE19935946B4 (de) 1998-07-31 1999-07-30 Verfahren zum Ausbilden einer dielektrischen Schicht

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1019980031287 1998-07-31
KR19980031287 1998-07-31
KR1019990015624A KR100319185B1 (ko) 1998-07-31 1999-04-30 반도체 장치의 절연막 형성 방법

Publications (2)

Publication Number Publication Date
KR20000011253A true KR20000011253A (ko) 2000-02-25
KR100319185B1 KR100319185B1 (ko) 2002-01-04

Family

ID=26633997

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990015624A KR100319185B1 (ko) 1998-07-31 1999-04-30 반도체 장치의 절연막 형성 방법

Country Status (5)

Country Link
US (1) US6337282B2 (ko)
JP (1) JP4726273B2 (ko)
KR (1) KR100319185B1 (ko)
CN (1) CN1146961C (ko)
DE (1) DE19935946B4 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020096381A (ko) * 2001-06-19 2002-12-31 주식회사 하이닉스반도체 반도체소자의 콘택플러그 형성방법
KR100400324B1 (ko) * 2001-12-26 2003-10-01 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
KR100429955B1 (ko) * 2000-10-10 2004-05-04 미쓰비시덴키 가부시키가이샤 홈을 갖는 반도체 장치 및 그 제조 방법
KR100465601B1 (ko) * 2002-07-18 2005-01-13 주식회사 하이닉스반도체 반도체소자의 형성방법
KR100745058B1 (ko) * 2001-06-27 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 셀프 얼라인 콘택홀 형성방법
KR101373733B1 (ko) * 2007-03-08 2014-03-14 삼성전자 주식회사 절연물 증착을 사용한 집적 회로 구조 형성 방법 및 절연물갭 필링 기술
US10453605B2 (en) * 2017-10-11 2019-10-22 Globalfoundries Inc. Insulating inductor conductors with air gap using energy evaporation material (EEM)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5651855A (en) * 1992-07-28 1997-07-29 Micron Technology, Inc. Method of making self aligned contacts to silicon substrates during the manufacture of integrated circuits
JP2001203263A (ja) 2000-01-20 2001-07-27 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US20070114631A1 (en) * 2000-01-20 2007-05-24 Hidenori Sato Method of manufacturing a semiconductor integrated circuit device and a semiconductor integrated circuit device
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6531413B2 (en) * 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100375218B1 (ko) * 2000-12-07 2003-03-07 삼성전자주식회사 반사 방지막 및 자기정렬 콘택 기술을 사용하는 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US6798038B2 (en) 2001-09-20 2004-09-28 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device with filling insulating film into trench
DE10201178A1 (de) * 2002-01-15 2003-06-26 Infineon Technologies Ag Verfahren zur Maskierung einer Ausnehmung einer Struktur mit einem großen Aspektverhältnis
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
TWI248159B (en) * 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
TW538500B (en) * 2002-06-12 2003-06-21 Nanya Technology Corp Method of manufacturing gate of field effect transistor
TW554472B (en) * 2002-09-23 2003-09-21 Nanya Technology Corp A method for forming shallow trench isolation
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
KR100481183B1 (ko) * 2003-03-17 2005-04-07 삼성전자주식회사 이중 캐핑막 패턴들을 갖는 반도체 장치 및 그 제조방법
KR20050000871A (ko) * 2003-06-25 2005-01-06 동부아남반도체 주식회사 고밀도 플라즈마 갭필 향상 방법
KR100691487B1 (ko) * 2004-12-20 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7300886B1 (en) * 2005-06-08 2007-11-27 Spansion Llc Interlayer dielectric for charge loss improvement
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
JP2007258266A (ja) * 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
KR100732773B1 (ko) * 2006-06-29 2007-06-27 주식회사 하이닉스반도체 절연층들간의 들뜸을 방지한 반도체 소자 제조 방법
US7648921B2 (en) * 2006-09-22 2010-01-19 Macronix International Co., Ltd. Method of forming dielectric layer
KR100773352B1 (ko) * 2006-09-25 2007-11-05 삼성전자주식회사 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자
CN100449729C (zh) * 2006-09-30 2009-01-07 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
CN101197272B (zh) * 2006-12-05 2010-09-29 中芯国际集成电路制造(上海)有限公司 金属前介质层形成方法及其结构
US8404561B2 (en) * 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
TWI517463B (zh) * 2012-11-20 2016-01-11 佳能安內華股份有限公司 磁阻效應元件之製造方法
CN109524302B (zh) * 2017-09-20 2020-12-15 华邦电子股份有限公司 半导体组件及其制造方法
KR102632482B1 (ko) * 2018-04-09 2024-02-02 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
JP2020145358A (ja) * 2019-03-07 2020-09-10 豊田合成株式会社 半導体素子の製造方法
CN113327886A (zh) * 2021-05-28 2021-08-31 上海华力微电子有限公司 避免层间介质填充过程中形成缝隙的方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6085532A (ja) * 1983-10-17 1985-05-15 Fujitsu Ltd 半導体装置の製造方法
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0774146A (ja) * 1990-02-09 1995-03-17 Applied Materials Inc 低融点無機材料を使用する集積回路構造の改良された平坦化方法
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JP2803304B2 (ja) * 1990-03-29 1998-09-24 富士電機株式会社 絶縁膜を備えた半導体装置の製造方法
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JPH04326549A (ja) * 1991-04-26 1992-11-16 Nec Corp 半導体装置
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
KR940008372B1 (ko) * 1992-01-16 1994-09-12 삼성전자 주식회사 반도체 기판의 층간 절연막의 평탄화 방법
KR970003655Y1 (ko) * 1993-08-21 1997-04-23 허창우 채색부와 절취선이 형성된 박판지
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
KR960042942A (ko) * 1995-05-04 1996-12-21 빈센트 비.인그라시아 반도체 디바이스 형성 방법
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
JPH09129611A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチング方法
US5789314A (en) * 1995-12-05 1998-08-04 Integrated Device Technology, Inc. Method of topside and inter-metal oxide coating
WO1997024761A1 (en) * 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
JPH10163209A (ja) * 1996-07-30 1998-06-19 Kawasaki Steel Corp 半導体装置及び反射型液晶駆動半導体装置
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5854503A (en) * 1996-11-19 1998-12-29 Integrated Device Technology, Inc. Maximization of low dielectric constant material between interconnect traces of a semiconductor circuit
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US6117345A (en) * 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
US5814564A (en) * 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
JPH11233609A (ja) * 1998-02-13 1999-08-27 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6001710A (en) * 1998-03-30 1999-12-14 Spectrian, Inc. MOSFET device having recessed gate-drain shield and method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100429955B1 (ko) * 2000-10-10 2004-05-04 미쓰비시덴키 가부시키가이샤 홈을 갖는 반도체 장치 및 그 제조 방법
KR20020096381A (ko) * 2001-06-19 2002-12-31 주식회사 하이닉스반도체 반도체소자의 콘택플러그 형성방법
KR100745058B1 (ko) * 2001-06-27 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 셀프 얼라인 콘택홀 형성방법
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
KR100400324B1 (ko) * 2001-12-26 2003-10-01 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100465601B1 (ko) * 2002-07-18 2005-01-13 주식회사 하이닉스반도체 반도체소자의 형성방법
KR101373733B1 (ko) * 2007-03-08 2014-03-14 삼성전자 주식회사 절연물 증착을 사용한 집적 회로 구조 형성 방법 및 절연물갭 필링 기술
US10453605B2 (en) * 2017-10-11 2019-10-22 Globalfoundries Inc. Insulating inductor conductors with air gap using energy evaporation material (EEM)
US10832842B2 (en) 2017-10-11 2020-11-10 Globalfoundries Inc. Insulating inductor conductors with air gap using energy evaporation material (EEM)

Also Published As

Publication number Publication date
CN1146961C (zh) 2004-04-21
US6337282B2 (en) 2002-01-08
DE19935946A1 (de) 2000-02-10
DE19935946B4 (de) 2007-08-16
CN1244032A (zh) 2000-02-09
JP2000077404A (ja) 2000-03-14
KR100319185B1 (ko) 2002-01-04
JP4726273B2 (ja) 2011-07-20
US20010046777A1 (en) 2001-11-29

Similar Documents

Publication Publication Date Title
KR100319185B1 (ko) 반도체 장치의 절연막 형성 방법
US6759704B2 (en) Method for fabricating semiconductor device, and semiconductor device, having storage node contact plugs
KR100281692B1 (ko) 반도체 장치의 자기정렬 콘택 패드 및 그 형성 방법
KR100431656B1 (ko) 반도체 장치의 제조 방법
JP4040781B2 (ja) 半導体装置の自己整列コンタクト形成方法
US20020110982A1 (en) Method for fabricating a semiconductor device
US20020024093A1 (en) Semiconductor device with self-aligned contact structure employing dual spacers and method of manufacturing the same
US20050054189A9 (en) Methods of fabricating integrated circuit devices providing improved short prevention
KR100492898B1 (ko) 반도체 소자 제조 방법
KR100308619B1 (ko) 반도체 장치용 자기 정렬 콘택 패드 형성 방법
KR100366614B1 (ko) 티형 트렌치 소자분리막 형성방법
KR100341483B1 (ko) 고밀도 플라즈마 산화막에 의한 갭 매립 방법
US7332391B2 (en) Method for forming storage node contacts in semiconductor device
KR20020092682A (ko) 반도체 장치의 절연막 형성 방법
JPH09120990A (ja) 接続孔の形成方法
KR20030096660A (ko) 반도체소자 제조방법
KR20040001938A (ko) 반도체소자의 자기정렬콘택 형성방법
KR100307968B1 (ko) 플러그폴리를 갖는 반도체장치의 층간절연막 형성방법
KR100240891B1 (ko) 반도체장치의 캐패시터용 하부전극 형성방법
KR100365762B1 (ko) 반도체소자의콘택스페이서형성방법
KR100919676B1 (ko) 반도체 소자의 캐패시터 형성방법
KR20060113271A (ko) 반도체장치의 제조 방법
KR20040020600A (ko) 반도체 소자의 절연막 형성방법
KR20000039691A (ko) 반도체장치의 콘택홀 형성방법
JPH0531825B2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee