KR102066719B1 - 접합된 구조체를 형성하기 위한 적층된 다이 및 방법 - Google Patents

접합된 구조체를 형성하기 위한 적층된 다이 및 방법 Download PDF

Info

Publication number
KR102066719B1
KR102066719B1 KR1020187035298A KR20187035298A KR102066719B1 KR 102066719 B1 KR102066719 B1 KR 102066719B1 KR 1020187035298 A KR1020187035298 A KR 1020187035298A KR 20187035298 A KR20187035298 A KR 20187035298A KR 102066719 B1 KR102066719 B1 KR 102066719B1
Authority
KR
South Korea
Prior art keywords
layer
integrated device
device die
delete delete
dies
Prior art date
Application number
KR1020187035298A
Other languages
English (en)
Other versions
KR20180132990A (ko
Inventor
싸이프리안 에메카 유조
알칼구드 알. 시타람
폴 엔퀴스트
Original Assignee
인벤사스 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인벤사스 본딩 테크놀로지스 인코포레이티드 filed Critical 인벤사스 본딩 테크놀로지스 인코포레이티드
Priority to KR1020207000779A priority Critical patent/KR102281018B1/ko
Publication of KR20180132990A publication Critical patent/KR20180132990A/ko
Application granted granted Critical
Publication of KR102066719B1 publication Critical patent/KR102066719B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/0519Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05193Material with a principal constituent of the material being a solid not provided for in groups H01L2224/051 - H01L2224/05191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05199Material of the matrix
    • H01L2224/0529Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05298Fillers
    • H01L2224/05299Base material
    • H01L2224/05386Base material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/2929Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/80486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/8049Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/81486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/8149Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/83486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/8349Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83851Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester being an anisotropic conductive adhesive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Micromachines (AREA)

Abstract

다양한 실시예에서, 접합된 구조체를 형성하기 위한 방법이 개시된다. 방법은 제1 집적 디바이스 다이를 캐리어에 실장하는 단계를 포함할 수 있다. 실장 후에, 제1 집적 디바이스 다이가 박화될 수 있다. 방법은 제1 층을 제1 집적 디바이스 다이의 노출된 표면 상에 제공하는 단계를 포함할 수 있다. 제1 층의 적어도 일부분이 제거될 수 있다. 제2 집적 디바이스 다이가 개재하는 접착제 없이 제1 집적 디바이스 다이에 직접 접합될 수 있다.

Description

접합된 구조체를 형성하기 위한 적층된 다이 및 방법
본 분야는 보호 재료(protective material)를 가진 접합된 구조체(bonded structure) 및 보호 재료를 가진 접합된 구조체를 형성하기 위한 방법에 관한 것이다.
다양한 패키징 배열(packaging arrangement)에서, 예컨대 저-프로파일 패키지(low-profile package) 내에서의 다수의 집적 디바이스 다이(integrated device die)의 사용을 가능하게 하기 위해, 박화된(thinned) 집적 디바이스 다이를 제공하는 것이 유리할 수 있다. 예를 들어, 3차원(3D) 집적 기술은 흔히 2개 이상의 집적 디바이스 다이가 서로 겹쳐 적층되고(stacked) 전기적으로 접속되는 패키지를 이용한다. 다이 박화 및/또는 3D 집적을 위한 통상적인 방법은 제한된 제품 수율을 가질 수 있는데, 왜냐하면 조립 중에 다이에 부여되는 응력이 스택(stack) 내의 다이를 손상시킬 수 있기 때문이다. 또한, 상이한 두께를 갖는 그리고 상이한 타입의 기판(substrate) 및/또는 웨이퍼(wafer)로부터 유래할 수 있는 다이를 적층하는 것이 어려울 수 있다. 따라서, 집적 디바이스 다이를 적층하기 위한 개선된 시스템 및 방법에 대한 지속적인 필요성이 여전히 남아 있다.
이들 태양 및 다른 태양이 본 발명을 제한하는 것이 아니라 예시하도록 의도되는 첨부 도면 및 바람직한 실시예의 하기의 설명으로부터 명백할 것이다.
도 1a 내지 도 1f는 일 실시예에 따른, 보호 재료를 가진 접합된 구조체를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도.
도 2a 내지 도 2k는 다른 실시예에 따른, 전기 상호접속부(electrical interconnect)가 박화 후에 형성되는, 보호 재료를 가진 접합된 구조체를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도.
도 3a 내지 도 3o는 다른 실시예에 따른, 처리 중의 보호 재료가 얇은 보호 라이너(protective liner) 및 충전제(filler) 재료를 포함하는 접합된 구조체를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도.
도 4a 내지 도 4k는 또 다른 실시예에 따른, 보호 재료가 충전제 재료 위의 추가의 보호 층을 포함하는 접합된 구조체를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도.
도 5a 내지 도 5i는 다른 실시예에 따른, 다수의 층이 제조 중에 보호 재료로서 제공되는 접합된 구조체를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도.
도 6a 내지 도 6e는 상이한 초기 두께를 갖는 다수의 다이를 가진 접합된 구조체를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도.
도 7a는 일 실시예에 따른, 접합된 구조체를 형성하기 위한 방법을 예시한 순서도.
도 7b는 다른 실시예에 따른, 접합된 구조체를 형성하기 위한 방법을 예시한 순서도.
도 8은 다양한 실시예에 따른, 하나 이상의 접합된 구조체를 통합한 시스템의 개략도.
본 명세서에 개시된 다양한 실시예는 개별화된(singulated) 집적 디바이스 다이가 패키징 구조체(예컨대, 패키지 기판, 웨이퍼, 다른 집적 디바이스 다이 등)에 실장될 수 있게 그리고 실장 후에 박화될 수 있게 한다. 개별화된 집적 디바이스 다이의 박화는 예컨대 (규소-관통 비아(through-silicon via) 또는 TSV와 같은) 상호접속부의 노출 또는 형성을 포함하는, 패키지 조립의 다양한 양태에 도움을 줄 수 있다. 그러나, 폴리싱(polishing) 또는 연삭(grinding)에 의한 다이의 박화는 다이 내에 응력을 유도할 수 있으며, 이는 다이의 에지(edge)를 손상 또는 파손시킬 수 있다. 일부 실시예에서, 박화 중에 그리고 일부 배열에서 후속 처리 단계 중에 다이를 보호하기 위해 보호 재료(이는 하나 이상의 보호 층을 포함할 수 있음)가 집적 디바이스 다이 위에 그리고/또는 주위에 적용될 수 있다.
또한, 본 명세서에 개시된 다양한 실시예는 수율이 개선되고 다이에 부여되는 응력 및 손상이 감소되는 집적 디바이스 다이의 효율적인 적층을 용이하게 한다. 집적 디바이스 패키지 및 보다 큰 전자 시스템은 상이한 타입의 집적 디바이스 다이, 예컨대 상이한 기능성을 갖는 다이, 상이한 재료 세트로 형성되는 다이, 및/또는 상이한 두께를 갖는 다이를 통합할 수 있다. 그러한 다양한 집적 디바이스 다이를 패키지 내에 통합시키는 것, 및/또는 상이한 타입의 다이를 적층된 관계로 배열하는 것이 어려울 수 있다. 예를 들어, 상이한 재료로 형성되거나 코팅되는 2개의 다이를 접합시키는 것이 어려울 수 있다. 이러한 재료 부정합은 적층된 다이에 대한 열 및/또는 화학 결합 문제를 도입할 수 있다. 또한, 상이한 두께를 가진 다이의 적층은 전체 패키지 높이를 불필요하게 증가시킬 수 있고/있거나 정렬 문제를 수반할 수 있다. 유리하게는, 본 명세서에 개시된 실시예는 또한 임의적인 초기 두께를 갖는 집적 디바이스 다이의 적층을 가능하게 한다.
따라서, 다양한 실시예에서, 제1 집적 디바이스 다이가 기판(예컨대, 웨이퍼, 인쇄 회로 보드(printed circuit board), 편평 패널(flat panel), 유리 표면, 유전체 층(dielectric layer)을 포함하는 표면, 전도성 층 또는 섹션(section)을 포함하는 표면 등)과 같은 캐리어(carrier)에 실장될 수 있다. 제1 다이를 캐리어에 실장한 후에, 제1 집적 디바이스 다이가 박화될 수 있다. 유리하게는, 제1 집적 디바이스 다이 및 후속 다이는 캐리어 상에서 원하는 두께로 박화될 수 있다. 다양한 실시예에서, 박화된 다이(들)는 초박형(ultra-thin)으로 제조될 수 있으며, 이는 전체 패키지 높이를 감소시키고 특정 다이 스택 내에서의 다수의 다이의 사용을 가능하게 할 수 있다. 박화는 또한 규소 관통 비아(TSV)와 같은, 이전에 형성된 상호접속부의 노출, 또는 박화 후 상호접속부의 형성을 용이하게 할 수 있다. 일부 배열에서, 다수의 다이가 캐리어 상에 서로 인접하게 실장될 수 있고, 연삭 공정, 폴리싱 공정, 에칭(etching) 공정, 또는 임의의 다른 적합한 공정을 사용하여 박화될 수 있다. 일부 배열에서, 예를 들어, 다수의 인접한 다이가 연삭에 의해 박화 및/또는 평탄화될(planarized) 때, 다이의 에지는 다이의 에지가 파손, 균열, 또는 달리 손상되게 할 수 있는 응력을 받을 수 있다. 다이 에지 손실의 발생률(incidence)을 감소시키기 위해, 다이 에지로부터의 추가의 재료가 저 응력 제거 방법, 예컨대 습식 에칭 또는 건식 에칭 또는 이들의 조합에 의해 제거될 수 있다.
일부 실시예에서, 제1 집적 디바이스 다이(및 인접한 디바이스 다이)는 에칭 공정으로 박화될 수 있다. 박화는 적층된 다이를 전기적으로 접속시키는 데 유용한 상호접속부(예컨대, 규소 관통 비아, 트레이스(trace), 접촉 패드(contact pad) 등)를 노출시킬 수 있거나, 그러한 상호접속부의 형성을 용이하게 할 수 있다. 캐리어 상에 배치된 제1 다이는 연삭 또는 폴리싱 작업 중에 다이(다이 에지를 포함함)를 보호하는 역할을 하는 제1 보호 층을 포함하는, 보호 재료로 코팅될 수 있다. 일부 실시예에서, 제2 층이 제1 층 위에 제공되어 제1 층 내의 측방향 갭(gap)을 충전할 수 있다. 제2 층 및 제1 층의 적어도 일부분이 제거될 수 있다. 제2 층 및 제1 층의 부분들의 제거는 제1 집적 디바이스 다이를 통해 형성된 하나 이상의 전기 상호접속부를 노출시킬 수 있다. 제2 집적 디바이스 다이가 제1 집적 디바이스 다이 상에 적층될 수 있다. 일부 실시예에서, 제2 다이의 비-전도성 영역이 개재하는 접착제 없이 제1 다이의 대응하는 비-전도성 영역에 직접 접합된다. 일부 실시예에서, 제2 다이의 비-전도성 영역 및 전기 상호접속부 둘 모두가 개재하는 접착제 없이 제1 다이의 각각의 대응하는 비-전도성 영역 및 상호접속부에 직접 접합된다.
도 1a 내지 도 1f는 일 실시예에 따른, 접합된 구조체(1)를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 도 1a에서, 하나 이상의 제1 집적 디바이스 다이(3)(이는 제1 다이(3a, 3b)를 포함할 수 있음)가 기판(2)을 포함하는 캐리어에 실장될 수 있다. 기판(2)은 임의의 적합한 타입의 기판 또는 인터포저(interposer)를 포함할 수 있다. 예를 들어, 일부 실시예에서, 기판(2)은 규소 기판(예컨대, 웨이퍼의 일부 또는 전부), 유리 기판, 또는 절연체 상 규소(silicon on insulator, SOI) 기판을 포함할 수 있다. 기판(2)을 위한 규소, 유리, 또는 다른 반도체 재료의 사용은 유리하게는 제1 다이(3a, 3b)가 기판(2)에 직접 접합될 수 있도록 기판(2)의 상부 표면(8)이 매우 낮은 표면 조도(roughness)로 폴리싱될 수 있게 할 수 있다. 그러한 규소 기판(2)은 예를 들어 인터포저의 형태를 취할 수 있다. 그러나, 다른 실시예에서, 기판(2)은 인쇄 회로 보드(PCB), 세라믹 기판, 중합체 기판, 또는 임의의 다른 적합한 기판을 포함할 수 있고, 기판과 디바이스 다이(들) 사이에 접착제 층을 가질 수 있거나 그렇지 않을 수 있다. 도 1a 내지 도 1f에 도시된 캐리어가 기판을 포함하지만, 다른 실시예에서, 다이(3)가 실장되는 캐리어는 하나 이상의 디바이스 다이, 광학 디바이스, 또는 임의의 적합한 능동형 또는 수동형 디바이스를 포함할 수 있다.
제1 집적 디바이스 다이(들)(3)는 임의의 적합한 타입의 디바이스 다이를 포함할 수 있다. 예를 들어, 제1 다이(들)(3a, 3b) 각각은 프로세서 다이, 메모리 다이, 미세전자기계 시스템(microelectromechanical system, MEMS) 다이, 수동형 구성요소, 광학 디바이스, 또는 임의의 다른 적합한 타입의 디바이스 다이를 포함할 수 있다. 회로(예컨대, 트랜지스터(transistors)와 같은 능동형 구성요소)가 다양한 실시예에서 다이(들)(3a, 3b)의 활성 표면(active surface)(6)에 또는 그 부근에 패턴화될 수 있다. 활성 표면(6)은 다이(3a, 3b)의 각각의 후면(backside)(18) 반대편에 있는 다이(3a, 3b)의 면 상에 있을 수 있다. 후면(18)은 임의의 능동형 회로 또는 수동형 디바이스를 포함할 수 있거나 그렇지 않을 수 있다. 제1 다이(3a, 3b)는 동일한 타입의 집적 디바이스 다이 또는 상이한 타입의 디바이스 다이일 수 있다. 도 1a에 도시된 바와 같이, 다이(3a)는 다이(3b)로부터 기판(2)의 표면을 따라 갭(7)만큼 측방향으로 이격될 수 있다. 일 실시예에서, 인접한 다이들 사이의 간격(7)은 상기 다이(3a 또는 3b)의 두께와 유사하다. 다른 실시예에서, 인접한 다이들 사이의 간격(7)은 다이(3a 또는 3b)의 두께의 10배 미만, 예컨대 바람직하게는 다이(3a 또는 3b)의 두께의 5배 미만이다. 다른 실시예에서, 인접한 다이들 사이의 갭(7)은 다이의 측방향 치수 중 하나보다 작을 수 있다. 단지 2개의 다이(3a, 3b)만이 도 1a에 도시되지만, 2개보다 많거나 적은 다이(3a, 3b)가 기판(2)에 실장될 수 있는 것이 인식되어야 한다. 또한, 일부 실시예에서, 다이(3a, 3b)는 다이(3a, 3b)를 기판(2)에 실장하기 전에 적절한 전기 기능성에 대해 시험될 수 있다. 일부 실시예에서, 단지 양품 판정 다이(known good die, KGD)만이 기판(2)에 실장하기 위해 선택될 수 있다. 다른 실시예에서, 다이는 기판(2)에 실장된 후에 전기 기능성에 대해 시험될 수 있다. 도 1a의 다이(3a, 3b)는 다양한 능동형(및/또는 수동형) 구성요소를 갖는 집적 디바이스 다이를 포함한다. 다른 실시예에서, 하나 이상의 별개의 수동형 디바이스가 집적 디바이스 다이의 일부로서 형성되지 않고서 기판에 실장될 수 있다.
전도성 요소가 다른 디바이스에 대한 전기 접속 및/또는 기판(2) 내의 다른 구성요소로의 라우팅(routing)을 제공하기 위해 기판(2)의 상부 표면(8) 상의 하나 이상의 층 내에 제공될 수 있다. 일부 실시예에서, 하나 이상의 층은 도 1a에 도시된 바와 같이, 라우팅 층(34) 및 버퍼 층(buffer layer)(5)을 포함할 수 있다. 라우팅 층(34)은 재배선 층(redistribution layer, RDL) 또는 백 엔드 오브 라인(back end of line, BEOL) 층을 포함할 수 있다. 예를 들어, 다양한 실시예에서, 라우팅 층(34)은 구리, 금, 알루미늄, 인듐, 갈륨, 주석, 니켈, 그들 각각의 합금 또는 임의의 다른 적합한 전도성 재료를 포함할 수 있다. 라우팅 층(34)은 다양한 전기 회로들 사이에서, 그리고/또는 기판(2)이 접속되는 보다 큰 전자 시스템과 회로 요소 사이에서 기판(2)을 가로질러 전기 신호를 지향시키도록 패턴화될 수 있다. 예를 들어, 일부 실시예에서, 라우팅 층(34)은 매립되고 패턴화된 금속성 트레이스를 가진 BEOL 유전체 층을 포함할 수 있다. 층(34)은 다양한 실시예에서 하나의 층 또는 다수의 층을 포함할 수 있다. 버퍼 층(5)은 기판(2)과 제1 디바이스 다이(3a, 3b) 사이에서 라우팅 층(34) 위에 제공될 수 있다. 버퍼 층(5)은 중합체 층(예컨대, 폴리이미드 또는 산화물)과 같은 비-전도성 층을 포함할 수 있다. 다양한 실시예에서, 버퍼 층(5)은 단일 층 또는 다수의 층을 포함할 수 있다. 버퍼 층(5)은 기계적 및/또는 열적 부정합을 처리하기 위해 다이(3a, 3b)와 기판(2) 사이에 완충물을 제공하도록 비교적 유연성일 수 있다. 버퍼 층(5)은 1 마이크로미터 내지 10 마이크로미터 범위 내의, 또는 3 마이크로미터 내지 6 마이크로미터 범위 내의, 예컨대 4 마이크로미터 내지 5 마이크로미터 범위 내의 두께를 가질 수 있다. 2개의 층(예컨대, 버퍼 층(5) 및 라우팅 층(34))이 도 1a에 예시되지만, 일부 실시예에서, 전도성 요소(이는 절연 재료 내에 매립될 수 있음)를 포함하는 단지 하나의 층만이 사용될 수 있는 것이 인식되어야 한다.
하나 이상의 전기 상호접속부(10)가 각각의 제1 다이(3a, 3b)의 적어도 일부분을 통해 형성될 수 있다. 각각의 상호접속부(10)는 비-전도성 라이너(9) 내부에 형성될 수 있다. 다양한 실시예에서, 상호접속부(10) 및 라이너(9)는, 하나 이상의 공동(cavity)이 형성되고 라이너(9) 및 상호접속부(10)가 공동(예컨대, 트렌치(trench)) 내에 침착될 수 있는 다마신 공정(damascene process)을 사용하여 형성될 수 있다. 다양한 실시예에서, 상호접속부(10)는 기판 관통 비아(through substrate via, TSV), 트레이스, 또는 둘 모두를 포함할 수 있다. 일부 실시예에서, 상호접속부(10)는 다이(3a, 3b)의 표면에서 노출되는 트레이스 또는 접촉 패드를 포함할 수 있다. 도 1a에 도시된 바와 같이, 제1 다이(3a, 3b)는 상호접속부(10)가 활성 표면(6)으로부터 초기 두께 t i 의 제1 다이(3a, 3b)의 일부분을 통해 연장되도록 제조될 수 있다. 도 1a에서, 상호접속부(10)는 제1 다이(3a, 3b)의 후면(18)까지 완전히 연장되지 않는 것으로 예시되지만, 다른 실시예에서, 상호접속부(10)는 후면(18)까지 연장될 수 있다. 상호접속부(10)는 다이(3a, 3b)의 활성 표면(6)에 또는 그 부근에 형성되는 회로 요소에 전기적으로 접속될 수 있다. 상호접속부(10)는 기판(2)의 버퍼 층(5) 및/또는 라우팅 층(34) 내의 대응하는 패드 또는 트레이스와 전기적으로 결합될 수 있다.
유리하게는, 본 명세서에 개시된 방법은 얇은 다이, 두꺼운 다이, 중간-크기의 다이, 또는 임의의 다른 임의적인 다이 두께를 포함하는, 임의의 적합한 초기 두께 t i 를 갖는 다이(3a, 3b)와 함께 이용될 수 있다. 또한, 도 1a에 도시된 다이(3a, 3b)가 대략 동일한 초기 두께 t i 를 갖지만, 일부 실시예에서, 인접한 다이(3a, 3b)의 초기 두께 t i 는 상이할 수 있다. 예를 들어, 일부 실시예에서, 다이(3a, 3b)의 초기 두께 t i 는 3 마이크로미터 내지 2000 마이크로미터 범위 내에, 또는 보다 특정하게는 5 마이크로미터 내지 200 마이크로미터 범위 내에, 또는 보다 특정하게는 5 마이크로미터 내지 100 마이크로미터 범위 내에 있을 수 있다.
제1 다이(3a, 3b)는 임의의 적합한 방법을 사용하여 기판(2)에 부착될 수 있다. 예를 들어, 예시된 실시예에서, 제1 다이(3a, 3b)는 개재하는 접착제 없이 기판(2)에 직접 접합될 수 있다. 직접 접합 배열에서, 다이(3a, 3b)의 비-전도성 필드 영역(non-conductive field region)(20)이 기판(2)의 대응하는 비-전도성 영역과 직접 접촉하고 직접 접합될 수 있다. 전도성 상호접속부(10)에 접속된 것과 같은, 활성 표면(6)에 있는 접합 패드 또는 트레이스는, 버퍼 층(5) 내의 개구를 통해 노출될 수 있고 돌출되거나 함몰될 수 있는, 라우팅 층(34)의 대응하는 금속성 패드(또는 트레이스 또는 다른 전도 특징부)와 접촉하고 그것에 직접 접합될 수 있다.
일부 실시예에서, 전도성 상호접속부(10)에 접속된 것과 같은, 활성 표면(6)에 있는 접합 패드 또는 트레이스 중 일부 또는 전부가 라우팅 층(34)의 비-전도성 특징부에 직접 접합될 수 있다. 그러한 실시예에서, 후속 단계에서, 기판(2)이 후면으로부터 박화될 수 있고, 전도성 접점이 다이(3a 및/또는 3b)의 활성 표면 상의 전도성 접합 패드 또는 트레이스에 전기적으로 결합되도록 박화된 기판(2)으로부터 형성될 수 있다. 다른 실시예에서, 다이(3a, 3b)는 솔더(solder), 전도성 에폭시(conductive epoxy), 이방성 전도성 필름(anisotropic conductive film) 등과 같은 임의의 적합한 접착제로 기판(2)에 접착될 수 있다.
직접 접합을 달성하기 위해, 일부 실시예에서, 다이(3a, 3b) 및 기판(2)의 접합 표면이 접합을 위해 준비될 수 있다. 제1 다이(3a, 3b)가 매우 높은 평활도(degree of smoothness)(예컨대, 20 nm 미만의 표면 조도, 또는 보다 특정하게는 5 nm 미만의 표면 조도)로 폴리싱될 수 있다. 일부 실시예에서, 접합 층(11)(예컨대, 산화규소와 같은 유전체)이 다이(3a, 3b)의 활성 표면(6) 상에 침착되고 매우 높은 평활도로 폴리싱될 수 있다. 유사하게, 기판(2)의 접합 표면(예컨대, 기판(2)의 상부 표면(8) 또는 버퍼 층(5)의 상부 표면)이 매우 높은 평활도(예컨대, 20 nm 미만의 표면 조도, 또는 보다 특정하게는 5 nm 미만의 표면 조도)로 폴리싱될 수 있다. 일부 실시예에서, 접합 표면(예컨대, 버퍼 층(5), 기판(2)의 상부 표면(8), 접합 층(11), 및/또는 활성 표면(6))은 접합을 개선하기 위해 플루오르화될(fluorinated) 수 있다. 접합 표면은 또한 접합 패드와 같은 전도성 특징부를 포함할 수 있다. 일부 실시예에서, 접합될 표면은 적합한 화학종(species)으로 종단처리되고(terminated) 접합 전에 활성화될 수 있다. 예를 들어, 일부 실시예에서, 접합될 표면은 활성화를 위해 매우 약간 에칭되고 질소-함유 용액에 노출되며 질소-함유 화학종으로 종단처리될 수 있다. 일례로서, 접합될 표면은 매우 약간의 에칭 후에 암모니아 침지액(ammonia dip)에, 그리고/또는 질소-함유 플라즈마(nitrogen-containing plasma)(별개의 에칭이 있거나 없이)에 노출될 수 있다.
일단 표면이 준비되면, 다이(3a, 3b)의 비전도성 필드 영역(20)이 기판(2)의 대응하는 비전도성 영역과 접촉하게 될 수 있다. 활성화된 표면의 상호작용은 다이(3a, 3b)의 비전도성 영역(20)이 개재하는 접착제 없이, 외부 압력의 인가 없이, 전압의 인가 없이, 그리고 실온에서 기판(2)의 대응하는 비전도성 영역과 직접 접합되게 할 수 있다. 다양한 실시예에서, 비전도성 영역의 접합력은, 반 데르 발스 결합(Van der Waals bond)보다 크고 다이(3a)의 표면 상의 전도성 특징부와 기판(2)의 대응하는 접촉 패드 사이에 상당한 힘을 가하는 공유 결합(covalent bond)일 수 있다. 일부 실시예에서, 상호접속부(10) 및/또는 접촉 패드는 다이(3a, 3b) 및 기판(2)의 외부 표면과 동일 평면 상에 있다. 다른 실시예에서, 상호접속부(10) 및/또는 접촉 패드는 다이(3a, 3b) 및 기판(2)의 외부 표면 위로 연장될 수 있다. 또 다른 실시예에서, 상호접속부(10) 및/또는 접촉 패드는 다이(3a, 3b) 및 기판(2)의 외부 표면(예컨대, 산화물 필드 영역)에 대해 함몰된다. 다양한 실시예에서, 기판(2) 및 다이(3a, 3b)는 다이(3a, 3b)가 기판(2)과 접합되게 하도록 비전도성 영역들 사이의, 전도성 영역들 사이의, 그리고/또는 대향하는 전도성 및 비-전도성 영역들 사이의 접합을 강화시키기 위해 접합 후에 가열될 수 있다. 직접 접합 공정의 추가의 상세 사항은 미국 특허 제7,126,212호; 제8,153,505호; 제7,622,324호; 제7,602,070호; 제8,163,373호; 제8,389,378호; 및 제8,735,219호 전반에 걸쳐, 그리고 미국 특허 출원 제14/835,379호; 제62/278,354호; 및 제62/303,930호 전반에 걸쳐 확인될 수 있으며, 이들 각각의 내용은 이로써 전체적으로 그리고 모든 목적을 위해 본 명세서에 참고로 포함된다.
도 1a의 실시예가 기판(2)에 직접 접합되는 다이(3a, 3b)를 예시하지만, 다른 실시예에서, 다이(3a, 3b)는 다른 방식으로 기판(2)에 실장될 수 있다. 예를 들어, 다른 실시예에서, 다이(3a, 3b)는 솔더 볼(solder ball) 또는 다른 전기 접점으로 기판(2)에 접속될 수 있다. 또 다른 실시예에서, 다이(3a, 3b)는 이방성 전도성 필름 또는 비전도성 페이스트(nonconductive paste)로 기판(2)과 접속될 수 있다.
도 1b를 참조하면, 다이(3a, 3b)의 후면(18)이 초기 두께 t i 로부터 다이(3a, 3b)의 후방 표면(19)과 반대편 전방 표면 사이로 정해지는 바와 같은 최종 두께 t f 로 박화된다. 예를 들어, 도 1b에 도시된 바와 같이, 후면(18)은 적합한 에칭제(etchant)를 사용하여 상호접속부(10) 및 라이너(9)를 노출시키기에 충분한 기간 동안 에칭될 수 있다. 일부 실시예에서, 다이(3a, 3b)는 규소 반응성 이온 에칭(reactive ion etch, RIE) 기술을 사용하여 에칭된다. 다른 타입의 박화 기술이 다이(3a, 3b)를 박화시키기 위해 사용될 수 있다. 예를 들어, 당업자에게 알려져 있는 이방성 또는 등방성(isotropic) 에칭 기술(예컨대, 건식 또는 습식 에칭 공정)을 포함하는, 다른 타입의 에칭 공정이 사용될 수 있다. 다이(3a, 3b)는 다이(3a, 3b)가 원하는 최종 두께 t f 를 가질 때까지 박화될 수 있다. 일단 박화되면, 상호접속부(10) 및 라이너(9)는 위로 연장될 수 있고, 제1 다이(3a, 3b)의 노출된 후방 표면(19)을 통해 노출될 수 있다. 도 1b에 도시된 바와 같이, 선택적 규소 에칭이 채용되는 경우에, 상호접속부(10)의 노출된 말단부는 다마신 공정 중에 트렌치의 저부 내에 침착되는 라이너(9)의 부분에 해당하는 라이너(9)의 말단 라이너 부분(9a)을 포함할 수 있다.
다양한 실시예에서, 다이(3a, 3b)의 최종 두께 t f 는 40 마이크로미터 미만, 30 마이크로미터 미만, 또는 20 마이크로미터 미만일 수 있다. 다이(3a, 3b)의 최종 두께 t f 는 5 마이크로미터 내지 30 마이크로미터 범위 내에, 또는 보다 특정하게는 5 마이크로미터 내지 15 마이크로미터 범위 내에, 또는 보다 특정하게는 5 마이크로미터 내지 10 마이크로미터 범위 내에 있을 수 있다. 다이(3a, 3b)의 최종 두께 t f 는 동일할 수 있거나, 서로 상이할 수 있다. 다양한 실시예에서, 다이(3a, 3b)의 최종 두께 t f 는 300 마이크로미터 미만, 200 마이크로미터 미만, 또는 100 마이크로미터 미만일 수 있다. 다이(3a, 3b)의 최종 두께 t f 는 일부 실시예에서 40 내지 100 마이크로미터 범위 내에 있을 수 있다.
도 1c를 참조하면, 보호 재료가 제1 다이(3a, 3b) 위에 그리고 인접한 다이들(3a, 3b) 사이의 기판(2)의 부분들 위에 제공될 수 있다. 일부 실시예에서, 보호 재료는 버퍼 층(5)(또는 버퍼 층이 없는 경우 기판(2)의 상부 표면(8)) 위에 그리고 다이(3a, 3b)의 후방 표면(19) 위에 침착되어(예컨대, 보다 낮은 온도를 가능하게 하기 위해 플라즈마 침착 공정으로) 버퍼 층(5)(또는 버퍼 층이 없는 경우 기판(2)의 상부 표면(8)) 및 다이(3a, 3b)를 코팅하는 제1 층(12)을 포함할 수 있다. 다른 실시예에서, 제1 층(12)은 기판(2) 및 다이(3a, 3b) 상에 라미네이팅될(laminated) 수 있다. 다른 실시예에서, 제1 층(12)은 슬립 주조 방법(slip casting method), 스크린 인쇄(screen printing) 또는 코팅 또는 스핀 코팅(spin coating), 3D 인쇄 방법에 의해 또는 전기영동 방법(electrophoretic method) 또는 이들의 조합에 의해 침착될 수 있다. 도 1c에 도시된 바와 같이, 제1 층(12)은 다이(3a, 3b)의 최종 두께 t f 이상일 수 있는 두께 t c 를 가질 수 있다. 적용된 제1 층(12)의 두께 t c 는 0.5 마이크로미터 내지 50 마이크로미터 범위 내에, 1 마이크로미터 내지 35 마이크로미터 범위 내에, 5 마이크로미터 내지 30 마이크로미터, 또는 보다 특정하게는 2 마이크로미터 내지 25 마이크로미터 범위 내에, 또는 보다 특정하게는 5 마이크로미터 내지 15 마이크로미터 범위 내에, 또는 2 마이크로미터 내지 15 마이크로미터 범위 내에, 또는 5 마이크로미터 내지 10 마이크로미터 범위 내에 있을 수 있다. 적용된 제1 층(12)의 두께 t c 는 40 마이크로미터 미만, 30 마이크로미터 미만, 또는 20 마이크로미터 미만일 수 있다. 또한, 도 1c에 도시된 바와 같이, 제1 층(12)은 다이들(3a, 3b) 사이의 갭(7) 내에 배치되는 제1 부분(13) 및 다이(3a, 3b)의 후방 표면(19) 위에 배치되는 제2 부분(14)을 포함할 수 있다. 제2 부분(14)은 노출된 전기 상호접속부(10) 및 라이너(9) 주위에 배치될 수 있고 그것을 둘러쌀 수 있다.
유리하게는, 제1 층(12)은 후속 처리 공정 중에 다이(3a, 3b)를 보호하기 위한 보호 층으로서의 역할을 할 수 있다. 예를 들어, 도 1e와 관련하여 아래에서 설명되는 바와 같이, 부분적으로 형성된 접합된 구조체(1)의 후면이 평탄화될 수 있다. 보호 제1 층(12)은 유리하게는 폴리싱과 같은 제거 작업 중에 다이(3a, 3b)(예컨대, 다이 에지)를 보호하여, 다이(3a, 3b)의 에지 및 다른 부분이 파단되거나 달리 손상되는 것을 방지할 수 있다. 따라서, 제1 층(12)은 후속 처리 단계 중에 다이(3a, 3b)를 효과적으로 고정시키고 밀봉하여 다이(3a, 3b)의 구조적 완전성을 보호할 수 있다.
제1 층(12)은 일부 실시예에서 다이(3a, 3b)의 노출된 후방 표면(19)보다 경질일(harder) 수 있다. 제1 층(12)은 다이(3a, 3b)를 보호하기 위해 미충전(unfilled) 폴리이미드 또는 에폭시 수지 코팅에 비해 경질이고 치밀할 수 있다. 예를 들어, 제1 층(12)은 12 GPa 내지 500 GPa 범위 내의, 또는 보다 특정하게는 20 GPa 내지 200 GPa 범위 내의 비교적 높은 영 계수(Young's modulus)를 가질 수 있다. 유익하게는, 제1 층(12)은 기판(2)의 열 팽창 계수(coefficient of thermal expansion)와 실질적으로 정합되는 열 팽창 계수를 가질 수 있다. 열 팽창 계수의 정합은 유리하게는 다이(3a, 3b)에 가해지는 열-유도 응력을 감소시킬 수 있다. 일부 실시예에서, 제1 층(12)의 열 팽창 계수는 제1 다이(3a, 3b)의 열 팽창 계수로부터 25 ppm/℃ 이내에, 또는 보다 특정하게는 제1 다이(3a, 3b)의 열 팽창 계수로부터 20 ppm//℃ 이내에 있을 수 있다. 예를 들어, 제1 층(12)의 열 팽창 계수는 0.3 ppm/℃ 내지 22 ppm/℃ 범위 내에, 0.5 ppm/℃ 내지 15 ppm/℃ 범위 내에, 2 ppm/℃ 내지 15 ppm/℃ 범위 내에, 또는 보다 특정하게는 0.5 ppm/℃ 내지 12 ppm/℃ 범위 내에, 또는 보다 특정하게는 2 ppm/℃ 내지 10 ppm/℃ 범위 내에 있을 수 있다.
또한, 제1 층(12)을 그것이 충분히 높은 유리 전이 온도(glass transition temperature, GTT)를 갖도록 선택하는 것이 중요할 수 있다. 후속 처리 단계는 부분적으로 형성된 구조체(1)를 고온으로 가열하는 것을 수반할 수 있다. 예를 들어, 구조체(1)는 후속 전도성 층(예컨대, RDL 층)의 처리 중에 그리고/또는 접합 중에 150℃ 초과, 200℃ 초과, 또는 250℃ 초과의 온도로 가열될 수 있다. 일부 중합체, 에폭시, 및 다른 재료는 그러한 고온 처리 중에 현저히 연화될 수 있다. 다양한 열 처리 단계 중에 고온 처리를 견디고 그의 기하학적 프로파일을 유지할 수 있고/있거나 비가역적으로 변형되지 않는 제1 층(12)을 위한 재료를 선택하는 것이 중요할 수 있다. 따라서, 높은 GTT, 예컨대 100℃ 초과, 150℃ 초과, 200℃ 초과, 250℃ 초과, 또는 300℃ 초과의 GTT를 갖는 제1 층(12)을 선택하는 것이 유리할 수 있다. 일부 실시예에서, 가교결합된 재료의 경우, 푸아송 비(Poisson ratio)가 0.4 초과이고 바람직하게는 0.5에 근사하면, 예컨대 푸아송 비가 0.25 내지 0.8 범위 내에 있으면 그리고 이때 열 분해 온도(thermal decomposition temperature)가 250℃ 초과, 또는 300℃ 초과이면, 제1 층의 GTT는 100℃ 미만일 수 있다. 일부 실시예에서, 본 명세서에서 설명되는 바와 같이, 중합체 재료가 제1 층(12)에(그리고/또는 후술되는 제2 층(15)과 같은 추가의 보호 층에) 사용될 수 있다. 중합체 재료 또는 매트릭스는 일부 실시예에서 150℃ 초과, 200℃ 초과, 250℃ 초과, 300℃ 초과, 또는 350℃ 초과, 예컨대 280℃ 초과의 융점(melting point)을 가질 수 있다.
따라서, 높은 영 계수를 갖고서 강성 및/또는 경질인, 기판의 그것과 유사한(예컨대, 규소 또는 유리 기판의 경우에 규소 또는 유리의 그것과 유사한) 열 팽창 계수를 갖는, 그리고 접합된 구조체(1)를 형성하기 위해 사용되는 최고 처리 온도를 초과하는 유리 전이 온도 또는 GTT를 갖는 제1 층(12)을 선택하는 것이 중요할 수 있다. 예를 들어, 일부 실시예에서, 제1 층(12)은 규소, 무기 산화물, 무기 질화물, 무기 탄화물, 또는 카르보네이트, 예를 들어 산화규소, 질화규소, 탄화규소, 다이아몬드 유사 탄소(diamond like carbon, DLC) 또는 다른 타입의 반도체 재료 및 비-반도체 재료를 포함할 수 있다. 다른 실시예에서, 중합체가 사용될 수 있다. 예를 들어, 제1 층(12)은 폴리이미드 또는 폴리이미드-아미드를 포함할 수 있다. 일부 실시예에서, 제1 층(12)은 토르론(Torlon)(등록상표)을 포함할 수 있다. 일부 실시예에서, 본 명세서에서 설명되는 바와 같이, 제1 층(12)은 충전제 입자(예컨대, 산화물 또는 질화물 입자, 카르보네이트, 운모, 처리된 또는 미처리 고령토, 활석, 또는 처리된 또는 미처리 점토 재료, 예를 들어 벤토나이트 점토 등)로 충전되는 기저 재료(base material)(예컨대, 중합체)를 포함할 수 있다. 충전제 입자는 층(12)의 열 팽창 계수를 감소시키고 층(12)의 CTE를 기판(2)의 그것에 보다 근사하게 하는 데 도움을 줄 수 있다. 충전제 입자는 층(12)의 경도 또는 강성을 증가시킬 수 있다. 충전제 함량은 10%에서 90% 사이에서, 예컨대 20%와 85% 사이에서, 또는 보다 특정하게는 30%와 80% 사이에서 달라질 수 있다. 충전제 입자는 2 nm 내지 20 마이크로미터 미만, 예컨대 50 nm 내지 5 마이크로미터 범위 내로 크기설정될 수 있다. 일 실시예에서, 충전제 미립자의 평균 크기는 다이들(3a, 3b) 사이에 배치된 갭(7)의 30% 미만이다. 다른 실시예에서, 충전제 미립자의 평균 크기는 다이들(3a, 3b) 사이의 갭(7)의 10% 미만, 예컨대 다이들(3a, 3b) 사이의 갭(7)의 2% 미만이다. 일부 실시예에서, 갭(7) 내의 충전제 미립자의 평균 크기는 최종 다이 두께 t f 의 30% 미만이며, 예컨대 충전제 입자 중 적어도 일부는 다이의 최종 두께 t f 의 5% 미만이다. 일부 실시예에서, 다이(3a 또는 3b)의 수직 측벽 상의 또는 그에 인접한 미립자의 폭 또는 길이는 다이(3a 또는 3b)의 최종 두께 tf의 15% 미만이다. 예시된 실시예에서 다이(3a, 3b)가 이미 박화되었기 때문에, 이들 재료는 과도한 응력 또는 과도한 비용을 도입함이 없이 제1 층(12)과 함께 사용될 수 있다.
도 1d에서, 제2 층(15)이 제1 층(12) 상에 제공될 수 있다. 제2 층(15)은 평탄화를 용이하게 하기 위해 제1 층(12) 내의 공간 또는 갭을 충전하는 충전제 층을 포함할 수 있다. 제2 충전제 층(15)은 제1 층(12)에 대해 위에 열거된 타입의 미립자를 포함할 수 있다. 도 1d에 도시된 바와 같이, 제2 층(15)은 측방향으로 제1 층(12)의 제2 부분들(14) 사이에서 제1 부분(13) 위에 배치되는 제3 부분(16)을 포함할 수 있으며, 이는 제1 다이(3a, 3b)의 후방 표면(19) 위에 제공된다. 제2 층(15)은 또한 제1 층(12)의 제2 부분(14) 위에 배치되는 제4 부분(17)을 포함할 수 있다. 따라서, 도 1d에 도시된 바와 같이, 제2 층(15)은 제1 층(12)의 갭을 충전하는 평탄화 층으로서의 역할을 할 수 있고, 제1 층(12)에 대해 위에 언급된 경도 또는 CTE 특성을 가질 필요가 없다. 제2 층(15)은 임의의 적합한 재료, 예컨대 중합체(예컨대, 일부 실시예에서 하드 베이킹된(hard baked), 평탄화 네거티브 포토레지스트(planarizing negative photoresist), 또는 슬립 주조되거나 라미네이팅된 평탄화 층)를 포함할 수 있다.
도 1e를 참조하면, 제2 층(15)의 적어도 부분들과 제1 층(12)의 적어도 부분들이 제거되도록 부분적으로 형성된 구조체(1)의 후면이 연삭 및/또는 폴리싱될 수 있다. 예를 들어, 구조체(1)는 예컨대 화학-기계적 폴리싱(chemical-mechanical polishing, CMP) 기술, 기계적 밀링(mechanically milling) 기술, 연삭 기술을 사용하여 후방 에칭 또는 폴리싱될 수 있거나, 예컨대 습식 레이저 절제(wet laser ablation) 방법 및 이들의 조합으로 제거될 수 있다. 도 1e의 실시예에서, 예를 들어, 제2 층(15)은 대부분 또는 완전히 제거될 수 있다. 이러한 공정은 제1 층(12) 상에서 중단되도록 선택될 수 있다. 따라서, 제1 층(12)의 제1 부분(13) 중 적어도 일부가 다이들(3a, 3b) 사이의 갭(7) 내에 배치되어 유지되고 제1 층(12)의 제2 부분(14) 중 적어도 일부가 다이(3a, 3b) 위에 배치되어 유지되도록 단지 제1 층(12)의 일부만이 제거될 수 있다.
도 1e에 도시된 바와 같이, 제거 단계는 상호접속부(10)의 말단부에 있는 라이너(9)의 말단 부분(9a)을 제거함으로써 전도성 상호접속부(10)의 말단부를 노출시킬 수 있다. 도 1e에서, 상호접속부(10)의 단부는 다이(3a, 3b) 약간 위로 연장될 수 있다. 유리하게는, 도 1e의 제거 단계는 다이(3a, 3b) 위에 적어도 제1 층(12)의 제2 부분(14)의 얇은 층을 남길 수 있다. 남아 있는 제2 부분(14)은 유익하게는 평탄화 중에 상호접속부(10)가 다이(3a, 3b) 상에 스미어링(smearing)되는 것을 방지할 수 있다. 제1 층(12)의 노출된 표면(예컨대, 제1 부분(13) 및 제2 부분(14)의 노출된 표면), 라이너(9), 및 상호접속부(10)는 위에서 설명된 바와 같이 직접 접합을 위해 준비될 수 있다.
도 1f에서, 하나 이상의 제2 다이(4)(예컨대, 다이(4a, 4b))가 제1 다이(3a, 3b) 상에 적층되고 그것에 전기적으로 접속될 수 있다. 위에서 설명된 바와 같이, 제1 다이(3a, 3b)의 접합 표면 및 제2 다이(4a, 4b)의 접합 표면이 직접 접합을 위해 준비될 수 있다. 예를 들어, 접합 표면은 높은 평활도로 폴리싱되고 적합한 화학종으로 활성화될 수 있다. 제2 다이(4a, 4b)를 제1 다이(3a, 3b)에 직접 접합시키기 위해 제2 다이(4a, 4b)의 비전도성 영역이 제1 다이(3a, 3b)의 대응하는 비전도성 영역과 접촉하게 될 수 있다. 공유 결합으로 비전도성 영역들을 접합시키는 힘은 제2 다이(4a, 4b)의 표면 상의 전도 특징부와 제1 다이(3a, 3b)의 후면 상의 대응하는 전도성 특징부 사이의 내력(internal force)을 유도할 수 있으며, 이는 대응하는 전도성 특징부들이 함께 접합되게 할 수 있다. 일부 실시예에서, 예를 들어, 제2 다이(4) 상의 접촉 패드가 제1 다이(3) 상의 대응하는 접촉 패드와 직접 접합될 수 있다. 접촉 패드는 상호접속부(10)와 접속될(그리고/또는 그것의 일부를 형성할) 수 있다. 후속 어닐링(annealing) 처리가 제2 다이(4a, 4b) 및 제1 다이(3a, 3b)의 각각의 전도성 상호접속 특징부와 비전도성 영역 사이의 접합을 강화시킬 수 있다. 일부 실시예에서, 각각의 다이(3a, 3b, 4a, 4b)의 상호접속부(10)는 제1 다이(3a, 3b)의 상호접속부(10)와 제2 다이(4a, 4b)의 상호접속부(10) 사이의 전기 접속을 제공하는 트레이스에 의해 재배선 층 또는 BEOL과 전기적으로 접속될 수 있다(예컨대, 직접 접합에 의해 또는 접착 기술에 의해).
따라서, 결과적으로 생성된 접합된 구조체(1)는 개재하는 접착제 없이 하나 이상의 제1 다이(3a, 3b)에 직접 접합된 하나 이상의 제2 다이(4a, 4b)를 포함할 수 있으며, 이는 이어서 기판(2)에 직접 접합된다. 일부 실시예에서, 제1 다이(3a, 3b) 및 제2 다이(4a, 4b)의 각각의 상호접속부(10)가 또한 함께 직접 접합될 수 있다. 상호접속부(10)는 다이(3 내지 4) 내에 형성되는 규소 관통 비아(TSV) 및 다이의 활성 표면(6)에 있는 접촉 패드를 포함할 수 있다. 제1 층(12)의 제1 부분(13)이 측방향으로 제1 다이들(3a, 3b) 사이에 배치될 수 있다. 제1 층의 제1 부분(13)은 제1 다이(3a, 3b)의 측부 표면 상에 배치될 수 있다. 제1 층(12)의 제2 부분(14)이 수직으로 제1 다이(3a)와 제2 다이(4a) 사이에, 그리고 제1 다이(3b)와 제2 다이(4b) 사이에 배치될 수 있다. 제1 층(12)의 제2 부분(14)은 전기 상호접속부(10)가 층(12)을 통해 노출되도록 전기 상호접속부(10) 주위에 측방향으로 배치될 수 있다. 일부 실시예에서, 적층된 다이(3, 4)는 조립 후에 집적 디바이스 패키지로 함께 패키징될 수 있다. 다른 실시예에서, 2개의 적층된 다이(3, 4)는 개별화되고 별개의 집적 디바이스 패키지로 패키징될 수 있다.
또한, 도 1a 내지 도 1f에 도시되지 않지만, 추가의 집적 디바이스 다이가 다이(4a, 4b)의 상부에 적층될 수 있도록 도 1a 내지 도 1f에 도시된 공정이 임의의 적합한 횟수로 반복될 수 있는 것이 인식되어야 한다. 임의의 적합한 개수 및/또는 타입의 집적 디바이스 다이가 접합된 구조체(1)를 형성하도록 적층될 수 있다. 예를 들어, 집적 디바이스 다이(3a, 3b, 4a, 4b)는 능동형 및/또는 수동형 전자 구성요소를 포함할 수 있다. 일부 실시예에서, 하나 이상의 수동형 인터포저가 인터포저의 서로 반대편에 있는 면들 상에서 다이에 접속될 수 있다. 일부 실시예에서, 구조체(1)는 스페이서(spacer), 방열기(heat spreader), 또는 제한된 전기 기능성을 가진 다른 구성요소를 포함할 수 있다. 일부 실시예에서, 하나 이상의 상호접속부가 전기 차폐 목적을 위해, 예컨대 패러데이 케이지(Faraday cage) 구조체의 일부를 형성하는 것으로서 사용될 수 있다. 본 명세서에 기술된 박화된 다이는 특히 직접 접합을 채용한 실시예에서 집적 회로 패키지에 대한 보다 낮은 프로파일을 제공하고 또한 상호접속부의 전체 저항을 감소시켜, 시스템의 전체 속도와 패키지의 신뢰성을 증가시킬 수 있다.
도 2a 내지 도 2k는 다른 실시예에 따른, 전기 상호접속부(10)가 박화 후에 형성되는 접합된 구조체(1)를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 달리 언급되지 않는 한, 도 2a 내지 도 2k에 도시된 도면 부호는 도 1a 내지 도 1f의 동일-도면 부호의 구성요소와 동일하거나 유사한 구성요소를 나타낸다. 또한, 도 1a 내지 도 1f와 관련하여 개시된 공정, 재료, 기능성, 및 구조 중 임의의 것이 도 2a 내지 도 2k의 실시예에 사용될 수 있다. 도 1a 내지 도 1f의 실시예와 달리, 보호 재료는 박화 전에 형성될 수 있고, 상호접속부(10)는 박화 후에 형성될 수 있다.
도 1a 내지 도 1f와 마찬가지로, 도 2a에서, 제1 다이(3a, 3b)(이는 KGD를 포함할 수 있음)가 기판(2)에 실장될(예컨대, 직접 접합될) 수 있다. 제1 다이(3a, 3b)는 초기 두께 t i 를 가질 수 있다. 그러나, 도 2b에서, 제1 층(12)은 다이(3a, 3b)가 박화되기 전에 다이(3a, 3b)의 후면(18) 위에 그리고 다이들(3a, 3b) 사이의 갭(7) 내에 적용될 수 있다. 도 1a 내지 도 1f와 마찬가지로, 제1 층(12)의 제1 부분(13)은 다이(3a, 3b)의 측부를 따라, 그리고 다이들(3a, 3b) 사이의 갭(7) 내에서 기판(2)을 따라 배치될 수 있다. 제1 층(12)은 도 1a 내지 도 1f와 관련하여 전술된 재료 및 특성 중 임의의 것을 포함할 수 있다. 제1 층(12)은 원하는 최종 다이 두께 t i 를 초과하지 않고 전체 라미네이트 구조체(1)의 휨(warpage)을 감소시키거나 없애는 그러한 방식으로 선택되고 처리되는 두께를 가질 수 있다(도 2d 참조).
도 2c를 참조하면, 제2 층(15)은 제1 층(12) 위에 침착되거나 달리 제공될 수 있다. 도 1d의 실시예와 마찬가지로, 제2 층(15)의 제3 부분(16)은 예컨대 제1 층(12)의 제1 부분(13)의 측부 표면들 사이를 포함하는, 다이들(3a, 3b) 사이의 갭(7) 내에 배치될 수 있다. 제2 층(15)의 제4 부분(17)은 제1 층(12)의 제2 부분(14) 위에 배치될 수 있다. 위에서 설명된 바와 같이, 제2 층(15)은 접합된 구조체(1)의 후면의 평탄화를 용이하게 하기 위한 충전제 재료로서의 역할을 할 수 있다. 제2 층(15)은 일부 배열에서 제1 층(12)보다 덜 고가이고 덜 경질일 수 있다.
도 2d를 참조하면, 부분적으로 형성된 구조체(1)의 후면이 제거되어 적어도 제2 층(15)의 부분들(예컨대, 전부), 제1 층(12)의 부분들, 및 다이(3a, 3b)의 부분들을 제거하여 다이(3a, 3b)의 노출된 후방 표면(19)을 노출시킬 수 있다. 예를 들어, 부분적으로 형성된 구조체(1)는 다이(3a, 3b)를 도 1a 내지 도 1f와 관련하여 위에서 설명된 바와 동일한 범위 내에 있을 수 있는 원하는 최종 두께 t f 로 박화시키도록 연삭 및/또는 래핑될(lapped) 수 있다. 도 2d에서, 제1 층(12)의 제1 부분(13)의 적어도 일부가 예를 들어 다이(3a, 3b)의 측부를 따르는 것을 포함하여, 측방향으로 다이들(3a, 3b) 사이에 배치된다. 따라서, 보호 재료의 제1 층(12)은 다이의 에지를 다이 박화 공정 중에 치핑(chipping)으로부터 보호한다.
도 2e에서, 배선 유전체 층(22)(이는 산화규소 또는 다른 적합한 절연체 또는 반도체 재료를 포함할 수 있음)이 부분적으로 형성된 구조체(1)의 후면 위에 침착되거나 달리 형성될 수 있다. 예를 들어, 유전체 층(22)은 제1 층(12)의 제1 부분(13) 위에 그리고 다이(3a, 3b)의 노출된 후방 표면(19) 위에 제공되고, 필요할 경우, 낮은 조도(예컨대, 약 0.5 nm RMS 미만)로 폴리싱될 수 있다. 도 2f를 참조하면, 마스크(mask)(24)가 유전체 층(22) 위에 침착될 수 있고, 상호접속부를 위한 위치를 정하도록 패턴화될 수 있다. 예를 들어, 일부 실시예에서, 마스크(24)는 마스킹되고 광원에 노출될 수 있는 포토레지스트를 포함할 수 있다. 포지티브 레지스트(positive resist) 예의 경우, 마스킹되지 않은, 노출된 부분이 적합한 현상제(developing agent)를 사용하여 제거되어 마스크(24) 내에 패턴화된 공간(25)을 형성할 수 있다. 도 2g에서, 하나 이상의 비아 또는 트레이스(26)가 에칭과 같은 적합한 재료 제거 공정을 사용하여 형성될 수 있다. 예를 들어, 에칭제가 부분적으로 형성된 접합된 구조체에 공급되어 마스크(24)에 의해 덮이지 않은 위치에서 다이(3a, 3b)의 두께를 통해 비아(26)를 에칭할 수 있다. 임의의 적합한 에칭 공정(예컨대, 습식 에칭, 건식 에칭, RIE 등)이 비아(26)를 형성하기 위해 사용될 수 있다. 접합 층(5)이 일부 실시예에서 에칭 정지부(etch stop)로서의 역할을 할 수 있다. 다른 실시예에서, 라우팅 층(34) 또는 기판(2)의 상부 표면이 에칭 정지부로서의 역할을 할 수 있다. 일부 실시예에서, 단일 또는 이중 다마신 에칭 공정이 예를 들어 재배선 층(RDL)을 형성하기 위해 유전체 층(22) 내에 비아 및 트렌치를 형성하는 데 사용될 수 있다. 일부 실시예에서, 다마신 구조체의 트렌치는 박화된 다이(3a 및/또는 3b) 내로 연장될 수 있다.
도 2h를 참조하면, 마스크(24)가 제거되고 표면이 세정되어 이전의 에칭 방법으로부터의 원하지 않는 오염물질을 제거할 수 있다. 라이너(9) 및 전도성 상호접속부(10)가 공급되어 비아(26)를 충전할 수 있다. 예를 들어, 일부 실시예에서, 장벽 층(barrier layer)이 다이(3a, 3b)의 반도체 재료 위에 침착되고, 시드 층(seed layer)이 형성된다. 비아(26)(및 임의의 측방향 트렌치)는 금속으로 충전되어 상호접속부(10)를 형성할 수 있다. 일부 배열에서, 구조체(1)는 어닐링되어 금속성 상호접속부(10)를 안정시키거나 부분적으로 안정시킬 수 있고, 상호접속부(10)(및 부분적으로 형성된 구조체(1)의 후면)는 예를 들어 CMP 공정을 사용하여 폴리싱될 수 있다. 도 2i는 일부 실시예에서, 하나 이상의 시험 패드(28)가 유전체 층(22) 내에 또는 그 상에 통합될 수 있는 것을 예시한다. 시험 패드(28)는 조립 중에 다이(3a, 3b)와 기판(2) 사이의 연속성의 전기적 시험을 용이하게 하기 위해 사용될 수 있다.
도 2j를 참조하면, 하나 이상의 제2 다이(4a, 4b)가 제1 다이(3a, 3b) 상에 적층되고 그것과 직접 접합될 수 있다. 도 2j에서, 시험 패드(28)는 또한 조립 중에 다이들(3a, 4a) 사이의, 다이들(3b, 4b) 사이의, 다이들(3a, 4b) 사이의, 그리고/또는 다이들(3b, 4a) 사이의 연속성의 전기적 시험을 용이하게 하기 위해 사용될 수 있다. 도 2k는 시험 패드(28)가 비아(29)로 기판(2)에 접속되는 것을 제외하고는, 도 2j의 접합된 구조체(1)와 유사한 대안을 예시한다. 위에서 설명된 바와 같이, 제4 다이(4a, 4b)의 표면 상의 상호접속부 또는 전도성 특징부가 제3 다이(3a, 3b)의 후방 표면의 대응하는 상호접속부 또는 전도성 특징부와 직접 접합될 수 있다. 제4 다이(4a, 4b)의 비전도성 필드 영역이 또한 제3 다이(3a, 3b)의 대응하는 비전도성 필드 영역과 직접 접합될 수 있다. 도 2j 및 도 2k의 실시예에서, 제2 다이(4a, 4b)는 박화 전에 상호접속부(10)를 포함하는 것으로 예시된다. 그러한 배열에서, 도 1a 내지 도 1f의 실시예가 다이(4a, 4b)를 박화시키고 다이(4a, 4b)를 제3 세트의 다이(도시되지 않음)와의 접합을 위해 준비시키기 위해 채용될 수 있다. 그러나, 다른 실시예에서, 제2 다이(4a, 4b)가 박화 전에 상호접속부를 포함하지 않을 수 있고, 도 2a 내지 도 2i의 실시예가 다이(4a, 4b)를 박화시키고 박화 후에 상호접속부(10)를 제공하기 위해 사용될 수 있는 것이 인식되어야 한다.
도 3a 내지 도 3o는 다른 실시예에 따른, 보호 재료가 얇은 보호 라이너를 포함하는 제1 층(12)을 포함하는 접합된 구조체(1)를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 달리 언급되지 않는 한, 도 3a 내지 도 2o에 도시된 도면 부호는 도 1a 내지 도 2k의 동일-도면 부호의 구성요소와 동일하거나 유사한 구성요소를 나타낸다. 또한, 도 1a 내지 도 2k와 관련하여 개시된 공정, 재료, 기능성, 및 구조 중 임의의 것이 도 3a 내지 도 3o의 실시예에 사용될 수 있다. 도 3a 내지 도 3o의 실시예는 제1 층(12)이 박화된 다이에 대한 목표 두께보다 얇은 얇은 라이너를 포함하고, 박화 후에 박화된 다이(들)에 인접하게 유지되는 보호 재료가 충전제 재료를 추가로 포함하는 것을 제외하고는, 도 1a 내지 도 1f와 관련하여 위에 개시된 것과 전반적으로 유사하다.
도 1a 내지 도 1f와 마찬가지로, 도 3a에서, 제1 다이(3a, 3b)가 기판(2)과 같은 캐리어에 실장(예컨대, 직접 접합)된다. 도 3b에서, 기판(2)으로부터 멀어지는 쪽을 향하는 다이(3a, 3b)의 후면(18)이 예를 들어 다이 벌크 재료(die bulk material)(예컨대, 규소) 후방을 에칭하여 박화된 다이(3a, 3b)의 노출된 후방 표면(19)을 남김으로써 박화된다. 상호접속부(10) 및 라이너(9)는 다이(3a, 3b)의 후방 표면(19)을 통해 노출될 수 있다. 또한, 도 1a 내지 도 1f와 마찬가지로, 도 3c에서, 제1 층(12)은 다이(3a, 3b)의 후방 표면(19) 위에 그리고 다이들(7) 사이의 갭(7) 내에 적용(예컨대, 침착, 라미네이팅 등)될 수 있다. 예를 들어, 제1 층(12)의 제1 부분(13)은 다이(3a, 3b)의 측부 표면을 따라 그리고 기판(2) 위에 배치될 수 있다. 제1 층(12)의 제2 부분(14)은 다이(3a, 3b)의 후방 표면(19) 위에 그리고 상호접속부(10) 주위에 배치될 수 있다.
도 3c에 도시된 제1 층(12)은 도 3c의 층(12)이 박화된 다이(3a, 3b)보다 얇은 얇은 보호 라이너 층을 포함할 수 있는 것을 제외하고는, 도 1a 내지 도 2k와 관련하여 전술된 것과 동일할 수 있다. 예를 들어, 층(12)은 300 나노미터 내지 15 마이크로미터 범위 내의, 또는 보다 특정하게는 1 마이크로미터 내지 10 마이크로미터 범위 내의, 또는 1 마이크로미터 내지 5 마이크로미터 범위 내의 두께를 가질 수 있다. 일부 실시예에서, 층(12)은 2 마이크로미터 내지 10 마이크로미터 범위 내의, 예컨대 2 마이크로미터 내지 5 마이크로미터 범위 내의 두께를 가질 수 있다. 도 1a 내지 도 2k의 실시예와 마찬가지로, 층(12)은 후속 처리 단계 중에 다이(3a, 3b)(예컨대, 다이 에지)를 보호할 수 있다. 층(12)은 처리 중에 다이(3a, 3b)를 고정시키고 밀봉하는 역할을 할 수 있다. 유리하게는, 도 3c의 보호 제1 층(12)은 산화규소를 포함할 수 있으며, 이는 그렇지 않을 경우 박화된 다이(들)만큼 두꺼운 보다 큰 두께의 산화규소로 사용하기에 너무 고가이고 시간 소모적이며 그리고/또는 힘들(stressful) 수 있다. 다른 실시예에서, 제1 층(12)은 도 1a 내지 도 1f와 관련하여 위에서 설명된 특성을 갖는 재료 중 임의의 것을 포함할 수 있다.
도 3d에서, 보호 재료의 제2 층(15)은 제1 층(12) 위에 침착될 수 있다. 제2 층(15)은 제1 층(12)보다 두꺼울 수 있다. 제2 층(15)은 충전제 입자로 충전되는 충전제 재료(예컨대, 중합체)를 포함할 수 있다. 예를 들어, 제2 층은 2 nm 내지 30 nm 범위 내의 직경을 갖는 입자로 충전되는 중합체 기저 층을 갖는 복합 재료를 포함할 수 있다. 일부 실시예에서, 충전제 입자는 산화규소 또는 질화규소 입자를 포함할 수 있다. 충전제 입자는 제2 층(15)의 경도를 향상시킬 수 있고, 제1 층(12) 및 다이(3a, 3b)와의 열 정합을 개선할 수 있다. 복합 제2 층(15)은 위에서 설명된 바와 같은, 예컨대 150℃ 초과, 200℃ 초과, 250℃ 초과, 또는 300℃ 초과의 높은 유리 전이 온도(GTT)를 가질 수 있다.
따라서, 높은 GTT, 예컨대 100℃ 초과, 150℃ 초과, 200℃ 초과, 250℃ 초과, 또는 300℃ 초과의 GTT를 갖는 제2 층(15)을 선택하는 것이 유리할 수 있다. 일부 실시예에서, 가교결합된 재료의 경우, 푸아송 비가 0.4 초과이고 바람직하게는 0.5에 근사하면, 예컨대 푸아송 비가 0.25 내지 0.8 범위 내에 있으면 그리고 이때 열 분해 온도가 250℃ 초과, 또는 300℃ 초과이면, 제1 층의 GTT는 100℃ 미만일 수 있다.
위에서 설명된 바와 같이, 높은 영 계수를 갖고서 강성 및/또는 경질인, 기판의 그것과 유사한(예컨대, 규소 또는 유리 기판의 경우에 규소 또는 유리의 그것과 유사한) 열 팽창 계수를 갖는, 그리고 접합된 구조체(1)를 형성하기 위해 사용되는 최고 처리 온도를 초과하는 유리 전이 온도 또는 GTT를 갖는 제2 층(15)을 선택하는 것이 중요할 수 있다. 예를 들어, 일부 실시예에서, 제2 층(15)은 규소, 무기 산화물, 무기 질화물, 무기 탄화물, 또는 카르보네이트, 예를 들어 산화규소, 질화규소, 탄화규소, 다이아몬드 유사 탄소(DLC) 또는 다른 타입의 반도체 재료 및 비-반도체 재료를 포함할 수 있다. 다른 실시예에서, 중합체가 사용될 수 있다. 예를 들어, 제2 층(15)은 폴리이미드 또는 폴리이미드-아미드를 포함할 수 있다. 일부 실시예에서, 제2 층(125)은 토르론(등록상표)을 포함할 수 있다. 일부 실시예에서, 본 명세서에서 설명되는 바와 같이, 제1 층(12)은 충전제 입자(예컨대, 산화물 또는 질화물 입자, 또는 카르보네이트, 또는 운모, 처리된 또는 미처리 고령토, 처리된 활석 또는 점토 재료, 예를 들어 미처리 벤토나이트)로 충전되는 기저 재료(예컨대, 중합체)를 포함할 수 있다. 충전제 입자는 층(15)의 열 팽창 계수를 감소시키고 층(15)의 CTE를 기판(2) 또는 제1 층(12)의 그것에 보다 근사하게 하는 데 도움을 줄 수 있다. 충전제는 층(12)의 경도 또는 강성을 증가시킬 수 있다. 층(12) 내의 충전제 함량은 10%에서 90% 사이에서, 예컨대 20%와 85% 사이에서, 또는 보다 특정하게는 30%와 80% 사이에서 달라질 수 있다. 충전제 입자는 2 nm 내지 20 마이크로미터 미만, 예컨대 50 nm 내지 5 마이크로미터 범위 내로 크기설정될 수 있다. 일 실시예에서, 충전제 미립자의 크기는 다이들(3a, 3b) 사이에 배치된 갭(7)의 30% 미만이다. 다른 실시예에서, 충전제 미립자의 크기는 다이들(3a, 3b) 사이의 갭(7)의 10% 미만, 예컨대 다이들(3a, 3b) 사이의 갭(7)의 2% 미만이다. 일부 실시예에서, 갭(7) 내의 충전제 미립자의 크기는 최종 다이 두께 tf의 30% 미만, 바람직하게는 다이의 최종 두께 tf의 5% 미만이다. 일부 실시예에서, 다이(3a 또는 3b)의 수직 측벽에 인접한 미립자의 폭 또는 길이는 다이(3a 또는 3b)의 최종 두께 tf의 15% 미만일 수 있다. 예시된 실시예에서 다이(3a, 3b)가 이미 박화되었기 때문에, 이들 재료는 과도한 응력 또는 과도한 비용을 도입함이 없이 제1 층(12)과 함께 사용될 수 있다.
제2 층(15)은 평탄화를 용이하게 하기 위해 제1 층(12) 내의 공간 또는 갭을 충전하는 충전제 층을 포함할 수 있다. 제2 충전제 층(15)은 제1 층(12)에 대해 위에 열거된 타입의 미립자를 포함할 수 있다. 제2 층(15)은 4 내지 120 마이크로미터 범위 내의, 보다 특정하게는 8 마이크로미터 내지 45 마이크로미터 범위 내의 두께를 가질 수 있다. 도시된 바와 같이, 제2 층(15)은 다이(3a, 3b)에 인접한, 예컨대 다수의 다이가 도시된 바와 같이 측방향으로 배열되는 경우에 다이들 사이의 갭(7) 내에 있는 제3 부분(16), 및 다이(3a, 3b) 위에 있는 제4 부분(17)을 포함한다.
도 1a 내지 도 1f의 실시예와 마찬가지로, 부분적으로 형성된 구조체(1)의 후면은 부분적으로 제거될 수 있으며, 예컨대 제2 층(15)의 일부 및 제1 층(12)의 일부가 예를 들어 평탄화 또는 폴리싱(예컨대, CMP) 공정을 사용하여 제거될 수 있다. 도 3e에 도시된 바와 같이, 제2 층(15)의 제4 부분(17)은 제거될 수 있고, 상호접속부(10)의 부분들이 제거되어 상호접속부(10)의 전도성 영역을 노출시킬 수 있다(예컨대, 말단 라이너 부분(9a)이 제거될 수 있음). 도 3e에서, 제1 층(12)의 제1 부분(13)은 예시된 실시예에서 다이들(3a, 3b) 사이의 갭(7) 내에서 다이 측벽에 인접하게 배치되어 유지될 수 있고, 제2 층(15)의 제3 부분(16)은 예시된 실시예에서 제1 부분(13)의 측부들 사이에서 갭(7) 내에 다이 측벽에 인접하게 배치될 수 있다. 제1 층(12)의 제2 부분(14)의 적어도 일부가 다이(3a, 3b) 위에 그리고 노출된 상호접속부(10) 및 라이너(9) 주위에 배치되어 유지될 수 있다. 위에서 설명된 바와 같이, 제1 층(12)의 제2 부분(14)은 평탄화 중에 상호접속부(10)가 다이(3a, 3b)를 가로질러 스미어링되는 것을 방지할 수 있다. 제1 층(12) 및 제2 층(15)의 남아 있는 부분(예컨대, 제3 부분(16))을 포함하는 보호 재료는 유익하게는 평탄화 중에 다이(3a, 3b)의 모서리를 보호할 수 있다. 일부 실시예(도시되지 않음)에서, 단지 제2 층(15)의 제4 부분(17)의 부분들만이 상호접속부(10)의 부분들과 함께 제거되어 상호접속부(10)의 전도성 영역을 노출시킨다(예컨대, 말단 라이너 부분(9a)이 제거될 수 있음). 이러한 구성에서, 제2 층(15)은 제거 또는 평탄화 공정 후에 전도성 상호접속부(10)를 측방향으로 둘러싼다.
도 3f에서, 위에서 설명된 바와 같이, 구조체(1)는 제2 다이(4a, 4b)와의 직접 접합을 위해 준비될 수 있다. 전도성 재배선 층(36)이 제1 다이(3a, 3b) 위에, 예를 들어 제1 층(12)의 제2 부분(14) 위에 그리고 제2 층(15)의 제3 부분(16) 위에 적용될 수 있다. 제2 다이(4a, 4b)는 제1 다이(3a, 3b)와 직접 접합될 수 있다. 또한, 도 3g 내지 도 3k에 도시된 바와 같이, 제2 다이(4a, 4b)는 도 3a 내지 도 3e와 관련하여 설명된 바와 같이 박화되고 후속 직접 접합을 위해 준비될 수 있다. 도 3l에서, 제3 다이(30)가 제2 다이(4a, 4b) 상에 적층되고 그것과 직접 접합될 수 있다. 임의의 적합한 개수의 집적 디바이스 다이가 적층되고 함께 접합되어 최종 접합된 구조체(1)를 형성할 수 있다. 일부 다른 응용에서, 재배선 층이 필요하지 않을 수 있고, 도 3f에서와 같이, 구조체(1)는 제2 다이(4a, 4b)와의 직접 접합을 위해 준비될 수 있다. 제2 다이(4a, 4b)의 상호접속 특징부는 제1 다이(3a, 3b)와 직접 접합될 수 있다.
도 3m은 제2 층(15) 내에 공극(void)(37)(예컨대, 공기 포켓(air pocket))이 있을 수 있는 것을 제외하고는, 도 3j에 도시된 것과 유사한 적어도 부분적으로 형성된 접합된 구조체(1)를 예시한다. 공극(37)은 접합된 구조체(1)의 기계적 성능 또는 그의 조립에 불리하게 영향을 미치지 않을 수 있고, 유리하게는 라미네이트 내의 유효 응력을 저하시켜, 구조체(1)의 구부러짐(bow)을 감소시킬 수 있다. 공극(37)의 존재는 유리하게는 조립체의 전도성 요소들 사이의 유전체의 k 값을 저하시키고 기생 커패시턴스(parasitic capacitance)를 감소시킬 수 있다. 유사하게, 도 3n에서, 제2 층(15)은 복수의 세공(pore)(38)을 가진 다공성 재료를 포함할 수 있다. 세공(38)은 정렬되거나 무작위로 배치될 수 있다. 일부 배열에서, 세공(38)은 망상 구조(networked structure)로 배향될 수 있다. 도 3o에서, 보호 재료가 단지 제1 층(12)만을 포함하는 도 1a 내지 도 2k와 유사한 실시예에서, 제1 층(12)은 다이들(3a, 3b) 사이의 전체 공간 또는 갭(7)을 충전하는 균질 재료를 포함할 수 있다. 제1 층(12) 내에 공극(37)이 있을 수 있거나 그렇지 않을 수 있다.
유리하게는, 도 3a 내지 도 3o의 실시예는 처리 중에 다이(3a, 3b)를 보호하기 위한 제1 층(12)(예컨대, 산화규소), 및 인접한 다이들(3a, 3b) 사이의 제2 층(16)의 제3 부분(16)을 포함하는 보호 재료의 사용을 가능하게 할 수 있다. 제2 충전제 층(15)은 평탄화를 용이하게 하도록 임의의 적합한 재료를 포함할 수 있다(그리고 공극 또는 세공을 포함할 수 있음). 라이너로서의 제1 층(12)의 사용은, 그렇지 않을 경우 보다 두꺼운 층으로 적용하기에 너무 고가이고 그리고/또는 너무 힘들 수 있는, 박화된 다이(3a, 3b)의 두께에 비해, 보다 얇은 산화규소 또는 다른 유사한 재료의 사용을 가능하게 할 수 있다. 이에 제한됨이 없이, 도 3a 내지 도 3o의 실시예는 박화된 다이(3a, 3b)에 대한 목표 두께가 약 2 마이크로미터 내지 240 마이크로미터, 예컨대 3 마이크로미터 내지 50 마이크로미터인 경우에 특히 유용하다.
도 4a 내지 도 4k는 또 다른 실시예에 따른, 다이들(3a, 3b) 사이의 유전체 층이 대칭(또는 대략 대칭)이고 추가의 보호 층을 포함하는 접합된 구조체(1)를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 달리 언급되지 않는 한, 도 4a 내지 도 4k에 도시된 도면 부호는 도 1a 내지 도 3o의 동일-도면 부호의 구성요소와 동일하거나 유사한 구성요소를 나타낸다. 또한, 도 1a 내지 도 3o와 관련하여 개시된 공정, 재료, 기능성, 및 구조 중 임의의 것이 도 4a 내지 도 4k의 실시예에 사용될 수 있다. 도 4a 내지 도 4k의 실시예는 보호 재료가 제2 층(15) 위에 적용되는 추가의 보호 층(40)을 포함하는 것을 제외하고는, 도 3a 내지 도 3k와 관련하여 위에 개시된 것과 전반적으로 유사하다.
예를 들어, 도 4a에서, 하나 이상의 제1 다이(3a, 3b)가 기판(2)을 포함하는 캐리어에 실장되고 직접 접합될 수 있다. 도 4b에서, 다이(3a, 3b)의 후면(18)은 예를 들어 에칭에 의해 부분적으로 제거되어 상호접속부(10) 및 라이너(9)를 노출시킬 수 있다. 도 4c에서, 보호 재료(이는 얇은 라이너를 포함함)의 제1 층(12)은 박화된 다이(3a, 3b)의 노출된 후방 표면(19) 위에 그리고 기판(2) 위에 적용될 수 있다. 도 4d에서, 제2 충전제 층(15)은 제1 층(12) 위에 적용될 수 있다. 도 4e에서, 부분적으로 형성된 구조체(1)의 후면이 부분적으로 제거될 수 있으며, 예컨대 제2 층(15)의 제4 부분(17)은 다이(3a, 3b) 상의 제1 층(12) 위로부터 제거될 수 있고, 제2 층(16)의 제3 부분(16)은 또한 다이(3a, 3b)에 인접한 또는 그들 사이의 갭(7) 내로 부분적으로 함몰될 수 있다. 일부 실시예에서, 제2 보호 층(15)은 0.4 내지 0.5의 푸아송 비를 가진 유연성 중합체 층을 포함할 수 있다. 제2 층(15)은 다양한 방식으로, 예를 들어 에칭에 의해 제거될 수 있다. 다른 실시예에서, 제2 층(15)은 지향성 또는 등방성 에칭에 의해 제거될 수 있다. 일부 실시예에서 제1 층(12)의 일부가 또한 제거될 수 있다.
그러나, 도 3a 내지 도 3o의 실시예와 달리, 보호 재료는 부분적으로 형성된 접합된 구조체(1)의 후면 위에 적용되는 추가의 또는 제3 보호 층(40)을 추가로 포함할 수 있다. 예를 들어, 도 4f에 도시된 바와 같이, 추가의 보호 층(40)은 제2 층의 제3 부분(16) 위에, 제1 층(12)의 제2 부분(14) 위에, 그리고 노출된 상호접속부(10) 및 라이너(9) 주위에 적용될(예컨대, 침착될) 수 있다. 추가의 보호 층(40)은 제1 보호 층(12)과 유사하거나 동일할 수 있다. 예를 들어, 예시된 실시예에서, 제1 층(12) 및 추가의 보호 층(40)은 규소, 또는 기저 무기 또는 유기 유전체 재료를 포함할 수 있다. 그러나, 제1 층(12)에 대해 전술된 재료 중 임의의 것이 또한 추가의 보호 층(40)에 사용될 수 있다.
유익하게는, 추가의 보호 층(40)은 다이(들)에 인접한 대칭 보호 재료를 제공할 수 있으며, 이는 다이간(inter-die) 유전체 층의 역할을 할 수 있다. 추가의 층(40)이 없는 일부 배열에서, 구조체(1)의 후면이 폴리싱될 때(예컨대, CMP에 의해), 다이들(3a, 3b) 사이의 영역 내에서의 디싱(dishing), 예컨대 제2 층(15)의 제3 부분(16) 내에서의 디싱이 있을 수 있다. 예를 들어, 제2 층(15)은 제1 또는 제3 층만큼 경질이지 않은 재료를 포함할 수 있다. 제2 층(15)의 제3 부분(16)의 폴리싱은 다이의 적층 및 접합에 불리하게 영향을 미칠 수 있고/있거나 공극 또는 오정렬을 생성할 수 있는 디싱을 유발할 수 있다. 따라서, 추가의 보호 층(40)의 제공이 제2 층(15)(이는 경질일 수 있음)의 제3 부분(16)의 함몰된 영역을 충전할 수 있고, 제2 층(15)을 디싱으로부터 보호하고 다이(3a, 3b)를 추가로 보호할 수 있다. 또한, 보호 재료의 대칭성이 기판(2) 상의 다이들(3a, 3b) 사이의 공동에 대한 균형을 이룬 열 팽창 계수(CTE)를 제공한다.
따라서, 도 4f에서, 보호 재료는 제1 층(12)(이는 다이(3a, 3b)의 측벽과 후방 표면(19) 위에 형성될 수 있음), 다이들(3a, 3b) 사이의 제2 층(15)의 제3 부분(16), 및 제3 부분(16) 및 다이(3a, 3b)의 후면 위에 제공되는 추가의 보호 층(40)을 포함할 수 있다. 예시된 실시예에서, 추가의 보호 층(40)은 다이(3a, 3b) 위의 제1 층(12)의 제2 부분(14) 위에 그리고 제2 층(15)의 제3 부분(16) 위에 둘 모두로 적용될 수 있다. 그러나, 다른 배열에서, 추가의 보호 층(40)은 단지 제2 층(15)의 제3 부분(16) 위에만 제공될 수 있고, 제1 층(12) 또는 다이(3a, 3b) 위에는 침착되지 않을 수 있다.
도 4g에서, 부분적으로 형성된 구조체(1)의 후면은 예를 들어 CMP를 사용하여 평탄화, 예컨대 폴리싱될 수 있다. 평탄화는 추가의 보호 층(40) 상에서 중단되도록 그리고 상호접속부(10) 및 라이너(9)가 추가의 보호 층(40)과 실질적으로 동일 평면 상에 있도록 상호접속부(10) 및 라이너(9)의 노출된 부분들을 제거하도록 구성될 수 있다. 도 4h에서, 위에서 설명된 바와 같이, 제2 다이(4a, 4b)는 제1 다이(3a, 3b) 상에 적층되고 그것에 직접 접합될 수 있다. 도 4i 내지 도 4k는 제2 다이(4a, 4b)가 또한 도 4a 내지 도 4g와 관련하여 기술된 것과 유사한 방식으로 박화되고 직접 접합을 위해 준비될 수 있는 것을 예시한다. 또한, 당업자는 본 명세서의 개시 내용을 고려하여 추가의(제3, 제4 등) 다이가 유사하게 적층될 수 있고, 도 4a 내지 도 4k의 대칭 보호 재료가 또한 도 3m 및 도 3n에 예시된 키이홀(keyhole) 및 세공과 유사하게 제2 재료(15) 내에 공극을 포함할 수 있는 것을 용이하게 인식할 것이다.
도 5a 내지 도 5i는 다른 실시예에 따른, 적층 전에 2개 초과의 유전체 층이 다이 위에 제공되는 접합된 구조체(1)를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 달리 언급되지 않는 한, 도 5a 내지 도 5i에 도시된 도면 부호는 도 1a 내지 도 4k의 동일-도면 부호의 구성요소와 동일하거나 유사한 구성요소를 나타낸다. 또한, 도 1a 내지 도 4k와 관련하여 개시된 공정, 재료, 기능성, 및 구조 중 임의의 것이 도 5a 내지 도 5i의 실시예에 사용될 수 있다. 도 5a 내지 도 5i의 실시예는 박화 및 적층 전에 3개의 층이 다이(3a, 3b) 위에 적용될 수 있는 것을 제외하고는, 도 3a 내지 도 4k와 관련하여 위에 개시된 것과 전반적으로 유사하다.
도 5a에 도시된 바와 같이, 제1 다이(3a, 3b)가 기판(2)을 포함하는 캐리어에 실장되고 그것과 직접 접합될 수 있다. 다이(3a, 3b)의 초기 두께 t i 는 일부 배열에서보다 두꺼울 수 있다. 예를 들어, 초기 두께 t i 는 30 마이크로미터 내지 1500 마이크로미터 범위 내에, 200 마이크로미터 내지 1000 마이크로미터 범위 내에, 또는 500 마이크로미터 내지 1000 마이크로미터 범위 내에 있을 수 있다. 위에서 설명된 바와 같이, 본 명세서에 개시된 방법은 임의의 적합한 두께인 다이와 함께 이용될 수 있다. 도 5b에서, 보호 재료는 다이(3a, 3b)가 박화되기 전에 다이(3a, 3b) 위에 침착될 수 있는 제1 보호 층(12)을 포함한다. 위와 같이, 제1 층(12)은 박화된 다이에 대한 목표 두께보다 얇을 수 있고, 다이(3a, 3b)(예컨대, 다이 에지)를 후속 처리 중에 보호할 수 있다. 도 5c에서, 보호 재료는 또한 제1 층(12) 위에 제공될 수 있는 제2 충전제 층(15)을 포함한다. 도 5c에 도시된 바와 같이, 제2 충전제 층(15)은 부분적으로 형성된 구조체(1)를 완전히 충전하거나 평탄화하지 않을 수 있다. 오히려, 도 5c에 도시된 바와 같이, 제2 층(15)의 부분들 사이에 공간(47)이 있을 수 있다. 그러나, 제2 충전제 층(15)의 두께는 박화된 다이에 대한 목표 두께보다 클 수 있다.
부분적으로 형성된 구조체를 평탄화하기 위해, 도 5d에서, 제3 충전제 층(45)이 제2 층(15) 위에 제공되어, 제2 층(15) 내에 남아 있는 공간(47)을 충전할 수 있다. 제3 층(45)의 제5 부분(48)이 공간(47) 내에 배치될 수 있다. 제3 층(45)의 제6 부분(49)이 제2 층(15) 위에, 예컨대 제2 층(15)의 제4 부분(17) 위에 배치될 수 있다. 제3 층(45)은 임의의 적합한 재료일 수 있다. 예를 들어, 제3 층(45)은 제1 층(12) 또는 제2 층(15)에 대해 전술된 임의의 적합한 재료를 포함할 수 있다. 도 5e에서, 부분적으로 형성된 구조체(1)의 후면은 예를 들어 연삭 및/또는 폴리싱(예컨대, CMP에 의한)에 의해 적어도 부분적으로 제거될 수 있다. 연삭 또는 폴리싱은 제3 층(45)의 대부분 또는 전부, 및 제2 층(15) 및 제1 층(12)의 부분들을 제거할 수 있다. 연삭 또는 폴리싱은 라이너(9)의 말단 부분(9a)에서 또는 그에 근접하여 종료될 수 있다.
도 5f를 참조하면, 다이(3a, 3b)의 후면(18)은 예컨대 후방 에칭에 의해 제거되어 박화된 다이(3a, 3b)의 후방 표면(19)을 노출시킬 수 있다. 후면(18)의 제거는 상호접속부(10) 및 라이너(9)를 노출시킬 수 있다. 도 5g에서, 추가의 보호 층(40)(예컨대, 산화규소와 같은 유전체 층)이 부분적으로 형성된 구조체 위에 제공될 수 있다. 예를 들어, 추가의 보호 층(40)은 제2 층의 제3 부분(16) 위에, 제1 층(12)의 제1 부분(13)의 에지 위에, 다이(3a, 3b)의 후방 표면(19) 위에, 그리고 라이너(9) 및 상호접속부(10) 주위에 배치될 수 있다. 도 5h에서, 추가의 보호 층(40)의 부분들 및/또는 상호접속부(10)의 부분들이 제거되어 상호접속부(10)의 전도성 재료를 노출시킬 수 있다. 예를 들어, 부분적으로 형성된 구조체(1)는 폴리싱(예컨대, CMP에 의한)되어 추가의 보호 층(40)의 상부 부분 및 라이너(9)의 말단 부분(9a)을 제거할 수 있다. 도 5i를 참조하면, 제1 다이(3a, 3b)는 위에서 설명된 바와 같이 직접 접합을 위해 준비될 수 있고, 제2 다이(4a, 4b)는 제1 다이(3a, 3b) 상에 적층되고 그것에 직접 접합될 수 있다. 도 5a 내지 도 5h의 단계가 최종 접합된 구조체(1)를 형성하기 위해 임의의 적합한 개수의 집적 디바이스 다이를 적층하고 접합시키도록 반복될 수 있다. 순서는 상이하지만, 제1 층(12)(라이너), 제2 층(15)(충전제) 및 추가의 보호 층(40)(캡(cap))에 대해 선택되는 재료 및 두께에 따라, 결과적으로 생성된 구조체는 도 4a 내지 도 4k의 실시예의 대칭 보호 재료와 유사할 수 있다.
도 6a 내지 도 6e는 상이한 초기 두께 t i 를 갖는 다수의 다이(3a 내지 3c)를 가진 접합된 구조체(1)를 형성하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 달리 언급되지 않는 한, 도 6a 내지 도 6e에 도시된 도면 부호는 도 1a 내지 도 5i의 동일-도면 부호의 구성요소와 동일하거나 유사한 구성요소를 나타낸다. 또한, 도 1a 내지 도 5i와 관련하여 개시된 공정, 재료, 기능성, 및 구조 중 임의의 것이 도 6a 내지 도 6e의 실시예에 사용될 수 있다.
도 6a에서, 다수의 제1 다이(3a 내지 3c)가 기판(2)을 포함하는 캐리어에 실장되고 그것에 직접 접합될 수 있다. 그러나, 위의 예시된 실시예와 달리, 인접한 다이(3a 내지 3c)는 상이한 초기 두께 t i a , t i b , 및 t i c 를 가질 수 있다. 초기 두께 t i a , t i b , 및 t i c 는 임의의 적합한 두께일 수 있다. 예를 들어, 초기 두께 t i a , t i b , 및 t i c 는 40 마이크로미터 내지 2000 마이크로미터 범위 내에, 100 마이크로미터 내지 1500 마이크로미터 범위 내에, 200 마이크로미터 내지 1000 마이크로미터 범위 내에, 500 마이크로미터 내지 1000 마이크로미터 범위 내에 등으로 있을 수 있다.
도 6b를 참조하면, 제1 층(12)을 포함하는 보호 재료가 다이(3a 내지 3c) 위에 그리고 기판(2) 위에 제공될 수 있다. 위에서 설명된 바와 같이, 제1 층(12)은 처리 중에 다이를 보호할 수 있다. 도 6c에서, 제2 층(15)은 제1 층 위에 제공되어 부분적으로 형성된 구조체(1)를 평탄화하는 데 도움을 줄 수 있다. 도 5a 내지 도 5i의 실시예와 마찬가지로, 제2 층(15)은 제1 층(12) 내의 갭을 완전히 평탄화하고 충전하지 않을 수 있다. 따라서, 제3 층(45)은 제2 층(15) 위에 제공되어 제2 층(15) 내의 갭을 충전하고 구조체(1)의 평탄화를 완료할 수 있다. 3개의 유전체 층(12, 15, 45)이 도 6d에 도시되지만, 추가의 유전체 층이 부분적으로 형성된 구조체를 평탄화하기 위해 제공될 수 있는 것이 인식되어야 한다. 역으로, 제1 및 제2 층은 단일 컨포멀(conformal) 또는 라이닝(lining) 보호 층에 의해 대체될 수 있다.
도 6e에서, 부분적으로 형성된 구조체(1)의 후면은 부분적으로 제거될 수 있으며, 예컨대 제3 층(45), 제2 층(15), 및 제1 층(12)의 부분들이 예를 들어 연삭, 폴리싱, 및/또는 에칭에 의해 제거될 수 있다. 예시된 실시예에서, 상호접속부 및 라이너는 도 2a 내지 도 2k에 도시된 바와 같이, 박화 후에 제공될 수 있다. 그러나, 다른 실시예에서, 상호접속부 및 라이너는 박화 전에 형성될 수 있다. 도 6e의 실시예에서, 다이(3a 내지 3c)는 초기 두께 t i a , t i b , 및 t i c 가 상당히 상이할 수 있지만, 대략 동일한 최종 두께 t f 를 가질 수 있다. 따라서, 유리하게는, 본 명세서에 개시된 실시예는 상이한 두께를 갖는 다이의 사용을 가능하게 하고, 그러한 다이를 적층되고 접합된 구조체(1) 내에 통합시킬 수 있다. 일부 실시예에서, 다수의 다이를 기판 또는 캐리어(2) 상에 적층하여 접합된 구조체를 형성한 후에, 기판(2)은 후면(도시되지 않음)으로부터 박화되고 처리되어 관통 기판 및 기판(2) 내의 전도성 상호접속부(10)를 통한 전기 결합 구조체를 형성할 수 있다. 기판은 다수의 패키지로 개별화될 수 있으며, 이때 각각의 패키지는 하나 이상의 적층된 다이(3a) 또는 적층된 다이(3a, 3b)를 포함한다. 개별화된 적층된 다이 또는 패키지는 다른 기판, 보드, 또는 다른 패키지 상에 실장될 수 있다.
도 7a는 일 실시예에 따른, 접합된 구조체를 형성하기 위한 방법(70)을 예시한 순서도이다. 방법(70)은 도 1a 내지 도 6e의 실시예와 함께 사용될 수 있다. 블록(72)에서, 제1 개별화된 집적 디바이스 다이가 캐리어에 실장될 수 있다. 본 명세서에서 설명되는 바와 같이, 제1 다이는 임의의 적합한 타입의 집적 디바이스 다이를 포함할 수 있다. 일부 실시예에서, 다이는 KGD를 포함할 수 있으며, 예컨대 다이는 실장 전에 시험될 수 있다. 본 명세서에서 설명되는 바와 같이, 다이는 일부 실시예에서 캐리어에 직접 접합될 수 있으며, 예컨대 다이 및 캐리어는 접합을 위해 적합하게 준비될 수 있다. 다이 및 캐리어의 비전도성 및 전도성 영역이 개재하는 접착제 없이 그리고 외부 압력의 인가 없이 서로 직접 접합될 수 있다.
블록(74)을 참조하면, 실장 후에, 제1 집적 디바이스 다이가 박화될 수 있다. 예를 들어, 일부 배열에서, 제1 다이의 후면(이는 활성 또는 전방 면 반대편에 있을 수 있음)은 에칭, 연삭, 또는 폴리싱되어 제1 다이의 부분들을 제거할 수 있다. 제1 다이의 박화는 저-프로파일 패키징 배열로의 다수의 디바이스 다이의 사용을 가능하게 할 수 있다. 또한, 본 명세서에서 설명되는 바와 같이, 일부 실시예에서, 박화 전에 또는 박화 후에 상호접속부(예컨대, TSV)가 제1 다이 내에 형성될 수 있다. 도 1a 내지 도 6e의 실시예에서, 보호 재료(이는 제1 층(12), 제2 층(15), 추가의 보호 층(40), 및 제3 층(45) 중 하나 이상의 다양한 부분들을 포함할 수 있음)가 제1 다이 위에 그리고 다이 사이에 배치되는 캐리어의 부분들 위에 적용될 수 있다. 보호 재료는 박화 전에 또는 박화 후에 제공될 수 있다. 다양한 실시예에서, 추가의 디바이스 다이가 제1 다이 상에 적층되고 그것에 접속될(예컨대, 그것과 직접 접합될) 수 있다.
도 7b는 다른 실시예에 따른, 접합된 구조체를 형성하기 위한 방법(76)을 예시한 순서도이다. 방법(76)은 도 1a 내지 도 6e의 실시예와 함께 사용될 수 있다. 블록(77)에서, 제1 집적 디바이스 다이(이는 개별화될 수 있음)가 캐리어에 실장될 수 있다. 본 명세서에서 설명되는 바와 같이, 제1 다이는 임의의 적합한 타입의 집적 디바이스 다이를 포함할 수 있다. 일부 실시예에서, 다이는 KGD를 포함할 수 있으며, 예컨대 다이는 실장 전에 시험될 수 있다. 본 명세서에서 설명되는 바와 같이, 다이는 일부 실시예에서 캐리어에 직접 접합될 수 있으며, 예컨대 다이 및 캐리어는 접합을 위해 적합하게 준비될 수 있다. 다이 및 캐리어의 비전도성 및 전도성 영역이 개재하는 접착제 없이 그리고 외부 압력의 인가 없이 서로 직접 접합될 수 있다.
블록(78)을 참조하면, 실장 후에, 제1 층을 포함하는 보호 재료가 제1 집적 디바이스 다이의 표면 상에 제공될 수 있다. 유익하게는, 제1 층은 평탄화 또는 다른 처리 단계 중에 제1 다이의 에지를 치핑으로부터 보호할 수 있다. 제1 층은 제1 다이의 그것에 근사한 CTE를 가진 그리고 비교적 높은 GTT를 갖는 비교적 경질 재료를 포함할 수 있다. 제1 층은 제1 다이의 노출된 후방 표면 위에 그리고 인접한 다이들 사이의 캐리어의 부분들 위에 제공될 수 있다. 본 명세서에서 설명되는 바와 같이, 일부 실시예에서, 보호 재료는 제1 다이 위에 그리고/또는 인접한 다이들 사이의 공간 내에 배치되는 추가의 층(예컨대, 제2 층(15), 제3 층(45), 및 추가의 보호 층(40)의 부분들)을 포함할 수 있다.
블록(79)에서, 제1 층의 적어도 일부분이 평탄화되어 제1 집적 디바이스 다이의 일부분을 제거할 수 있다. 예를 들어, 일부 실시예에서, 화학 기계적 폴리싱(CMP) 기술이 사용되어 제1 층의 일부를 제거할 수 있으며, 이는 일부 실시예에서 상호접속부를 노출시킬 수 있다. 보호 재료가 다수의 층을 포함하는 실시예에서, 다른 층은 평탄화 중에 부분적으로 또는 완전히 제거될 수 있다. 유리하게는, 보호 재료는 평탄화 공정 중에 다이를 보호할 수 있다. 본 명세서에서 설명되는 바와 같이, 추가의 디바이스 다이가 제1 집적 디바이스 다이 상에 적층되고 그것에 접속될(예컨대, 그것과 직접 접합될) 수 있다.
도 8은 다양한 실시예에 따른, 하나 이상의 접합된 구조체(1)를 통합한 시스템(80)의 개략도이다. 시스템(80)은 임의의 적합한 타입의 전자 디바이스, 예컨대 모바일 전자 디바이스(mobile electronic device)(예컨대, 스마트폰, 태블릿 컴퓨팅 디바이스, 랩톱 컴퓨터 등), 데스크톱 컴퓨터, 자동차 또는 그의 구성요소, 스테레오 시스템, 의료 디바이스, 카메라, 또는 임의의 다른 적합한 타입의 시스템을 포함할 수 있다. 일부 실시예에서, 전자 디바이스는 마이크로프로세서, 그래픽 프로세서, 전자 기록 디바이스, 또는 디지털 메모리를 포함할 수 있다. 시스템(80)은 예컨대 하나 이상의 머더보드(motherboard)에 의해 시스템(80)에 기계적으로 그리고 전기적으로 접속되는 하나 이상의 디바이스 패키지(82)를 포함할 수 있다. 각각의 패키지(82)는 하나 이상의 접합된 구조체(1)를 포함할 수 있다. 도 8에 도시된 접합된 구조체(1)는 도 1a 내지 도 7b와 관련하여 전술되고 도시된 접합된 구조체(1) 중 임의의 것을 포함할 수 있다. 접합된 구조체(1)는 시스템(80)을 위한 다양한 기능을 수행하는 하나 이상의 집적 디바이스 다이를 포함할 수 있다.
따라서, 본 명세서에 개시된 실시예는 유리하게는 개별화 후 다이의 박화를 패키징 레벨에서 가능하게 할 수 있다. 제1 보호 층(12)을 포함하는 보호 재료의 사용은 유익하게는 폴리싱 중에 다이, 특히 다이 에지를 보호할 수 있다. 제1 보호 층(12)은 처리 중에 다이를 고정시키고 밀봉할 수 있다. 또한, 보호 재료는 유익하게는 구조체의 평탄화를 용이하게 할 수 있는 제1 층(12)의 갭들 사이의 제2 충전제 재료를 추가로 포함할 수 있다. 일부 실시예에서, 제3 충전제 재료, 실제로는 임의의 적합한 개수의 충전제 재료가 구조체의 평탄화를 용이하게 하기 위해 사용될 수 있다. 일부 실시예에서, 제2 충전제 재료는 충전제 재료의 기계적 및 열적 특성을 개선하기 위해 매립된 충전제 입자를 포함할 수 있다. 일부 실시예에서, 추가의 보호 층(40)이 제2 층(15)(또는 다른 층) 위에 제공되어, 디싱에 저항하고 전체 수율을 개선하는 대칭 유전체 구조체를 제공할 수 있다. 개별화된 다이를 기판 상에 실장한 후의 박화가 또한 다이의 후속 적층 및 접합을 용이하게 할 수 있다.
유리하게는, 본 명세서에 개시된 방법은 임의의 적합한 초기 두께를 가진 다이를 사용할 수 있고, 인접한 다이는 상이한 두께를 가질 수 있다. 또한, 에칭의 양이 다른 공정에서보다 적을 수 있기 때문에, 다이(예컨대, 규소 다이)의 에칭 시간이 감소될 수 있다. 또한, 일부 실시예에서 상호접속부를 형성하기 전에 다이가 박화될 수 있기 때문에, 전도성 상호접속부를 폴리싱, 도금, 및 제공하기 위한 시간이 또한 감소될 수 있다.
일 실시예에서, 접합된 구조체를 형성하기 위한 방법이 개시된다. 방법은 제1 개별화된 집적 디바이스 다이를 캐리어에 실장하는 단계를 포함할 수 있다. 방법은 실장 후에 제1 집적 디바이스 다이를 박화시키는 단계를 포함할 수 있다. 방법은 제1 층을 포함하는 보호 재료를 제1 집적 디바이스 다이의 노출된 표면 상에 제공하는 단계를 포함할 수 있다.
다른 실시예에서, 접합된 구조체가 개시된다. 접합된 구조체는 캐리어 및 캐리어의 상부 표면에 실장되는 하부 표면을 갖는 제1 집적 디바이스 다이를 포함할 수 있다. 제1 집적 디바이스 다이는 하부 표면 반대편의 상부 표면 및 제1 집적 디바이스 다이의 상부 표면과 하부 표면 사이의 측부 표면을 포함할 수 있다. 접합된 구조체는 제1 집적 디바이스 다이의 측부 표면 상에 배치되는 제1 부분을 갖는 제1 층을 포함하는 보호 재료를 포함할 수 있고, 제1 층은 제1 집적 디바이스 다이의 측부 표면보다 경질이다.
다른 실시예에서, 접합된 구조체를 형성하기 위한 방법이 개시된다. 방법은 제1 집적 디바이스 다이를 캐리어에 실장하는 단계를 포함할 수 있다. 방법은 실장 후에, 제1 층을 포함하는 보호 재료를 제1 집적 디바이스 다이의 표면 상에 제공하는 단계를 포함할 수 있다. 방법은 제1 층의 적어도 일부분을 평탄화하여 제1 집적 디바이스 다이의 일부분을 제거하는 단계를 포함할 수 있다.
개시된 실시예 및 종래 기술에 대해 달성되는 이점을 요약하는 목적을 위해, 소정 목적 및 이점이 본 명세서에 기술되었다. 물론, 반드시 모든 그러한 목적 또는 이점이 임의의 특정 실시예에 따라 달성될 수 있는 것은 아니라는 것이 이해되어야 한다. 따라서, 예를 들어, 당업자는 개시된 구현예가, 반드시 본 명세서에 교시되거나 제안될 수 있는 바와 같은 다른 목적 또는 이점을 달성하지는 않고서, 본 명세서에 교시되거나 제안되는 바와 같은 하나의 이점 또는 이점들의 군을 달성하거나 최적화하는 방식으로 실시되거나 수행될 수 있는 것을 인식할 것이다.
이들 실시예 모두는 본 개시 내용의 범주 내에 있는 것으로 의도된다. 이들 및 다른 실시예는 첨부된 도면을 참조하는 실시예의 하기의 상세한 설명으로부터 당업자에게 용이하게 명백해질 것이며, 청구범위는 개시된 임의의 특정 실시예(들)로 제한되지 않는다. 이러한 소정 실시예 및 예가 본 명세서에 개시되었지만, 개시된 구현예는 구체적으로 개시된 실시예를 넘어 다른 대안적인 실시예 및/또는 그의 사용과 명백한 변경 및 등가물로 확장되는 것이 당업자에 의해 이해될 것이다. 또한, 수개의 변형이 상세히 도시되고 기술되었지만, 다른 변경이 본 개시 내용에 기초하여 당업자에게 용이하게 명백할 것이다. 또한, 실시예의 특정 특징 및 태양의 다양한 조합 또는 하위-조합이 이루어지고 여전히 범주 내에 속할 수 있는 것으로 고려된다. 개시된 실시예의 다양한 특징 및 태양이 개시된 구현예의 다양한 형태를 형성하기 위해 서로 조합되거나 대체될 수 있는 것이 이해되어야 한다. 따라서, 본 명세서에 개시된 발명 요지의 범주는 전술된 특정한 개시된 실시예로 제한되어야 하는 것이 아니라, 하기의 청구범위의 완전한 이해에 의해서만 결정되어야 하는 것으로 의도된다.

Claims (79)

  1. 접합된 구조체(bonded structure)를 형성하기 위한 방법으로서,
    제1 개별화된 집적 디바이스 다이(singulated integrated device die)를 개재하는 접착제 없이 캐리어(carrier)에 직접 접합시켜, 상기 제1 개별화된 집적 디바이스 다이 및 상기 캐리어의 각각의 접합 표면들이 직접 접촉하게 하는 단계;
    직접 접합 후에, 상기 제1 개별화된 집적 디바이스 다이를 박화(thinning)시키는 단계; 및
    제1 층을 포함하는 보호 재료(protective material)를 상기 제1 개별화된 집적 디바이스 다이의 노출된 표면 상에 제공하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제1 층의 적어도 일부분을 제거하는 단계를 추가로 포함하는, 방법.
  3. 제2항에 있어서, 상기 제1 층은 상기 제1 개별화된 집적 디바이스의 열 팽창 계수(coefficient of thermal expansion)로부터 15 ppm/℃ 이내에 있는 열 팽창 계수를 갖는, 방법.
  4. 제2항에 있어서, 상기 제1 층은 규소계 유전체(silicon-based dielectric) 또는 중합체를 포함하는, 방법.
  5. 제4항에 있어서, 상기 제1 층은 중합체를 포함하고, 상기 중합체는 250℃ 초과의 유리 전이 온도(glass transition temperature)를 갖는, 방법.
  6. 제4항에 있어서, 상기 제1 층은 중합체를 포함하고, 상기 중합체는 상기 중합체 내에 복수의 충전제 입자들(filler particles)을 포함하는, 방법.
  7. 제1항에 있어서, 상기 제1 개별화된 집적 디바이스 다이의 후면(backside)의 일부분을 제거하여 상기 제1 개별화된 집적 디바이스 다이의 후방 표면을 노출시키는 단계를 추가로 포함하는, 방법.
  8. 접합된 구조체를 형성하기 위한 방법으로서,
    제1 개별화된 집적 디바이스 다이를 캐리어에 실장하는 단계;
    실장 후에, 상기 제1 개별화된 집적 디바이스 다이를 박화시키는 단계;
    제1 층을 포함하는 보호 재료를 상기 제1 개별화된 집적 디바이스 다이의 노출된 표면 상에 제공하는 단계;
    상기 제1 층의 적어도 일부분을 제거하는 단계; 및
    적어도 상기 제1 개별화된 집적 디바이스 다이의 상기 노출된 표면을 통해 노출되는 전기 상호접속부(electrical interconnect)를 제공하는 단계를 포함하는, 방법.
  9. 제8항에 있어서, 상기 제1 층을 제공하는 단계는 상기 제1 층을 상기 전기 상호접속부 주위에 침착시키는 단계를 포함하는, 방법.
  10. 접합된 구조체를 형성하기 위한 방법으로서,
    제1 개별화된 집적 디바이스 다이를 캐리어에 실장하는 단계;
    실장 후에, 상기 제1 개별화된 집적 디바이스 다이를 박화시키는 단계; 및
    제1 층을 포함하는 보호 재료를 상기 제1 개별화된 집적 디바이스 다이의 노출된 표면 상에 제공하는 단계를 포함하고,
    상기 보호 재료를 제공하는 단계는 상기 제1 층을 상기 제1 개별화된 집적 디바이스 다이의 두께 이상의 두께로 침착시키는 단계를 포함하고, 상기 제1 개별화된 집적 디바이스 다이의 상기 두께는 상기 제1 개별화된 집적 디바이스 다이의 후방 표면과 전방 표면 사이로 정해지는, 방법.
  11. 제1항에 있어서, 제2 층을 상기 제1 층 상에 제공하고 상기 제2 층의 적어도 일부분을 제거하는 단계를 추가로 포함하는, 방법.
  12. 제2항에 있어서, 상기 제1 개별화된 집적 디바이스 다이 위에 남아 있는 상기 제1 층의 잔류 부분을 평탄화(planarizing)하는 단계를 추가로 포함하는, 방법.
  13. 제1항에 있어서, 상기 방법은 상기 제1 층을 제3 집적 디바이스 다이의 노출된 표면 상에 제공하는 단계를 추가로 포함하고, 상기 제3 집적 디바이스 다이는 측방향으로 상기 제1 개별화된 집적 디바이스 다이에 인접하게 위치되는, 방법.
  14. 제8항에 있어서, 상기 전기 상호접속부를 제공하는 단계는 상기 제1 층의 상기 적어도 일부분을 제거한 후에 상기 전기 상호접속부를 형성하는 단계를 포함하는, 방법.
  15. 제11항에 있어서, 제3 층을 상기 제2 층 위에 제공하는 단계를 추가로 포함하는, 방법.
  16. 접합된 구조체로서,
    캐리어;
    개재하는 접착제 없이 상기 캐리어의 상부 표면에 직접 접합되는 하부 표면을 갖는 제1 집적 디바이스 다이 - 이로써, 상기 제1 집적 디바이스 다이의 상기 하부 표면 및 상기 캐리어의 상기 상부 표면이 직접 접촉하게 되고, 상기 제1 집적 디바이스 다이는 상기 하부 표면 반대편의 상부 표면 및 상기 제1 집적 디바이스 다이의 상기 상부 표면과 하부 표면 사이의 측부 표면을 포함함 -; 상기 제1 집적 디바이스 다이의 상기 상부 표면에 직접 접합되는 제2 집적 디바이스 다이; 및
    상기 제1 집적 디바이스 다이의 상기 측부 표면 상에 배치되는 제1 부분을 갖는 제1 층을 포함하는 보호 재료를 포함하는, 접합된 구조체.
  17. 제16항에 있어서, 상기 제1 층의 제2 부분이 상기 제1 집적 디바이스 다이와 제2 집적 디바이스 다이 사이에서 상기 제1 집적 디바이스 다이의 상기 상부 표면 상에 배치되는, 구조체.
  18. 제16항에 있어서, 상기 제1 층은 상기 제1 집적 디바이스 다이의 열 팽창 계수로부터 10 ppm/℃ 이내에 있는 열 팽창 계수를 갖는, 구조체.
  19. 제16항에 있어서, 상기 보호 재료는 상기 제1 집적 디바이스 다이의 상기 측부 표면 상에서 상기 제1 층의 상기 제1 부분 위에 배치되는 제2 충전제 층을 포함하는, 구조체.
  20. 제19항에 있어서, 상기 보호 재료는 상기 제1 층의 제2 부분 위에 배치되는 제1 부분 및 상기 제2 충전제 층 위에 배치되는 제2 부분을 갖는 제3 층을 포함하는, 구조체.
  21. 접합된 구조체를 형성하기 위한 방법으로서,
    제1 집적 디바이스 다이를 개재하는 접착제 없이 캐리어에 직접 접합시켜, 상기 제1 집적 디바이스 다이 및 상기 캐리어의 각각의 접합 표면들이 직접 접촉하게 하는 단계;
    직접 접합 후에, 제1 층을 포함하는 보호 재료를 상기 제1 집적 디바이스 다이의 표면 상에 제공하는 단계; 및
    상기 제1 층의 적어도 일부분을 평탄화하여 상기 제1 집적 디바이스 다이의 일부분을 제거하는 단계를 포함하는, 방법.
  22. 접합된 구조체를 형성하기 위한 방법으로서,
    제1 집적 디바이스 다이를 캐리어에 실장하는 단계;
    실장 후에, 제1 층을 포함하는 보호 재료를 상기 제1 집적 디바이스 다이의 표면 상에 제공하는 단계; 및
    상기 제1 층의 적어도 일부분을 평탄화하여 상기 제1 집적 디바이스 다이의 일부분을 제거하는 단계를 포함하고,
    상기 제1 층은 상기 제1 집적 디바이스 다이의 열 팽창 계수로부터 10 ppm/℃ 이내에 있는 열 팽창 계수를 갖는, 방법.
  23. 제21항에 있어서, 상기 제1 집적 디바이스 다이의 후면의 일부분을 제거하여 상기 제1 집적 디바이스 다이의 후방 표면을 노출시키는 단계를 추가로 포함하는, 방법.
  24. 제21항에 있어서, 상기 제1 층을 제공하는 단계는 상기 제1 층을 상기 제1 집적 디바이스 다이의 두께 이상의 두께로 침착시키는 단계를 포함하고, 상기 제1 집적 디바이스 다이의 상기 두께는 상기 제1 집적 디바이스 다이의 후방 표면과 전방 표면 사이로 정해지는, 방법.
  25. 제21항에 있어서, 제2 층을 상기 제1 층 상에 제공하고 상기 제2 층의 적어도 일부분을 제거하는 단계를 추가로 포함하는, 방법.
  26. 제8항에 있어서, 상기 제1 개별화된 집적 디바이스 다이를 상기 캐리어에 실장하는 단계는 상기 제1 개별화된 집적 디바이스 다이를 상기 캐리어에 직접 접합시켜 상기 제1 개별화된 집적 디바이스 다이 및 상기 캐리어의 각각의 접합 표면들이 직접 접촉하게 하는 단계를 포함하는, 방법.
  27. 제10항에 있어서, 상기 제1 개별화된 집적 디바이스 다이를 상기 캐리어에 실장하는 단계는 상기 제1 개별화된 집적 디바이스 다이를 상기 캐리어에 직접 접합시켜 상기 제1 개별화된 집적 디바이스 다이 및 상기 캐리어의 각각의 접합 표면들이 직접 접촉하게 하는 단계를 포함하는, 방법.
  28. 제16항에 있어서, 상기 제1 층의 영 계수(Young's modulus)가 20 GPa 내지 200 GPa 범위 내에 있는, 구조체.
  29. 제16항에 있어서, 상기 제1 층은 상기 제1 집적 디바이스 다이의 벌크 반도체 재료(bulk semiconductor material)보다 경질인(harder), 구조체.
  30. 제22항에 있어서, 상기 제1 집적 디바이스 다이를 상기 캐리어에 실장하는 단계는 상기 제1 집적 디바이스 다이를 상기 캐리어에 직접 접합시켜 상기 제1 집적 디바이스 다이 및 상기 캐리어의 각각의 접합 표면들이 직접 접촉하게 하는 단계를 포함하는, 방법.
  31. 제1항에 있어서, 상기 박화시키는 단계 이전에 보호 재료를 제공하는 단계를 더 포함하는, 방법.
  32. 제1항에 있어서, 상기 제1 개별화된 집적 디바이스 다이 위에 제2 집적 디바이스 다이를 제공하는 단계를 더 포함하는, 방법.
  33. 제32항에 있어서, 상기 제1 개별화된 집적 디바이스 다이 위에 제2 집적 디바이스 다이를 제공하는 단계는 상기 제2 집적 디바이스 다이를 상기 제1 개별화된 집적 디바이스 다이의 상부 표면에 직접 접합시키는 단계를 포함하는, 방법.
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
KR1020187035298A 2016-05-19 2017-04-24 접합된 구조체를 형성하기 위한 적층된 다이 및 방법 KR102066719B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207000779A KR102281018B1 (ko) 2016-05-19 2017-04-24 접합된 구조체를 형성하기 위한 적층된 다이 및 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/159,649 US10204893B2 (en) 2016-05-19 2016-05-19 Stacked dies and methods for forming bonded structures
US15/159,649 2016-05-19
PCT/US2017/029187 WO2017200717A1 (en) 2016-05-19 2017-04-24 Stacked dies and methods for forming bonded structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207000779A Division KR102281018B1 (ko) 2016-05-19 2017-04-24 접합된 구조체를 형성하기 위한 적층된 다이 및 방법

Publications (2)

Publication Number Publication Date
KR20180132990A KR20180132990A (ko) 2018-12-12
KR102066719B1 true KR102066719B1 (ko) 2020-01-15

Family

ID=60326080

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207000779A KR102281018B1 (ko) 2016-05-19 2017-04-24 접합된 구조체를 형성하기 위한 적층된 다이 및 방법
KR1020187035298A KR102066719B1 (ko) 2016-05-19 2017-04-24 접합된 구조체를 형성하기 위한 적층된 다이 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207000779A KR102281018B1 (ko) 2016-05-19 2017-04-24 접합된 구조체를 형성하기 위한 적층된 다이 및 방법

Country Status (6)

Country Link
US (6) US10204893B2 (ko)
EP (1) EP3437126A4 (ko)
KR (2) KR102281018B1 (ko)
CN (1) CN109314088B (ko)
TW (2) TWI698925B (ko)
WO (1) WO2017200717A1 (ko)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9536814B2 (en) * 2014-02-24 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Die stacking apparatus and method
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TW202414634A (zh) 2016-10-27 2024-04-01 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US11004680B2 (en) 2016-11-26 2021-05-11 Texas Instruments Incorporated Semiconductor device package thermal conduit
US10861763B2 (en) 2016-11-26 2020-12-08 Texas Instruments Incorporated Thermal routing trench by additive processing
US10811334B2 (en) * 2016-11-26 2020-10-20 Texas Instruments Incorporated Integrated circuit nanoparticle thermal routing structure in interconnect region
US10529641B2 (en) 2016-11-26 2020-01-07 Texas Instruments Incorporated Integrated circuit nanoparticle thermal routing structure over interconnect region
US10256188B2 (en) 2016-11-26 2019-04-09 Texas Instruments Incorporated Interconnect via with grown graphitic material
US11676880B2 (en) 2016-11-26 2023-06-13 Texas Instruments Incorporated High thermal conductivity vias by additive processing
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
CN112385035A (zh) * 2018-07-06 2021-02-19 伊文萨思粘合技术公司 微电子组件
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN110875207B (zh) * 2018-09-04 2021-05-07 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
CN110875193B (zh) * 2018-09-04 2021-08-10 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
CN110875205B (zh) * 2018-09-04 2021-07-09 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
US11094571B2 (en) * 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) * 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
KR20210013429A (ko) 2019-07-25 2021-02-04 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US12080672B2 (en) 2019-09-26 2024-09-03 Adeia Semiconductor Bonding Technologies Inc. Direct gang bonding methods including directly bonding first element to second element to form bonded structure without adhesive
US20210118864A1 (en) * 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
KR20210071539A (ko) 2019-12-06 2021-06-16 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) * 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN114551264A (zh) 2020-11-26 2022-05-27 群创光电股份有限公司 封装元件的制作方法
US20230215836A1 (en) * 2021-12-23 2023-07-06 Adeia Semiconductor Bonding Technologies Inc. Direct bonding on package substrates
US20230369202A1 (en) * 2022-05-12 2023-11-16 Nanya Technology Corporation Method for manufacturing semiconductor structure having a porous structure
US20240145431A1 (en) * 2022-10-28 2024-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged Semiconductor Devices and Methods of Forming the Same
US20240186268A1 (en) * 2022-12-01 2024-06-06 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structure with frame structure
US20240266319A1 (en) * 2023-02-03 2024-08-08 Applied Materials, Inc. Method of Multi-layer Die Stacking with Die-to-Wafer Bonding

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011171614A (ja) * 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法

Family Cites Families (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4337694A (en) * 1979-12-31 1982-07-06 Brown Stanford M System for handling and baling metallic scrap material
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) * 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) * 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
EP0651449B1 (en) 1993-11-01 2002-02-13 Matsushita Electric Industrial Co., Ltd. Electronic component and method for producing the same
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) * 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
TWI309074B (en) 2002-02-07 2009-04-21 Advanced Epitaxy Technology Method of forming semiconductor device
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4579489B2 (ja) 2002-09-02 2010-11-10 新光電気工業株式会社 半導体チップ製造方法及び半導体チップ
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW586677U (en) 2003-01-22 2004-05-01 Via Tech Inc Stack structure of chip package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) * 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) * 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20050161808A1 (en) 2004-01-22 2005-07-28 Anderson Douglas G. Wafer, intermediate wafer assembly and associated method for fabricating a silicon on insulator wafer having an improved edge profile
CN102290425B (zh) * 2004-08-20 2014-04-02 Kamiyacho知识产权控股公司 具有三维层叠结构的半导体器件的制造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7262492B2 (en) 2004-09-28 2007-08-28 Intel Corporation Semiconducting device that includes wirebonds
FR2880184B1 (fr) 2004-12-28 2007-03-30 Commissariat Energie Atomique Procede de detourage d'une structure obtenue par assemblage de deux plaques
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP4275096B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US7354862B2 (en) 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
JP4983049B2 (ja) 2005-06-24 2012-07-25 セイコーエプソン株式会社 半導体装置および電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7682937B2 (en) 2005-11-25 2010-03-23 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7781309B2 (en) 2005-12-22 2010-08-24 Sumco Corporation Method for manufacturing direct bonded SOI wafer and direct bonded SOI wafer manufactured by the method
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100809696B1 (ko) 2006-08-08 2008-03-06 삼성전자주식회사 사이즈가 상이한 복수의 반도체 칩이 적층된 멀티 칩패키지 및 그 제조방법
JP5011981B2 (ja) 2006-11-30 2012-08-29 富士通株式会社 デバイス素子製造方法およびダイシング方法
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP2008258383A (ja) 2007-04-04 2008-10-23 Spansion Llc 半導体装置及びその製造方法
DE102007020656B4 (de) * 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US20090001599A1 (en) 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US20090029274A1 (en) 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US8044497B2 (en) * 2007-09-10 2011-10-25 Intel Corporation Stacked die package
JP2009135348A (ja) 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
JP5743553B2 (ja) * 2008-03-05 2015-07-01 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 伸張可能及び折畳み可能な電子デバイス
KR20100122110A (ko) 2008-03-07 2010-11-19 쓰리엠 이노베이티브 프로퍼티즈 컴파니 패턴화된 배킹이 있는 다이싱 테이프 및 다이 부착 접착제
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010073964A (ja) 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7843052B1 (en) 2008-11-13 2010-11-30 Amkor Technology, Inc. Semiconductor devices and fabrication methods thereof
WO2010059781A1 (en) 2008-11-19 2010-05-27 Semprius, Inc. Printing semiconductor elements by shear-assisted elastomeric stamp transfer
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2010245383A (ja) 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
EP2339614A1 (en) 2009-12-22 2011-06-29 Imec Method for stacking semiconductor chips
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8138014B2 (en) 2010-01-29 2012-03-20 Stats Chippac, Ltd. Method of forming thin profile WLCSP with vertical interconnect over package footprint
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8461017B2 (en) * 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
US8415808B2 (en) 2010-07-28 2013-04-09 Sandisk Technologies Inc. Semiconductor device with die stack arrangement including staggered die and efficient wire bonding
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
KR20120032254A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
JP5659033B2 (ja) 2011-02-04 2015-01-28 株式会社東芝 半導体装置の製造方法
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8623702B2 (en) 2011-02-24 2014-01-07 Stats Chippac, Ltd. Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
WO2012125632A1 (en) 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer and methods for producing such structures
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
WO2012161044A1 (ja) 2011-05-24 2012-11-29 ソニー株式会社 半導体装置
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
KR101906408B1 (ko) 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
TW201330217A (zh) 2011-11-11 2013-07-16 Sumitomo Bakelite Co 半導體裝置之製造方法
TWI467736B (zh) 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
CN103426732B (zh) * 2012-05-18 2015-12-02 上海丽恒光微电子科技有限公司 低温晶圆键合的方法及通过该方法形成的结构
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8723309B2 (en) 2012-06-14 2014-05-13 Stats Chippac Ltd. Integrated circuit packaging system with through silicon via and method of manufacture thereof
US8759961B2 (en) * 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140070405A1 (en) * 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
FR3007403B1 (fr) 2013-06-20 2016-08-05 Commissariat Energie Atomique Procede de realisation d'un dispositif microelectronique mecaniquement autonome
KR102077153B1 (ko) 2013-06-21 2020-02-14 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
JP2015012244A (ja) 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
WO2015040798A1 (ja) 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
KR102143518B1 (ko) * 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9530730B2 (en) 2013-11-08 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Configurable routing for packaging applications
JP6441025B2 (ja) 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) * 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US20150255349A1 (en) 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US9601463B2 (en) 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601437B2 (en) 2014-09-09 2017-03-21 Nxp B.V. Plasma etching and stealth dicing laser process
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9673096B2 (en) 2014-11-14 2017-06-06 Infineon Technologies Ag Method for processing a semiconductor substrate and a method for processing a semiconductor wafer
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9508660B2 (en) 2015-02-10 2016-11-29 Intel Corporation Microelectronic die having chamfered corners
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US20170200659A1 (en) 2016-01-08 2017-07-13 International Business Machines Corporation Porous underfill enabling rework
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
KR102521881B1 (ko) 2016-06-15 2023-04-18 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9818729B1 (en) 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
KR102649471B1 (ko) 2016-09-05 2024-03-21 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US9865567B1 (en) 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10707145B2 (en) 2017-09-08 2020-07-07 Kemet Electronics Corporation High density multi-component packages
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10332899B2 (en) 2017-09-29 2019-06-25 Intel Corporation 3D package having edge-aligned die stack with direct inter-die wire connections
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11469138B2 (en) 2018-05-04 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via for coupling attached component upper electrode to substrate
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN118448377A (zh) 2018-06-12 2024-08-06 隔热半导体粘合技术公司 堆叠微电子组件的层间连接
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10770430B1 (en) 2019-03-22 2020-09-08 Xilinx, Inc. Package integration for memory devices
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US12080672B2 (en) 2019-09-26 2024-09-03 Adeia Semiconductor Bonding Technologies Inc. Direct gang bonding methods including directly bonding first element to second element to form bonded structure without adhesive
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11233035B2 (en) * 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN116635998A (zh) 2020-10-29 2023-08-22 美商艾德亚半导体接合科技有限公司 直接键合方法和结构
KR20230097121A (ko) 2020-10-29 2023-06-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
US20220208650A1 (en) 2020-12-28 2022-06-30 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024501016A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
EP4272250A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structures
KR20230126736A (ko) 2020-12-30 2023-08-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 전도성 특징부를 갖는 구조 및 그 형성방법
EP4302325A1 (en) 2021-03-03 2024-01-10 Adeia Semiconductor Bonding Technologies Inc. Contact structures for direct bonding
EP4315399A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of carrier
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
JP2024515033A (ja) 2021-03-31 2024-04-04 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 担体の直接ボンディング及び剥離
CN117716488A (zh) 2021-06-30 2024-03-15 美商艾德亚半导体接合科技有限公司 结合层中具有布线结构的元件
US20230019869A1 (en) 2021-07-16 2023-01-19 Invensas Bonding Technologies, Inc. Optically occlusive protective element for bonded structures
US20230036441A1 (en) 2021-08-02 2023-02-02 Invensas Bonding Technologies, Inc. Protective semiconductor elements for bonded structures
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
KR20240052815A (ko) 2021-09-01 2024-04-23 아데이아 세미컨덕터 테크놀로지스 엘엘씨 인터포저를 갖는 적층 구조체
KR20240059637A (ko) 2021-09-24 2024-05-07 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 능동 인터포저를 가진 결합 구조체

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011171614A (ja) * 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
KR20180132990A (ko) 2018-12-12
CN109314088B (zh) 2021-03-09
TWI698925B (zh) 2020-07-11
KR20200006196A (ko) 2020-01-17
US11658173B2 (en) 2023-05-23
WO2017200717A1 (en) 2017-11-23
US20210183847A1 (en) 2021-06-17
US20170338214A1 (en) 2017-11-23
US20190189607A1 (en) 2019-06-20
EP3437126A4 (en) 2019-07-03
TW201806015A (zh) 2018-02-16
US11837596B2 (en) 2023-12-05
US20230130580A1 (en) 2023-04-27
TWI671814B (zh) 2019-09-11
TW201935552A (zh) 2019-09-01
EP3437126A1 (en) 2019-02-06
US20230131849A1 (en) 2023-04-27
US20240145458A1 (en) 2024-05-02
US10204893B2 (en) 2019-02-12
KR102281018B1 (ko) 2021-07-22
CN109314088A (zh) 2019-02-05
US10879226B2 (en) 2020-12-29

Similar Documents

Publication Publication Date Title
KR102066719B1 (ko) 접합된 구조체를 형성하기 위한 적층된 다이 및 방법
CN112470270B (zh) Tsv上的偏移焊盘
TWI546915B (zh) 多重中介層基板電路組件以及其製造方法
KR20210104742A (ko) 접합 구조체
KR20230029960A (ko) 통합 장치 패키지
TWI573223B (zh) 空腔基板保護之積體電路
TW201320298A (zh) 堆疊半導體裝置
TW201906021A (zh) 半導體封裝結構及其製造方法
US9082757B2 (en) Stacked semiconductor devices
US20140339705A1 (en) Iintegrated circuit package using silicon-on-oxide interposer substrate with through-silicon vias
JP5559773B2 (ja) 積層半導体装置の製造方法
KR20120120776A (ko) 관통실리콘비아를 구비한 반도체 패키지 및 그 제조 방법
TW202226396A (zh) 半導體裝置及其製造方法
JP2007123753A (ja) インターポーザ、半導体チップユニットおよび半導体チップ積層モジュール、ならびに製造方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant